Merge commit 'v3.3.0' into upstream upstream
authorBdale Garbee <bdale@gag.com>
Fri, 8 Oct 2010 00:50:48 +0000 (18:50 -0600)
committerBdale Garbee <bdale@gag.com>
Fri, 8 Oct 2010 00:50:48 +0000 (18:50 -0600)
Conflicts:
Makefile.am
Makefile.common
README
config/Makefile.am
config/gr_fortran.m4
config/gr_pwin32.m4
config/gr_python.m4
config/gr_set_md_cpu.m4
config/grc_gcell.m4
config/grc_gnuradio_core.m4
config/grc_gnuradio_examples.m4
config/grc_gr_atsc.m4
config/grc_gr_audio_alsa.m4
config/grc_gr_audio_jack.m4
config/grc_gr_audio_oss.m4
config/grc_gr_audio_osx.m4
config/grc_gr_audio_portaudio.m4
config/grc_gr_comedi.m4
config/grc_gr_cvsd_vocoder.m4
config/grc_gr_gsm_fr_vocoder.m4
config/grc_gr_msdd6000.m4
config/grc_gr_pager.m4
config/grc_gr_qtgui.m4
config/grc_gr_trellis.m4
config/grc_gr_video_sdl.m4
config/grc_grc.m4
config/grc_gruel.m4
config/grc_usrp.m4
config/grc_usrp2.m4
config/usrp_fusb_tech.m4
config/usrp_libusb.m4
configure.ac
docs/doxygen/Doxyfile.in
docs/doxygen/other/Makefile.am
gcell/apps/Makefile.am
gcell/apps/benchmark_dma.cc
gcell/apps/benchmark_nop.cc
gcell/apps/benchmark_roundtrip.cc
gcell/gcell.pc.in
gcell/lib/Makefile.am
gcell/lib/runtime/Makefile.am
gcell/lib/runtime/gc_client_thread_info.h
gcell/lib/runtime/gc_job_manager_impl.cc
gcell/lib/runtime/gc_job_manager_impl.h
gnuradio-core/Makefile.am
gnuradio-core/gnuradio-core.pc.in
gnuradio-core/src/Makefile.am
gnuradio-core/src/gen_interpolator_taps/Makefile.am
gnuradio-core/src/lib/Makefile.am
gnuradio-core/src/lib/filter/Makefile.am
gnuradio-core/src/lib/filter/filter.i
gnuradio-core/src/lib/filter/gr_cpu.h
gnuradio-core/src/lib/filter/gr_cpu_powerpc.cc
gnuradio-core/src/lib/filter/gr_cpu_x86.cc
gnuradio-core/src/lib/filter/gr_fft_filter_ccc.cc
gnuradio-core/src/lib/filter/gr_fft_filter_ccc.h
gnuradio-core/src/lib/filter/gr_fft_filter_fff.cc
gnuradio-core/src/lib/filter/gr_fft_filter_fff.h
gnuradio-core/src/lib/general/Makefile.am
gnuradio-core/src/lib/general/general.i
gnuradio-core/src/lib/general/gr_fmdet_cf.cc
gnuradio-core/src/lib/general/gr_fmdet_cf.h
gnuradio-core/src/lib/general/gr_head.cc
gnuradio-core/src/lib/general/gr_head.h
gnuradio-core/src/lib/general/gr_head.i
gnuradio-core/src/lib/general/gr_mpsk_receiver_cc.cc
gnuradio-core/src/lib/general/gr_ofdm_sampler.cc
gnuradio-core/src/lib/general/gr_remez.cc
gnuradio-core/src/lib/general/gri_lfsr.h
gnuradio-core/src/lib/gengen/Makefile.am
gnuradio-core/src/lib/gengen/gr_vector_sink_X.h.t
gnuradio-core/src/lib/gengen/gr_vector_sink_X.i.t
gnuradio-core/src/lib/hier/Makefile.am
gnuradio-core/src/lib/io/Makefile.am
gnuradio-core/src/lib/io/gr_file_sink_base.cc
gnuradio-core/src/lib/io/gr_file_sink_base.h
gnuradio-core/src/lib/io/gr_histo_sink_f.cc
gnuradio-core/src/lib/io/gr_histo_sink_f.h
gnuradio-core/src/lib/io/gr_message_source.cc
gnuradio-core/src/lib/io/gr_message_source.h
gnuradio-core/src/lib/io/gr_message_source.i
gnuradio-core/src/lib/io/gr_udp_sink.cc
gnuradio-core/src/lib/io/gr_udp_sink.h
gnuradio-core/src/lib/io/gr_udp_sink.i
gnuradio-core/src/lib/io/gr_udp_source.cc
gnuradio-core/src/lib/io/gr_udp_source.h
gnuradio-core/src/lib/io/gr_udp_source.i
gnuradio-core/src/lib/io/gr_wavfile_sink.cc
gnuradio-core/src/lib/io/gr_wavfile_sink.h
gnuradio-core/src/lib/io/gri_wavfile.cc
gnuradio-core/src/lib/missing/Makefile.am
gnuradio-core/src/lib/runtime/Makefile.am
gnuradio-core/src/lib/runtime/gr_basic_block.cc
gnuradio-core/src/lib/runtime/gr_basic_block.h
gnuradio-core/src/lib/runtime/gr_block.cc
gnuradio-core/src/lib/runtime/gr_block.h
gnuradio-core/src/lib/runtime/gr_block_detail.cc
gnuradio-core/src/lib/runtime/gr_block_detail.h
gnuradio-core/src/lib/runtime/gr_block_executor.cc
gnuradio-core/src/lib/runtime/gr_buffer.cc
gnuradio-core/src/lib/runtime/gr_buffer.h
gnuradio-core/src/lib/runtime/gr_msg_queue.cc
gnuradio-core/src/lib/runtime/gr_msg_queue.h
gnuradio-core/src/lib/runtime/gr_msg_queue.i
gnuradio-core/src/lib/runtime/gr_sptr_magic.cc
gnuradio-core/src/lib/runtime/gr_top_block_impl.cc
gnuradio-core/src/lib/runtime/gr_top_block_impl.h
gnuradio-core/src/lib/runtime/gr_tpb_detail.cc
gnuradio-core/src/lib/runtime/gr_tpb_detail.h
gnuradio-core/src/lib/runtime/gr_tpb_thread_body.cc
gnuradio-core/src/lib/swig/Makefile.am
gnuradio-core/src/python/gnuradio/Makefile.am
gnuradio-core/src/python/gnuradio/blks2impl/Makefile.am
gnuradio-core/src/python/gnuradio/blks2impl/dbpsk.py
gnuradio-core/src/python/gnuradio/blks2impl/dqpsk.py
gnuradio-core/src/python/gnuradio/blks2impl/logpwrfft.py
gnuradio-core/src/python/gnuradio/blks2impl/stream_to_vector_decimator.py
gnuradio-core/src/python/gnuradio/eng_option.py
gnuradio-core/src/python/gnuradio/gr/Makefile.am
gnuradio-core/src/python/gnuradio/gr/prefs.py
gnuradio-core/src/python/gnuradio/gr/qa_message.py
gnuradio-core/src/python/gnuradio/gr/qa_scrambler.py
gnuradio-core/src/python/gnuradio/gruimpl/hexint.py
gnuradio-core/src/python/gnuradio/optfir.py
gnuradio-core/src/python/gnuradio/packet_utils.py
gnuradio-core/src/python/gnuradio/window.py
gnuradio-examples/Makefile.am
gnuradio-examples/python/Makefile.am
gnuradio-examples/python/apps/hf_radio/input.py
gnuradio-examples/python/digital/Makefile.am
gnuradio-examples/python/digital/benchmark_loopback.py
gnuradio-examples/python/digital/benchmark_qt_loopback.py
gnuradio-examples/python/digital/benchmark_qt_rx.py
gnuradio-examples/python/digital/qt_digital_window.py
gnuradio-examples/python/digital/qt_digital_window.ui
gnuradio-examples/python/digital/qt_rx_window.py
gnuradio-examples/python/digital/qt_rx_window.ui
gnuradio-examples/python/digital/receive_path.py
gnuradio-examples/python/digital/transmit_path.py
gnuradio-examples/python/digital/usrp_receive_path.py
gnuradio-examples/python/digital/usrp_transmit_path.py
gnuradio-examples/python/network/audio_sink.py
gnuradio-examples/python/network/audio_source.py
gnuradio-examples/python/network/dial_tone_sink.py
gnuradio-examples/python/network/dial_tone_source.py
gnuradio-examples/python/network/vector_sink.py
gnuradio-examples/python/network/vector_source.py
gnuradio-examples/python/usrp/usrp_am_mw_rcv.py
gnuradio-examples/python/usrp/usrp_tv_rcv.py
gnuradio-examples/python/usrp/usrp_wfm_rcv.py
gnuradio-examples/python/usrp/usrp_wfm_rcv2_nogui.py
gnuradio-examples/python/usrp/usrp_wfm_rcv_fmdet.py
gnuradio-examples/python/usrp/usrp_wfm_rcv_nogui.py
gnuradio-examples/python/usrp/usrp_wfm_rcv_pll.py
gnuradio-examples/python/usrp/usrp_wfm_rcv_sca.py
gnuradio-examples/python/usrp/usrp_wxapt_rcv.py
gnuradio-examples/python/usrp2/usrp2_wfm_qt.py
gnuradio-examples/python/usrp2/usrp2_wfm_rcv.py
gr-audio-alsa/Makefile.am
gr-audio-alsa/src/Makefile.am
gr-audio-jack/Makefile.am
gr-audio-jack/src/Makefile.am
gr-audio-oss/Makefile.am
gr-audio-oss/src/Makefile.am
gr-audio-osx/src/Makefile.am
gr-audio-osx/src/audio_osx.h
gr-audio-osx/src/audio_osx_sink.cc
gr-audio-osx/src/audio_osx_sink.h
gr-audio-osx/src/audio_osx_source.cc
gr-audio-osx/src/audio_osx_source.h
gr-audio-osx/src/circular_buffer.h
gr-audio-portaudio/Makefile.am
gr-audio-portaudio/src/Makefile.am
gr-audio-portaudio/src/audio_portaudio_sink.cc
gr-audio-portaudio/src/audio_portaudio_sink.h
gr-audio-portaudio/src/audio_portaudio_source.cc
gr-audio-portaudio/src/audio_portaudio_source.h
gr-audio-windows/src/Makefile.am
gr-cvsd-vocoder/Makefile.am
gr-cvsd-vocoder/src/Makefile.am
gr-cvsd-vocoder/src/lib/Makefile.am
gr-gcell/src/Makefile.am
gr-gpio/src/Makefile.am
gr-gpio/src/python/gpio.py
gr-gpio/src/python/gpio_usrp_fft.py
gr-gsm-fr-vocoder/Makefile.am
gr-gsm-fr-vocoder/src/Makefile.am
gr-gsm-fr-vocoder/src/lib/Makefile.am
gr-pager/Makefile.am
gr-qtgui/src/Makefile.am
gr-qtgui/src/lib/ConstellationDisplayPlot.cc
gr-qtgui/src/lib/ConstellationDisplayPlot.h
gr-qtgui/src/lib/FrequencyDisplayPlot.cc
gr-qtgui/src/lib/FrequencyDisplayPlot.h
gr-qtgui/src/lib/Makefile.am
gr-qtgui/src/lib/SpectrumGUIClass.cc
gr-qtgui/src/lib/SpectrumGUIClass.h
gr-qtgui/src/lib/TimeDomainDisplayPlot.cc
gr-qtgui/src/lib/TimeDomainDisplayPlot.h
gr-qtgui/src/lib/Waterfall3DDisplayPlot.cc
gr-qtgui/src/lib/Waterfall3DDisplayPlot.h
gr-qtgui/src/lib/WaterfallDisplayPlot.cc
gr-qtgui/src/lib/WaterfallDisplayPlot.h
gr-qtgui/src/lib/qtgui.i
gr-qtgui/src/lib/qtgui_sink_c.cc
gr-qtgui/src/lib/qtgui_sink_c.h
gr-qtgui/src/lib/qtgui_sink_f.cc
gr-qtgui/src/lib/qtgui_sink_f.h
gr-qtgui/src/lib/spectrumUpdateEvents.cc
gr-qtgui/src/lib/spectrumUpdateEvents.h
gr-qtgui/src/lib/spectrumdisplayform.cc
gr-qtgui/src/lib/spectrumdisplayform.h
gr-qtgui/src/lib/spectrumdisplayform.ui
gr-qtgui/src/lib/waterfallGlobalData.h
gr-qtgui/src/python/pyqt_example.py
gr-qtgui/src/python/pyqt_example_f.py
gr-qtgui/src/python/qt_digital.py
gr-qtgui/src/python/qt_digital_window.py
gr-qtgui/src/python/qt_digital_window.ui
gr-qtgui/src/python/usrp2_display.py
gr-radar-mono/src/Makefile.am
gr-radio-astronomy/src/Makefile.am
gr-radio-astronomy/src/lib/Makefile.am
gr-radio-astronomy/src/python/usrp_psr_receiver.py
gr-radio-astronomy/src/python/usrp_ra_receiver.py
gr-sounder/src/Makefile.am
gr-trellis/Makefile.am
gr-trellis/src/Makefile.am
gr-trellis/src/lib/Makefile.am
gr-usrp/apps/Makefile.am
gr-usrp/gnuradio-usrp.pc.in
gr-usrp/src/Makefile.am
gr-usrp/src/run_tests.in
gr-usrp/src/usrp_base.cc
gr-usrp/src/usrp_base.h
gr-usrp/src/usrp_sink_base.cc
gr-usrp/src/usrp_sink_base.h
gr-usrp/src/usrp_sink_c.cc
gr-usrp/src/usrp_sink_s.cc
gr-usrp/src/usrp_source_base.cc
gr-usrp/src/usrp_source_base.h
gr-usrp/src/usrp_source_c.cc
gr-usrp/src/usrp_source_s.cc
gr-usrp/src/usrp_standard.i
gr-usrp/src/usrp_swig.i
gr-usrp2/gnuradio-usrp2.pc.in
gr-usrp2/src/Makefile.am
gr-usrp2/src/run_tests.in
gr-usrp2/src/usrp2.i
gr-usrp2/src/usrp2_sink_16sc.cc
gr-usrp2/src/usrp2_sink_32fc.cc
gr-usrp2/src/usrp2_sink_base.cc
gr-usrp2/src/usrp2_sink_base.h
gr-usrp2/src/usrp2_source_base.cc
gr-usrp2/src/usrp2_source_base.h
gr-utils/src/Makefile.am
gr-utils/src/python/Makefile.am
gr-utils/src/python/gr_plot_const.py
gr-utils/src/python/gr_plot_fft.py
gr-utils/src/python/gr_plot_iq.py
gr-utils/src/python/gr_plot_psd.py
gr-utils/src/python/plot_data.py
gr-utils/src/python/usrp2_fft.py
gr-utils/src/python/usrp_fft.py
gr-utils/src/python/usrp_oscope.py
gr-utils/src/python/usrp_siggen.py
gr-video-sdl/Makefile.am
gr-video-sdl/src/Makefile.am
gr-wxgui/Makefile.am
gr-wxgui/gr-wxgui.pc.in
gr-wxgui/src/python/Makefile.am
gr-wxgui/src/python/common.py
gr-wxgui/src/python/const_window.py
gr-wxgui/src/python/constants.py
gr-wxgui/src/python/constsink_gl.py
gr-wxgui/src/python/fft_window.py
gr-wxgui/src/python/fftsink_gl.py
gr-wxgui/src/python/fftsink_nongl.py
gr-wxgui/src/python/forms/converters.py
gr-wxgui/src/python/forms/forms.py
gr-wxgui/src/python/histo_window.py
gr-wxgui/src/python/histosink_gl.py
gr-wxgui/src/python/number_window.py
gr-wxgui/src/python/numbersink2.py
gr-wxgui/src/python/plot.py
gr-wxgui/src/python/plotter/channel_plotter.py
gr-wxgui/src/python/plotter/common.py
gr-wxgui/src/python/plotter/grid_plotter_base.py
gr-wxgui/src/python/plotter/plotter_base.py
gr-wxgui/src/python/plotter/waterfall_plotter.py
gr-wxgui/src/python/scope_window.py
gr-wxgui/src/python/scopesink_gl.py
gr-wxgui/src/python/waterfall_window.py
gr-wxgui/src/python/waterfallsink_gl.py
gr-wxgui/src/python/waterfallsink_nongl.py
grc/Makefile.am
grc/base/Block.py
grc/base/Element.py
grc/base/FlowGraph.py
grc/base/Makefile.am
grc/base/Param.py
grc/base/Platform.py
grc/base/Port.py
grc/blocks/Makefile.am
grc/blocks/band_pass_filter.xml
grc/blocks/band_reject_filter.xml
grc/blocks/blks2_dxpsk_demod.xml
grc/blocks/blks2_dxpsk_mod.xml
grc/blocks/block_tree.xml
grc/blocks/gr_chunks_to_symbols.xml
grc/blocks/gr_delay.xml
grc/blocks/gr_kludge_copy.xml
grc/blocks/gr_noise_source_x.xml
grc/blocks/gr_nop.xml
grc/blocks/gr_not_xx.xml
grc/blocks/gr_packed_to_unpacked_xx.xml
grc/blocks/gr_sample_and_hold_xx.xml
grc/blocks/gr_sig_source_x.xml
grc/blocks/gr_udp_sink.xml
grc/blocks/gr_udp_source.xml
grc/blocks/gr_unpacked_to_packed_xx.xml
grc/blocks/high_pass_filter.xml
grc/blocks/low_pass_filter.xml
grc/blocks/options.xml
grc/blocks/pad_sink.xml
grc/blocks/pad_source.xml
grc/blocks/parameter.xml
grc/blocks/usrp2_sink_xxxx.xml
grc/blocks/usrp2_source_xxxx.xml
grc/blocks/usrp_dual_sink_x.xml
grc/blocks/usrp_dual_source_x.xml
grc/blocks/usrp_simple_sink_x.xml
grc/blocks/usrp_simple_source_x.xml
grc/blocks/wxgui_constellationsink2.xml
grc/blocks/wxgui_fftsink2.xml
grc/blocks/wxgui_histosink2.xml
grc/blocks/wxgui_numbersink2.xml
grc/blocks/wxgui_scopesink2.xml
grc/blocks/wxgui_waterfallsink2.xml
grc/freedesktop/Makefile.am
grc/freedesktop/grc_setup_freedesktop.in
grc/grc_gnuradio/usrp/dual_usrp.py
grc/grc_gnuradio/usrp/simple_usrp.py
grc/grc_gnuradio/wxgui/top_block_gui.py
grc/gui/ActionHandler.py
grc/gui/Actions.py
grc/gui/Bars.py
grc/gui/Block.py
grc/gui/BlockTreeWindow.py
grc/gui/Connection.py
grc/gui/Dialogs.py
grc/gui/DrawingArea.py
grc/gui/Element.py
grc/gui/FlowGraph.py
grc/gui/MainWindow.py
grc/gui/Makefile.am
grc/gui/NotebookPage.py
grc/gui/Param.py
grc/gui/Platform.py
grc/gui/Port.py
grc/gui/StateCache.py
grc/gui/Utils.py
grc/python/Block.py
grc/python/Connection.py
grc/python/Constants.py
grc/python/FlowGraph.py
grc/python/Generator.py
grc/python/Makefile.am
grc/python/Param.py
grc/python/Platform.py
grc/python/Port.py
grc/python/convert_hier.py
grc/python/extract_docs.py
grc/python/flow_graph.tmpl
grc/scripts/Makefile.am
grc/scripts/usrp2_probe
grc/scripts/usrp_probe
gruel/gruel.pc.in
gruel/src/Makefile.am
gruel/src/include/gruel/Makefile.am
gruel/src/include/gruel/inet.h.in
gruel/src/include/gruel/thread_body_wrapper.h
gruel/src/include/gruel/thread_group.h
gruel/src/lib/Makefile.am
run_tests.sh.in
usrp/doc/Makefile.am
usrp/firmware/include/Makefile.am
usrp/firmware/include/fx2regs.h
usrp/firmware/include/usrp_ids.h
usrp/firmware/src/common/build_eeprom.py
usrp/firmware/src/usrp2/Makefile.am
usrp/fpga/Makefile.am
usrp/host/Makefile.am
usrp/host/apps/Makefile.am
usrp/host/apps/burn-db-eeprom
usrp/host/apps/test_usrp_standard_rx.cc
usrp/host/apps/test_usrp_standard_tx.cc
usrp/host/apps/usrp_cal_dc_offset.cc
usrp/host/apps/usrper.cc
usrp/host/lib/Makefile.am
usrp/host/swig/Makefile.am
usrp/host/swig/usrp_prims.i
usrp/usrp.pc.in
usrp2/firmware/Makefile.am
usrp2/firmware/apps/Makefile.am
usrp2/firmware/apps/app_common_v2.c
usrp2/firmware/apps/app_common_v2.h
usrp2/firmware/apps/app_passthru_v2.c
usrp2/firmware/apps/factory_test.c
usrp2/firmware/apps/gen_eth_packets.c
usrp2/firmware/apps/mimo_app_common_v2.c
usrp2/firmware/apps/mimo_tx.c
usrp2/firmware/apps/mimo_tx_slave.c
usrp2/firmware/apps/rcv_eth_packets.c
usrp2/firmware/apps/serdes_txrx.c
usrp2/firmware/apps/tx_standalone.c
usrp2/firmware/apps/txrx.c
usrp2/firmware/config/grc_usrp2_firmware.m4
usrp2/firmware/configure.gnu
usrp2/firmware/include/usrp2_eth_packet.h
usrp2/firmware/include/usrp2_types.h
usrp2/firmware/lib/Makefile.am
usrp2/firmware/lib/db.h
usrp2/firmware/lib/db_base.h
usrp2/firmware/lib/db_basic.c
usrp2/firmware/lib/db_dbsrx.c
usrp2/firmware/lib/db_init.c
usrp2/firmware/lib/db_rfx.c
usrp2/firmware/lib/db_tvrx.c
usrp2/firmware/lib/db_xcvr2450.c
usrp2/firmware/lib/dbsm.c
usrp2/firmware/lib/eth_mac.c
usrp2/firmware/lib/eth_mac_regs.h
usrp2/firmware/lib/ethernet.c
usrp2/firmware/lib/memory_map.h
usrp2/host/apps/Makefile.am
usrp2/host/apps/tx_samples.cc
usrp2/host/include/usrp2/usrp2.h
usrp2/host/lib/Makefile.am
usrp2/host/lib/control.cc
usrp2/host/lib/control.h
usrp2/host/lib/ring.cc
usrp2/host/lib/ring.h
usrp2/host/lib/usrp2.cc
usrp2/host/lib/usrp2_impl.cc
usrp2/host/lib/usrp2_impl.h
usrp2/host/usrp2.pc.in

1187 files changed:
Makefile.in [new file with mode: 0644]
aclocal.m4 [new file with mode: 0644]
config.h.in [new file with mode: 0644]
config/Makefile.in [new file with mode: 0644]
config/gr_omnithread.m4 [new file with mode: 0644]
config/grc_mblock.m4 [new file with mode: 0644]
config/grc_omnithread.m4 [new file with mode: 0644]
config/grc_pmt.m4 [new file with mode: 0644]
config/libtool.m4 [new file with mode: 0644]
config/ltoptions.m4 [new file with mode: 0644]
config/ltsugar.m4 [new file with mode: 0644]
config/ltversion.m4 [new file with mode: 0644]
config/lt~obsolete.m4 [new file with mode: 0644]
configure [new file with mode: 0755]
depcomp [new file with mode: 0755]
docs/Makefile.in [new file with mode: 0644]
docs/doxygen/Makefile.in [new file with mode: 0644]
docs/doxygen/other/Makefile.in [new file with mode: 0644]
docs/doxygen/other/omnithread.html [new file with mode: 0644]
docs/doxygen/other/omnithread.pdf [new file with mode: 0644]
docs/doxygen/other/omnithread.ps [new file with mode: 0644]
docs/doxygen/xml-swig/Makefile.in [new file with mode: 0644]
gcell/Makefile.in [new file with mode: 0644]
gcell/apps/Makefile.in [new file with mode: 0644]
gcell/apps/spu/Makefile.in [new file with mode: 0644]
gcell/ibm/Makefile.in [new file with mode: 0644]
gcell/include/Makefile.in [new file with mode: 0644]
gcell/include/gcell/Makefile.in [new file with mode: 0644]
gcell/include/gcell/spu/Makefile.in [new file with mode: 0644]
gcell/lib/Makefile.in [new file with mode: 0644]
gcell/lib/general/Makefile.in [new file with mode: 0644]
gcell/lib/runtime/Makefile.in [new file with mode: 0644]
gcell/lib/spu/Makefile.in [new file with mode: 0644]
gcell/lib/wrapper/Makefile.in [new file with mode: 0644]
gnuradio-core/Makefile.in [new file with mode: 0644]
gnuradio-core/src/Makefile.in [new file with mode: 0644]
gnuradio-core/src/gen_interpolator_taps/Makefile.in [new file with mode: 0644]
gnuradio-core/src/lib/Makefile.in [new file with mode: 0644]
gnuradio-core/src/lib/filter/Makefile.in [new file with mode: 0644]
gnuradio-core/src/lib/filter/filter_generated.i [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_fir_ccc.cc [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_fir_ccc.h [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_fir_ccc_generic.cc [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_fir_ccc_generic.h [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_fir_ccf.cc [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_fir_ccf.h [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_fir_ccf_generic.cc [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_fir_ccf_generic.h [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_fir_fcc.cc [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_fir_fcc.h [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_fir_fcc_generic.cc [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_fir_fcc_generic.h [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_fir_fff.cc [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_fir_fff.h [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_fir_fff_generic.cc [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_fir_fff_generic.h [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_fir_filter_ccc.cc [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_fir_filter_ccc.h [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_fir_filter_ccc.i [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_fir_filter_ccf.cc [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_fir_filter_ccf.h [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_fir_filter_ccf.i [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_fir_filter_fcc.cc [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_fir_filter_fcc.h [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_fir_filter_fcc.i [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_fir_filter_fff.cc [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_fir_filter_fff.h [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_fir_filter_fff.i [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_fir_filter_fsf.cc [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_fir_filter_fsf.h [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_fir_filter_fsf.i [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_fir_filter_scc.cc [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_fir_filter_scc.h [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_fir_filter_scc.i [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_fir_fsf.cc [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_fir_fsf.h [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_fir_fsf_generic.cc [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_fir_fsf_generic.h [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_fir_scc.cc [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_fir_scc.h [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_fir_scc_generic.cc [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_fir_scc_generic.h [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_fir_sysconfig.cc [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_fir_sysconfig.h [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_fir_sysconfig_generic.cc [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_fir_sysconfig_generic.h [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_fir_util.cc [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_fir_util.h [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_ccc.cc [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_ccc.h [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_ccc.i [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_ccf.cc [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_ccf.h [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_ccf.i [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_fcc.cc [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_fcc.h [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_fcc.i [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_fcf.cc [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_fcf.h [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_fcf.i [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_scc.cc [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_scc.h [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_scc.i [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_scf.cc [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_scf.h [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_scf.i [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_interp_fir_filter_ccc.cc [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_interp_fir_filter_ccc.h [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_interp_fir_filter_ccc.i [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_interp_fir_filter_ccf.cc [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_interp_fir_filter_ccf.h [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_interp_fir_filter_ccf.i [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_interp_fir_filter_fcc.cc [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_interp_fir_filter_fcc.h [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_interp_fir_filter_fcc.i [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_interp_fir_filter_fff.cc [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_interp_fir_filter_fff.h [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_interp_fir_filter_fff.i [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_interp_fir_filter_fsf.cc [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_interp_fir_filter_fsf.h [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_interp_fir_filter_fsf.i [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_interp_fir_filter_scc.cc [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_interp_fir_filter_scc.h [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_interp_fir_filter_scc.i [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_rational_resampler_base_ccc.cc [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_rational_resampler_base_ccc.h [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_rational_resampler_base_ccc.i [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_rational_resampler_base_ccf.cc [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_rational_resampler_base_ccf.h [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_rational_resampler_base_ccf.i [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_rational_resampler_base_fcc.cc [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_rational_resampler_base_fcc.h [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_rational_resampler_base_fcc.i [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_rational_resampler_base_fff.cc [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_rational_resampler_base_fff.h [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_rational_resampler_base_fff.i [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_rational_resampler_base_fsf.cc [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_rational_resampler_base_fsf.h [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_rational_resampler_base_fsf.i [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_rational_resampler_base_scc.cc [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_rational_resampler_base_scc.h [new file with mode: 0644]
gnuradio-core/src/lib/filter/gr_rational_resampler_base_scc.i [new file with mode: 0644]
gnuradio-core/src/lib/filter/stamp-sources-generate [new file with mode: 0644]
gnuradio-core/src/lib/g72x/Makefile.in [new file with mode: 0644]
gnuradio-core/src/lib/general/Makefile.in [new file with mode: 0644]
gnuradio-core/src/lib/general/gr_dd_mpsk_sync_cc.cc [new file with mode: 0644]
gnuradio-core/src/lib/general/gr_dd_mpsk_sync_cc.h [new file with mode: 0644]
gnuradio-core/src/lib/general/gr_dd_mpsk_sync_cc.i [new file with mode: 0644]
gnuradio-core/src/lib/general/gr_prefix.cc [new file with mode: 0644]
gnuradio-core/src/lib/general/gr_prefix.cc.in [new file with mode: 0644]
gnuradio-core/src/lib/general/gr_prefix.h [new file with mode: 0644]
gnuradio-core/src/lib/general/gr_prefix.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/Makefile.in [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gengen_generated.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_add_cc.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_add_cc.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_add_cc.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_add_const_cc.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_add_const_cc.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_add_const_cc.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_add_const_ff.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_add_const_ff.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_add_const_ff.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_add_const_ii.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_add_const_ii.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_add_const_ii.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_add_const_sf.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_add_const_sf.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_add_const_sf.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_add_const_ss.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_add_const_ss.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_add_const_ss.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_add_const_vcc.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_add_const_vcc.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_add_const_vcc.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_add_const_vff.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_add_const_vff.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_add_const_vff.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_add_const_vii.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_add_const_vii.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_add_const_vii.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_add_const_vss.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_add_const_vss.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_add_const_vss.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_add_ff.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_add_ff.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_add_ff.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_add_ii.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_add_ii.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_add_ii.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_add_ss.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_add_ss.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_add_ss.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_and_bb.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_and_bb.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_and_bb.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_and_const_bb.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_and_const_bb.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_and_const_bb.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_and_const_ii.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_and_const_ii.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_and_const_ii.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_and_const_ss.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_and_const_ss.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_and_const_ss.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_and_ii.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_and_ii.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_and_ii.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_and_ss.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_and_ss.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_and_ss.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_argmax_fs.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_argmax_fs.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_argmax_fs.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_argmax_is.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_argmax_is.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_argmax_is.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_argmax_ss.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_argmax_ss.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_argmax_ss.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_bc.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_bc.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_bc.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_bf.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_bf.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_bf.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_ic.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_ic.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_ic.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_if.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_if.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_if.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_sc.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_sc.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_sc.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_sf.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_sf.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_sf.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_divide_cc.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_divide_cc.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_divide_cc.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_divide_ff.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_divide_ff.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_divide_ff.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_divide_ii.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_divide_ii.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_divide_ii.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_divide_ss.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_divide_ss.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_divide_ss.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_integrate_cc.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_integrate_cc.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_integrate_cc.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_integrate_ff.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_integrate_ff.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_integrate_ff.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_integrate_ii.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_integrate_ii.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_integrate_ii.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_integrate_ss.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_integrate_ss.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_integrate_ss.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_max_ff.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_max_ff.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_max_ff.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_max_ii.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_max_ii.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_max_ii.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_max_ss.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_max_ss.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_max_ss.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_moving_average_cc.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_moving_average_cc.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_moving_average_cc.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_moving_average_ff.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_moving_average_ff.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_moving_average_ff.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_moving_average_ii.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_moving_average_ii.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_moving_average_ii.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_moving_average_ss.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_moving_average_ss.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_moving_average_ss.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_multiply_cc.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_multiply_cc.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_multiply_cc.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_multiply_const_cc.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_multiply_const_cc.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_multiply_const_cc.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_multiply_const_ff.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_multiply_const_ff.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_multiply_const_ff.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_multiply_const_ii.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_multiply_const_ii.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_multiply_const_ii.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_multiply_const_ss.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_multiply_const_ss.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_multiply_const_ss.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_multiply_const_vcc.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_multiply_const_vcc.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_multiply_const_vcc.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_multiply_const_vff.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_multiply_const_vff.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_multiply_const_vff.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_multiply_const_vii.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_multiply_const_vii.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_multiply_const_vii.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_multiply_const_vss.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_multiply_const_vss.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_multiply_const_vss.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_multiply_ff.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_multiply_ff.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_multiply_ff.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_multiply_ii.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_multiply_ii.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_multiply_ii.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_multiply_ss.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_multiply_ss.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_multiply_ss.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_mute_cc.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_mute_cc.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_mute_cc.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_mute_ff.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_mute_ff.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_mute_ff.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_mute_ii.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_mute_ii.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_mute_ii.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_mute_ss.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_mute_ss.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_mute_ss.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_noise_source_c.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_noise_source_c.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_noise_source_c.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_noise_source_f.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_noise_source_f.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_noise_source_f.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_noise_source_i.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_noise_source_i.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_noise_source_i.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_noise_source_s.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_noise_source_s.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_noise_source_s.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_not_bb.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_not_bb.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_not_bb.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_not_ii.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_not_ii.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_not_ii.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_not_ss.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_not_ss.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_not_ss.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_or_bb.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_or_bb.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_or_bb.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_or_ii.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_or_ii.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_or_ii.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_or_ss.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_or_ss.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_or_ss.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_packed_to_unpacked_bb.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_packed_to_unpacked_bb.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_packed_to_unpacked_bb.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_packed_to_unpacked_ii.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_packed_to_unpacked_ii.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_packed_to_unpacked_ii.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_packed_to_unpacked_ss.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_packed_to_unpacked_ss.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_packed_to_unpacked_ss.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_peak_detector_fb.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_peak_detector_fb.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_peak_detector_fb.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_peak_detector_ib.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_peak_detector_ib.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_peak_detector_ib.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_peak_detector_sb.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_peak_detector_sb.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_peak_detector_sb.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_sample_and_hold_bb.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_sample_and_hold_bb.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_sample_and_hold_bb.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_sample_and_hold_ff.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_sample_and_hold_ff.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_sample_and_hold_ff.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_sample_and_hold_ii.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_sample_and_hold_ii.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_sample_and_hold_ii.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_sample_and_hold_ss.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_sample_and_hold_ss.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_sample_and_hold_ss.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_sig_source_c.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_sig_source_c.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_sig_source_c.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_sig_source_f.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_sig_source_f.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_sig_source_f.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_sig_source_i.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_sig_source_i.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_sig_source_i.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_sig_source_s.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_sig_source_s.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_sig_source_s.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_sub_cc.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_sub_cc.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_sub_cc.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_sub_ff.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_sub_ff.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_sub_ff.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_sub_ii.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_sub_ii.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_sub_ii.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_sub_ss.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_sub_ss.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_sub_ss.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_unpacked_to_packed_bb.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_unpacked_to_packed_bb.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_unpacked_to_packed_bb.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_unpacked_to_packed_ii.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_unpacked_to_packed_ii.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_unpacked_to_packed_ii.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_unpacked_to_packed_ss.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_unpacked_to_packed_ss.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_unpacked_to_packed_ss.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_vector_sink_b.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_vector_sink_b.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_vector_sink_b.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_vector_sink_c.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_vector_sink_c.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_vector_sink_c.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_vector_sink_f.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_vector_sink_f.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_vector_sink_f.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_vector_sink_i.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_vector_sink_i.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_vector_sink_i.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_vector_sink_s.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_vector_sink_s.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_vector_sink_s.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_vector_source_b.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_vector_source_b.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_vector_source_b.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_vector_source_c.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_vector_source_c.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_vector_source_c.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_vector_source_f.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_vector_source_f.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_vector_source_f.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_vector_source_i.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_vector_source_i.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_vector_source_i.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_vector_source_s.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_vector_source_s.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_vector_source_s.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_xor_bb.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_xor_bb.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_xor_bb.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_xor_ii.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_xor_ii.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_xor_ii.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_xor_ss.cc [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_xor_ss.h [new file with mode: 0644]
gnuradio-core/src/lib/gengen/gr_xor_ss.i [new file with mode: 0644]
gnuradio-core/src/lib/gengen/stamp-sources-generate [new file with mode: 0644]
gnuradio-core/src/lib/hier/Makefile.in [new file with mode: 0644]
gnuradio-core/src/lib/io/Makefile.in [new file with mode: 0644]
gnuradio-core/src/lib/io/gri_logger.cc [new file with mode: 0644]
gnuradio-core/src/lib/io/gri_logger.h [new file with mode: 0644]
gnuradio-core/src/lib/missing/Makefile.in [new file with mode: 0644]
gnuradio-core/src/lib/reed-solomon/Makefile.in [new file with mode: 0644]
gnuradio-core/src/lib/runtime/Makefile.in [new file with mode: 0644]
gnuradio-core/src/lib/swig/Makefile.in [new file with mode: 0644]
gnuradio-core/src/lib/swig/gnuradio_swig_bug_workaround.h [new file with mode: 0644]
gnuradio-core/src/lib/viterbi/Makefile.in [new file with mode: 0644]
gnuradio-core/src/python/Makefile.in [new file with mode: 0644]
gnuradio-core/src/python/bin/Makefile.in [new file with mode: 0644]
gnuradio-core/src/python/gnuradio/Makefile.in [new file with mode: 0644]
gnuradio-core/src/python/gnuradio/blks2/Makefile.in [new file with mode: 0644]
gnuradio-core/src/python/gnuradio/blks2impl/Makefile.in [new file with mode: 0644]
gnuradio-core/src/python/gnuradio/gr/Makefile.in [new file with mode: 0644]
gnuradio-core/src/python/gnuradio/gru/Makefile.in [new file with mode: 0644]
gnuradio-core/src/python/gnuradio/gruimpl/Makefile.in [new file with mode: 0644]
gnuradio-core/src/python/gnuradio/vocoder/Makefile.in [new file with mode: 0644]
gnuradio-core/src/tests/Makefile.in [new file with mode: 0644]
gnuradio-core/src/utils/Makefile.in [new file with mode: 0644]
gnuradio-examples/Makefile.in [new file with mode: 0644]
gnuradio-examples/c++/Makefile.in [new file with mode: 0644]
gnuradio-examples/python/Makefile.in [new file with mode: 0644]
gnuradio-examples/python/apps/Makefile.in [new file with mode: 0644]
gnuradio-examples/python/apps/hf_explorer/Makefile.in [new file with mode: 0644]
gnuradio-examples/python/apps/hf_radio/Makefile.in [new file with mode: 0644]
gnuradio-examples/python/audio/Makefile.in [new file with mode: 0644]
gnuradio-examples/python/digital-bert/Makefile.in [new file with mode: 0644]
gnuradio-examples/python/digital/Makefile.in [new file with mode: 0644]
gnuradio-examples/python/digital/generic_usrp.py [new file with mode: 0644]
gnuradio-examples/python/digital/usrp_options.py [new file with mode: 0644]
gnuradio-examples/python/digital_voice/Makefile.in [new file with mode: 0644]
gnuradio-examples/python/mp-sched/Makefile.in [new file with mode: 0644]
gnuradio-examples/python/multi-antenna/Makefile.in [new file with mode: 0644]
gnuradio-examples/python/multi_usrp/Makefile.in [new file with mode: 0644]
gnuradio-examples/python/network/Makefile.in [new file with mode: 0644]
gnuradio-examples/python/ofdm/Makefile.in [new file with mode: 0644]
gnuradio-examples/python/usrp/Makefile.in [new file with mode: 0644]
gnuradio-examples/python/usrp2/Makefile.in [new file with mode: 0644]
gr-audio-alsa/Makefile.in [new file with mode: 0644]
gr-audio-alsa/gr-audio-alsa.pc.in [new file with mode: 0644]
gr-audio-alsa/src/Makefile.in [new file with mode: 0644]
gr-audio-jack/Makefile.in [new file with mode: 0644]
gr-audio-jack/src/Makefile.in [new file with mode: 0644]
gr-audio-oss/Makefile.in [new file with mode: 0644]
gr-audio-oss/src/Makefile.in [new file with mode: 0644]
gr-audio-osx/Makefile.in [new file with mode: 0644]
gr-audio-osx/src/Makefile.in [new file with mode: 0644]
gr-audio-osx/src/mld_threads.h [new file with mode: 0644]
gr-audio-portaudio/Makefile.in [new file with mode: 0644]
gr-audio-portaudio/src/Makefile.in [new file with mode: 0644]
gr-audio-windows/Makefile.in [new file with mode: 0644]
gr-audio-windows/src/Makefile.in [new file with mode: 0644]
gr-cvsd-vocoder/Makefile.in [new file with mode: 0644]
gr-cvsd-vocoder/src/Makefile.in [new file with mode: 0644]
gr-cvsd-vocoder/src/lib/Makefile.in [new file with mode: 0644]
gr-cvsd-vocoder/src/python/Makefile.in [new file with mode: 0644]
gr-gcell/Makefile.in [new file with mode: 0644]
gr-gcell/src/Makefile.in [new file with mode: 0644]
gr-gcell/src/examples/Makefile.in [new file with mode: 0644]
gr-gpio/Makefile.in [new file with mode: 0644]
gr-gpio/src/Makefile.in [new file with mode: 0644]
gr-gpio/src/fpga/Makefile.in [new file with mode: 0644]
gr-gpio/src/fpga/include/Makefile.in [new file with mode: 0644]
gr-gpio/src/fpga/lib/Makefile.in [new file with mode: 0644]
gr-gpio/src/fpga/rbf/Makefile.in [new file with mode: 0644]
gr-gpio/src/fpga/top/Makefile.in [new file with mode: 0644]
gr-gpio/src/python/Makefile.in [new file with mode: 0644]
gr-gsm-fr-vocoder/Makefile.in [new file with mode: 0644]
gr-gsm-fr-vocoder/src/Makefile.in [new file with mode: 0644]
gr-gsm-fr-vocoder/src/lib/Makefile.in [new file with mode: 0644]
gr-gsm-fr-vocoder/src/lib/gsm/Makefile.in [new file with mode: 0644]
gr-gsm-fr-vocoder/src/python/Makefile.in [new file with mode: 0644]
gr-pager/Makefile.in [new file with mode: 0644]
gr-pager/src/Makefile.am [new file with mode: 0644]
gr-pager/src/Makefile.in [new file with mode: 0644]
gr-pager/src/Makefile.swig.gen [new file with mode: 0644]
gr-pager/src/__init__.py [new file with mode: 0644]
gr-pager/src/flex_demod.py [new file with mode: 0644]
gr-pager/src/pager_flex_deinterleave.cc [new file with mode: 0644]
gr-pager/src/pager_flex_deinterleave.h [new file with mode: 0644]
gr-pager/src/pager_flex_frame.cc [new file with mode: 0644]
gr-pager/src/pager_flex_frame.h [new file with mode: 0644]
gr-pager/src/pager_flex_frame.i [new file with mode: 0644]
gr-pager/src/pager_flex_parse.cc [new file with mode: 0644]
gr-pager/src/pager_flex_parse.h [new file with mode: 0644]
gr-pager/src/pager_flex_sync.cc [new file with mode: 0644]
gr-pager/src/pager_flex_sync.h [new file with mode: 0644]
gr-pager/src/pager_slicer_fb.cc [new file with mode: 0644]
gr-pager/src/pager_slicer_fb.h [new file with mode: 0644]
gr-pager/src/pager_swig.i [new file with mode: 0644]
gr-pager/src/pager_utils.py [new file with mode: 0644]
gr-pager/src/pageri_bch3221.cc [new file with mode: 0644]
gr-pager/src/pageri_bch3221.h [new file with mode: 0644]
gr-pager/src/pageri_flex_modes.cc [new file with mode: 0644]
gr-pager/src/pageri_flex_modes.h [new file with mode: 0644]
gr-pager/src/pageri_util.cc [new file with mode: 0644]
gr-pager/src/pageri_util.h [new file with mode: 0644]
gr-pager/src/qa_pager.py [new file with mode: 0755]
gr-pager/src/run_tests.in [new file with mode: 0644]
gr-pager/src/usrp_flex.py [new file with mode: 0755]
gr-pager/src/usrp_flex_all.py [new file with mode: 0755]
gr-pager/src/usrp_flex_band.py [new file with mode: 0755]
gr-qtgui/Makefile.in [new file with mode: 0644]
gr-qtgui/src/Makefile.in [new file with mode: 0644]
gr-qtgui/src/lib/ConstellationDisplayPlot_moc.cc [new file with mode: 0644]
gr-qtgui/src/lib/FrequencyDisplayPlot_moc.cc [new file with mode: 0644]
gr-qtgui/src/lib/Makefile.in [new file with mode: 0644]
gr-qtgui/src/lib/TimeDomainDisplayPlot_moc.cc [new file with mode: 0644]
gr-qtgui/src/lib/Waterfall3DDisplayPlot_moc.cc [new file with mode: 0644]
gr-qtgui/src/lib/WaterfallDisplayPlot_moc.cc [new file with mode: 0644]
gr-qtgui/src/lib/spectrumdisplayform_moc.cc [new file with mode: 0644]
gr-qtgui/src/lib/spectrumdisplayform_ui.h [new file with mode: 0644]
gr-qtgui/src/python/Makefile.in [new file with mode: 0644]
gr-radar-mono/Makefile.in [new file with mode: 0644]
gr-radar-mono/doc/Makefile.in [new file with mode: 0644]
gr-radar-mono/src/Makefile.in [new file with mode: 0644]
gr-radar-mono/src/fpga/Makefile.in [new file with mode: 0644]
gr-radar-mono/src/fpga/lib/Makefile.in [new file with mode: 0644]
gr-radar-mono/src/fpga/models/Makefile.in [new file with mode: 0644]
gr-radar-mono/src/fpga/tb/Makefile.in [new file with mode: 0644]
gr-radar-mono/src/fpga/top/Makefile.in [new file with mode: 0644]
gr-radar-mono/src/lib/Makefile.in [new file with mode: 0644]
gr-radar-mono/src/python/Makefile.in [new file with mode: 0644]
gr-radio-astronomy/Makefile.in [new file with mode: 0644]
gr-radio-astronomy/src/Makefile.in [new file with mode: 0644]
gr-radio-astronomy/src/lib/Makefile.in [new file with mode: 0644]
gr-radio-astronomy/src/python/Makefile.in [new file with mode: 0644]
gr-sounder/Makefile.in [new file with mode: 0644]
gr-sounder/doc/Makefile.in [new file with mode: 0644]
gr-sounder/src/Makefile.in [new file with mode: 0644]
gr-sounder/src/fpga/Makefile.in [new file with mode: 0644]
gr-sounder/src/fpga/lib/Makefile.in [new file with mode: 0644]
gr-sounder/src/fpga/tb/Makefile.in [new file with mode: 0644]
gr-sounder/src/fpga/top/Makefile.in [new file with mode: 0644]
gr-sounder/src/lib/Makefile.in [new file with mode: 0644]
gr-sounder/src/python/Makefile.in [new file with mode: 0644]
gr-trellis/Makefile.in [new file with mode: 0644]
gr-trellis/doc/Makefile.in [new file with mode: 0644]
gr-trellis/src/Makefile.in [new file with mode: 0644]
gr-trellis/src/examples/Makefile.in [new file with mode: 0644]
gr-trellis/src/examples/fsm_files/Makefile.in [new file with mode: 0644]
gr-trellis/src/lib/Makefile.in [new file with mode: 0644]
gr-trellis/src/lib/stamp-sources-generate [new file with mode: 0644]
gr-trellis/src/lib/trellis_encoder_bb.cc [new file with mode: 0644]
gr-trellis/src/lib/trellis_encoder_bb.h [new file with mode: 0644]
gr-trellis/src/lib/trellis_encoder_bb.i [new file with mode: 0644]
gr-trellis/src/lib/trellis_encoder_bi.cc [new file with mode: 0644]
gr-trellis/src/lib/trellis_encoder_bi.h [new file with mode: 0644]
gr-trellis/src/lib/trellis_encoder_bi.i [new file with mode: 0644]
gr-trellis/src/lib/trellis_encoder_bs.cc [new file with mode: 0644]
gr-trellis/src/lib/trellis_encoder_bs.h [new file with mode: 0644]
gr-trellis/src/lib/trellis_encoder_bs.i [new file with mode: 0644]
gr-trellis/src/lib/trellis_encoder_ii.cc [new file with mode: 0644]
gr-trellis/src/lib/trellis_encoder_ii.h [new file with mode: 0644]
gr-trellis/src/lib/trellis_encoder_ii.i [new file with mode: 0644]
gr-trellis/src/lib/trellis_encoder_si.cc [new file with mode: 0644]
gr-trellis/src/lib/trellis_encoder_si.h [new file with mode: 0644]
gr-trellis/src/lib/trellis_encoder_si.i [new file with mode: 0644]
gr-trellis/src/lib/trellis_encoder_ss.cc [new file with mode: 0644]
gr-trellis/src/lib/trellis_encoder_ss.h [new file with mode: 0644]
gr-trellis/src/lib/trellis_encoder_ss.i [new file with mode: 0644]
gr-trellis/src/lib/trellis_generated.i [new file with mode: 0644]
gr-trellis/src/lib/trellis_metrics_c.cc [new file with mode: 0644]
gr-trellis/src/lib/trellis_metrics_c.h [new file with mode: 0644]
gr-trellis/src/lib/trellis_metrics_c.i [new file with mode: 0644]
gr-trellis/src/lib/trellis_metrics_f.cc [new file with mode: 0644]
gr-trellis/src/lib/trellis_metrics_f.h [new file with mode: 0644]
gr-trellis/src/lib/trellis_metrics_f.i [new file with mode: 0644]
gr-trellis/src/lib/trellis_metrics_i.cc [new file with mode: 0644]
gr-trellis/src/lib/trellis_metrics_i.h [new file with mode: 0644]
gr-trellis/src/lib/trellis_metrics_i.i [new file with mode: 0644]
gr-trellis/src/lib/trellis_metrics_s.cc [new file with mode: 0644]
gr-trellis/src/lib/trellis_metrics_s.h [new file with mode: 0644]
gr-trellis/src/lib/trellis_metrics_s.i [new file with mode: 0644]
gr-trellis/src/lib/trellis_viterbi_b.cc [new file with mode: 0644]
gr-trellis/src/lib/trellis_viterbi_b.h [new file with mode: 0644]
gr-trellis/src/lib/trellis_viterbi_b.i [new file with mode: 0644]
gr-trellis/src/lib/trellis_viterbi_combined_cb.cc [new file with mode: 0644]
gr-trellis/src/lib/trellis_viterbi_combined_cb.h [new file with mode: 0644]
gr-trellis/src/lib/trellis_viterbi_combined_cb.i [new file with mode: 0644]
gr-trellis/src/lib/trellis_viterbi_combined_ci.cc [new file with mode: 0644]
gr-trellis/src/lib/trellis_viterbi_combined_ci.h [new file with mode: 0644]
gr-trellis/src/lib/trellis_viterbi_combined_ci.i [new file with mode: 0644]
gr-trellis/src/lib/trellis_viterbi_combined_cs.cc [new file with mode: 0644]
gr-trellis/src/lib/trellis_viterbi_combined_cs.h [new file with mode: 0644]
gr-trellis/src/lib/trellis_viterbi_combined_cs.i [new file with mode: 0644]
gr-trellis/src/lib/trellis_viterbi_combined_fb.cc [new file with mode: 0644]
gr-trellis/src/lib/trellis_viterbi_combined_fb.h [new file with mode: 0644]
gr-trellis/src/lib/trellis_viterbi_combined_fb.i [new file with mode: 0644]
gr-trellis/src/lib/trellis_viterbi_combined_fi.cc [new file with mode: 0644]
gr-trellis/src/lib/trellis_viterbi_combined_fi.h [new file with mode: 0644]
gr-trellis/src/lib/trellis_viterbi_combined_fi.i [new file with mode: 0644]
gr-trellis/src/lib/trellis_viterbi_combined_fs.cc [new file with mode: 0644]
gr-trellis/src/lib/trellis_viterbi_combined_fs.h [new file with mode: 0644]
gr-trellis/src/lib/trellis_viterbi_combined_fs.i [new file with mode: 0644]
gr-trellis/src/lib/trellis_viterbi_combined_ib.cc [new file with mode: 0644]
gr-trellis/src/lib/trellis_viterbi_combined_ib.h [new file with mode: 0644]
gr-trellis/src/lib/trellis_viterbi_combined_ib.i [new file with mode: 0644]
gr-trellis/src/lib/trellis_viterbi_combined_ii.cc [new file with mode: 0644]
gr-trellis/src/lib/trellis_viterbi_combined_ii.h [new file with mode: 0644]
gr-trellis/src/lib/trellis_viterbi_combined_ii.i [new file with mode: 0644]
gr-trellis/src/lib/trellis_viterbi_combined_is.cc [new file with mode: 0644]
gr-trellis/src/lib/trellis_viterbi_combined_is.h [new file with mode: 0644]
gr-trellis/src/lib/trellis_viterbi_combined_is.i [new file with mode: 0644]
gr-trellis/src/lib/trellis_viterbi_combined_sb.cc [new file with mode: 0644]
gr-trellis/src/lib/trellis_viterbi_combined_sb.h [new file with mode: 0644]
gr-trellis/src/lib/trellis_viterbi_combined_sb.i [new file with mode: 0644]
gr-trellis/src/lib/trellis_viterbi_combined_si.cc [new file with mode: 0644]
gr-trellis/src/lib/trellis_viterbi_combined_si.h [new file with mode: 0644]
gr-trellis/src/lib/trellis_viterbi_combined_si.i [new file with mode: 0644]
gr-trellis/src/lib/trellis_viterbi_combined_ss.cc [new file with mode: 0644]
gr-trellis/src/lib/trellis_viterbi_combined_ss.h [new file with mode: 0644]
gr-trellis/src/lib/trellis_viterbi_combined_ss.i [new file with mode: 0644]
gr-trellis/src/lib/trellis_viterbi_i.cc [new file with mode: 0644]
gr-trellis/src/lib/trellis_viterbi_i.h [new file with mode: 0644]
gr-trellis/src/lib/trellis_viterbi_i.i [new file with mode: 0644]
gr-trellis/src/lib/trellis_viterbi_s.cc [new file with mode: 0644]
gr-trellis/src/lib/trellis_viterbi_s.h [new file with mode: 0644]
gr-trellis/src/lib/trellis_viterbi_s.i [new file with mode: 0644]
gr-trellis/src/python/Makefile.in [new file with mode: 0644]
gr-usrp/Makefile.in [new file with mode: 0644]
gr-usrp/apps/Makefile.in [new file with mode: 0644]
gr-usrp/src/Makefile.in [new file with mode: 0644]
gr-usrp2/Makefile.in [new file with mode: 0644]
gr-usrp2/src/Makefile.in [new file with mode: 0644]
gr-utils/Makefile.in [new file with mode: 0644]
gr-utils/src/Makefile.in [new file with mode: 0644]
gr-utils/src/lib/Makefile.in [new file with mode: 0644]
gr-utils/src/python/Makefile.in [new file with mode: 0644]
gr-utils/src/python/usrp2_siggen.py [new file with mode: 0755]
gr-utils/src/python/usrp2_siggen_gui.py [new file with mode: 0755]
gr-video-sdl/Makefile.in [new file with mode: 0644]
gr-video-sdl/src/Makefile.in [new file with mode: 0644]
gr-wxgui/Makefile.in [new file with mode: 0644]
gr-wxgui/src/Makefile.in [new file with mode: 0644]
gr-wxgui/src/python/Makefile.in [new file with mode: 0644]
gr-wxgui/src/python/plotter/Makefile.in [new file with mode: 0644]
grc/Makefile.in [new file with mode: 0644]
grc/Makefile.inc [new file with mode: 0644]
grc/__init__.py.in [new file with mode: 0644]
grc/base/Makefile.in [new file with mode: 0644]
grc/blocks/Makefile.in [new file with mode: 0644]
grc/blocks/gr_dd_mpsk_sync_cc.xml [new file with mode: 0644]
grc/examples/Makefile.am [new file with mode: 0644]
grc/examples/Makefile.in [new file with mode: 0644]
grc/examples/audio/dial_tone.grc [new file with mode: 0644]
grc/examples/simple/ber_simulation.grc [new file with mode: 0644]
grc/examples/simple/dpsk_loopback.grc [new file with mode: 0644]
grc/examples/simple/var_sink_taps.grc [new file with mode: 0644]
grc/examples/simple/variable_config.grc [new file with mode: 0644]
grc/examples/trellis/interference_cancellation.grc [new file with mode: 0644]
grc/examples/trellis/readme.txt [new file with mode: 0644]
grc/examples/usrp/usrp2_const_wave.grc [new file with mode: 0644]
grc/examples/usrp/usrp2_dpsk_mod.grc [new file with mode: 0644]
grc/examples/usrp/usrp2_fft.grc [new file with mode: 0644]
grc/examples/usrp/usrp_two_tone_loopback.grc [new file with mode: 0644]
grc/examples/usrp/usrp_wbfm_receive.grc [new file with mode: 0644]
grc/examples/xmlrpc/readme.txt [new file with mode: 0644]
grc/examples/xmlrpc/xmlrpc_client.grc [new file with mode: 0644]
grc/examples/xmlrpc/xmlrpc_client_script.py [new file with mode: 0644]
grc/examples/xmlrpc/xmlrpc_server.grc [new file with mode: 0644]
grc/freedesktop/Makefile.in [new file with mode: 0644]
grc/freedesktop/gnuradio-grc.desktop [new file with mode: 0644]
grc/freedesktop/grc_setup_freedesktop [new file with mode: 0644]
grc/grc.conf [new file with mode: 0644]
grc/grc_gnuradio/Makefile.in [new file with mode: 0644]
grc/gui/Makefile.in [new file with mode: 0644]
grc/gui/ParamsDialog.py [new file with mode: 0644]
grc/python/Makefile.in [new file with mode: 0644]
grc/scripts/Makefile.in [new file with mode: 0644]
grc/scripts/grc [new file with mode: 0755]
gruel/Makefile.in [new file with mode: 0644]
gruel/src/Makefile.in [new file with mode: 0644]
gruel/src/include/Makefile.in [new file with mode: 0644]
gruel/src/include/gruel/Makefile.in [new file with mode: 0644]
gruel/src/include/gruel/inet.h [new file with mode: 0644]
gruel/src/lib/Makefile.in [new file with mode: 0644]
install-sh [new file with mode: 0755]
ltmain.sh [new file with mode: 0755]
mblock/Makefile.am [new file with mode: 0644]
mblock/Makefile.in [new file with mode: 0644]
mblock/README [new file with mode: 0644]
mblock/doc/Makefile.am [new file with mode: 0644]
mblock/doc/Makefile.in [new file with mode: 0644]
mblock/mblock.pc.in [new file with mode: 0644]
mblock/src/Makefile.am [new file with mode: 0644]
mblock/src/Makefile.in [new file with mode: 0644]
mblock/src/include/Makefile.am [new file with mode: 0644]
mblock/src/include/Makefile.in [new file with mode: 0644]
mblock/src/include/mblock/Makefile.am [new file with mode: 0644]
mblock/src/include/mblock/Makefile.in [new file with mode: 0644]
mblock/src/include/mblock/class_registry.h [new file with mode: 0644]
mblock/src/include/mblock/common.h [new file with mode: 0644]
mblock/src/include/mblock/exception.h [new file with mode: 0644]
mblock/src/include/mblock/mblock.h [new file with mode: 0644]
mblock/src/include/mblock/message.h [new file with mode: 0644]
mblock/src/include/mblock/msg_accepter.h [new file with mode: 0644]
mblock/src/include/mblock/msg_queue.h [new file with mode: 0644]
mblock/src/include/mblock/port.h [new file with mode: 0644]
mblock/src/include/mblock/protocol_class.h [new file with mode: 0644]
mblock/src/include/mblock/runtime.h [new file with mode: 0644]
mblock/src/include/mblock/time.h [new file with mode: 0644]
mblock/src/lib/Makefile.am [new file with mode: 0644]
mblock/src/lib/Makefile.in [new file with mode: 0644]
mblock/src/lib/README.locking [new file with mode: 0644]
mblock/src/lib/benchmark_send.cc [new file with mode: 0644]
mblock/src/lib/mb_class_registry.cc [new file with mode: 0644]
mblock/src/lib/mb_connection.cc [new file with mode: 0644]
mblock/src/lib/mb_connection.h [new file with mode: 0644]
mblock/src/lib/mb_endpoint.cc [new file with mode: 0644]
mblock/src/lib/mb_endpoint.h [new file with mode: 0644]
mblock/src/lib/mb_exception.cc [new file with mode: 0644]
mblock/src/lib/mb_gettid.cc [new file with mode: 0644]
mblock/src/lib/mb_gettid.h [new file with mode: 0644]
mblock/src/lib/mb_mblock.cc [new file with mode: 0644]
mblock/src/lib/mb_mblock_impl.cc [new file with mode: 0644]
mblock/src/lib/mb_mblock_impl.h [new file with mode: 0644]
mblock/src/lib/mb_message.cc [new file with mode: 0644]
mblock/src/lib/mb_msg_accepter.cc [new file with mode: 0644]
mblock/src/lib/mb_msg_accepter_msgq.cc [new file with mode: 0644]
mblock/src/lib/mb_msg_accepter_msgq.h [new file with mode: 0644]
mblock/src/lib/mb_msg_accepter_smp.cc [new file with mode: 0644]
mblock/src/lib/mb_msg_accepter_smp.h [new file with mode: 0644]
mblock/src/lib/mb_msg_queue.cc [new file with mode: 0644]
mblock/src/lib/mb_port.cc [new file with mode: 0644]
mblock/src/lib/mb_port_simple.cc [new file with mode: 0644]
mblock/src/lib/mb_port_simple.h [new file with mode: 0644]
mblock/src/lib/mb_protocol_class.cc [new file with mode: 0644]
mblock/src/lib/mb_runtime.cc [new file with mode: 0644]
mblock/src/lib/mb_runtime_base.cc [new file with mode: 0644]
mblock/src/lib/mb_runtime_base.h [new file with mode: 0644]
mblock/src/lib/mb_runtime_nop.cc [new file with mode: 0644]
mblock/src/lib/mb_runtime_nop.h [new file with mode: 0644]
mblock/src/lib/mb_runtime_thread_per_block.cc [new file with mode: 0644]
mblock/src/lib/mb_runtime_thread_per_block.h [new file with mode: 0644]
mblock/src/lib/mb_timer_queue.cc [new file with mode: 0644]
mblock/src/lib/mb_timer_queue.h [new file with mode: 0644]
mblock/src/lib/mb_util.cc [new file with mode: 0644]
mblock/src/lib/mb_util.h [new file with mode: 0644]
mblock/src/lib/mb_worker.cc [new file with mode: 0644]
mblock/src/lib/mb_worker.h [new file with mode: 0644]
mblock/src/lib/mbi_runtime_lock.h [new file with mode: 0644]
mblock/src/lib/qa_bitset.cc [new file with mode: 0644]
mblock/src/lib/qa_bitset.mbh [new file with mode: 0644]
mblock/src/lib/qa_bitset_mbh.cc [new file with mode: 0644]
mblock/src/lib/qa_disconnect.cc [new file with mode: 0644]
mblock/src/lib/qa_mblock.cc [new file with mode: 0644]
mblock/src/lib/qa_mblock.h [new file with mode: 0644]
mblock/src/lib/qa_mblock_prims.cc [new file with mode: 0644]
mblock/src/lib/qa_mblock_prims.h [new file with mode: 0644]
mblock/src/lib/qa_mblock_send.cc [new file with mode: 0644]
mblock/src/lib/qa_mblock_send.h [new file with mode: 0644]
mblock/src/lib/qa_mblock_sys.cc [new file with mode: 0644]
mblock/src/lib/qa_mblock_sys.h [new file with mode: 0644]
mblock/src/lib/qa_timeouts.cc [new file with mode: 0644]
mblock/src/lib/qa_timeouts.h [new file with mode: 0644]
mblock/src/lib/test_mblock.cc [new file with mode: 0644]
mblock/src/scheme/Makefile.am [new file with mode: 0644]
mblock/src/scheme/Makefile.in [new file with mode: 0644]
mblock/src/scheme/gnuradio/Makefile.am [new file with mode: 0644]
mblock/src/scheme/gnuradio/Makefile.in [new file with mode: 0644]
mblock/src/scheme/gnuradio/compile-mbh.scm [new file with mode: 0755]
missing [new file with mode: 0755]
omnithread/Makefile.am [new file with mode: 0644]
omnithread/Makefile.in [new file with mode: 0644]
omnithread/dir.mk [new file with mode: 0644]
omnithread/gnuradio-omnithread.pc.in [new file with mode: 0644]
omnithread/gnuradio/Makefile.am [new file with mode: 0644]
omnithread/gnuradio/Makefile.in [new file with mode: 0644]
omnithread/gnuradio/omni_time.h [new file with mode: 0644]
omnithread/gnuradio/omnithread.h [new file with mode: 0644]
omnithread/gnuradio/ot_VxThread.h [new file with mode: 0644]
omnithread/gnuradio/ot_mach.h [new file with mode: 0644]
omnithread/gnuradio/ot_nt.h [new file with mode: 0644]
omnithread/gnuradio/ot_posix.h [new file with mode: 0644]
omnithread/gnuradio/ot_pthread_nt.h [new file with mode: 0644]
omnithread/gnuradio/ot_solaris.h [new file with mode: 0644]
omnithread/mach.cc [new file with mode: 0644]
omnithread/nt.cc [new file with mode: 0644]
omnithread/omni_time.cc [new file with mode: 0644]
omnithread/posix.cc [new file with mode: 0644]
omnithread/solaris.cc [new file with mode: 0644]
omnithread/threaddata.cc [new file with mode: 0644]
omnithread/vxWorks.cc [new file with mode: 0644]
pmt/Makefile.am [new file with mode: 0644]
pmt/Makefile.in [new file with mode: 0644]
pmt/README [new file with mode: 0644]
pmt/doc/Makefile.am [new file with mode: 0644]
pmt/doc/Makefile.in [new file with mode: 0644]
pmt/pmt.pc.in [new file with mode: 0644]
pmt/src/Makefile.am [new file with mode: 0644]
pmt/src/Makefile.in [new file with mode: 0644]
pmt/src/lib/Makefile.am [new file with mode: 0644]
pmt/src/lib/Makefile.in [new file with mode: 0644]
pmt/src/lib/generate_unv.py [new file with mode: 0755]
pmt/src/lib/pmt.cc [new file with mode: 0644]
pmt/src/lib/pmt.h [new file with mode: 0644]
pmt/src/lib/pmt_int.h [new file with mode: 0644]
pmt/src/lib/pmt_io.cc [new file with mode: 0644]
pmt/src/lib/pmt_pool.cc [new file with mode: 0644]
pmt/src/lib/pmt_pool.h [new file with mode: 0644]
pmt/src/lib/pmt_serial_tags.h [new file with mode: 0644]
pmt/src/lib/pmt_serialize.cc [new file with mode: 0644]
pmt/src/lib/pmt_unv.cc [new file with mode: 0644]
pmt/src/lib/pmt_unv_int.h [new file with mode: 0644]
pmt/src/lib/qa_pmt.cc [new file with mode: 0644]
pmt/src/lib/qa_pmt.h [new file with mode: 0644]
pmt/src/lib/qa_pmt_prims.cc [new file with mode: 0644]
pmt/src/lib/qa_pmt_prims.h [new file with mode: 0644]
pmt/src/lib/qa_pmt_unv.cc [new file with mode: 0644]
pmt/src/lib/qa_pmt_unv.h [new file with mode: 0644]
pmt/src/lib/stamp-sources-generate [new file with mode: 0644]
pmt/src/lib/test_pmt.cc [new file with mode: 0644]
pmt/src/lib/unv_qa_template.cc.t [new file with mode: 0644]
pmt/src/lib/unv_template.cc.t [new file with mode: 0644]
pmt/src/lib/unv_template.h.t [new file with mode: 0644]
pmt/src/scheme/Makefile.am [new file with mode: 0644]
pmt/src/scheme/Makefile.in [new file with mode: 0644]
pmt/src/scheme/gnuradio/Makefile.am [new file with mode: 0644]
pmt/src/scheme/gnuradio/Makefile.in [new file with mode: 0644]
pmt/src/scheme/gnuradio/gen-serial-tags.scm [new file with mode: 0755]
pmt/src/scheme/gnuradio/macros-etc.scm [new file with mode: 0644]
pmt/src/scheme/gnuradio/pmt-serial-tags.scm [new file with mode: 0644]
pmt/src/scheme/gnuradio/pmt-serialize.scm [new file with mode: 0644]
py-compile [new file with mode: 0755]
usrp/Makefile.in [new file with mode: 0644]
usrp/doc/Makefile.in [new file with mode: 0644]
usrp/doc/other/Makefile.in [new file with mode: 0644]
usrp/firmware/Makefile.in [new file with mode: 0644]
usrp/firmware/include/Makefile.in [new file with mode: 0644]
usrp/firmware/lib/Makefile.in [new file with mode: 0644]
usrp/firmware/src/Makefile.in [new file with mode: 0644]
usrp/firmware/src/common/Makefile.in [new file with mode: 0644]
usrp/firmware/src/usrp2/Makefile.in [new file with mode: 0644]
usrp/firmware/src/usrp2/usrp_gpif.c [new file with mode: 0644]
usrp/fpga/Makefile.extra [new file with mode: 0644]
usrp/fpga/Makefile.in [new file with mode: 0644]
usrp/fpga/TODO [new file with mode: 0644]
usrp/fpga/gen_makefile_extra.py [new file with mode: 0755]
usrp/fpga/inband_lib/chan_fifo_reader.v [new file with mode: 0755]
usrp/fpga/inband_lib/channel_demux.v [new file with mode: 0644]
usrp/fpga/inband_lib/channel_ram.v [new file with mode: 0755]
usrp/fpga/inband_lib/cmd_reader.v [new file with mode: 0755]
usrp/fpga/inband_lib/packet_builder.v [new file with mode: 0755]
usrp/fpga/inband_lib/register_io.v [new file with mode: 0755]
usrp/fpga/inband_lib/rx_buffer_inband.v [new file with mode: 0755]
usrp/fpga/inband_lib/tx_buffer_inband.v [new file with mode: 0755]
usrp/fpga/inband_lib/tx_packer.v [new file with mode: 0644]
usrp/fpga/inband_lib/usb_packet_fifo.v [new file with mode: 0755]
usrp/fpga/megacells/accum32.bsf [new file with mode: 0755]
usrp/fpga/megacells/accum32.cmp [new file with mode: 0755]
usrp/fpga/megacells/accum32.inc [new file with mode: 0755]
usrp/fpga/megacells/accum32.v [new file with mode: 0755]
usrp/fpga/megacells/accum32_bb.v [new file with mode: 0755]
usrp/fpga/megacells/accum32_inst.v [new file with mode: 0755]
usrp/fpga/megacells/add32.bsf [new file with mode: 0755]
usrp/fpga/megacells/add32.cmp [new file with mode: 0755]
usrp/fpga/megacells/add32.inc [new file with mode: 0755]
usrp/fpga/megacells/add32.v [new file with mode: 0755]
usrp/fpga/megacells/add32_bb.v [new file with mode: 0755]
usrp/fpga/megacells/add32_inst.v [new file with mode: 0755]
usrp/fpga/megacells/addsub16.bsf [new file with mode: 0755]
usrp/fpga/megacells/addsub16.cmp [new file with mode: 0755]
usrp/fpga/megacells/addsub16.inc [new file with mode: 0755]
usrp/fpga/megacells/addsub16.v [new file with mode: 0755]
usrp/fpga/megacells/addsub16_bb.v [new file with mode: 0755]
usrp/fpga/megacells/addsub16_inst.v [new file with mode: 0755]
usrp/fpga/megacells/bustri.bsf [new file with mode: 0755]
usrp/fpga/megacells/bustri.cmp [new file with mode: 0755]
usrp/fpga/megacells/bustri.inc [new file with mode: 0755]
usrp/fpga/megacells/bustri.v [new file with mode: 0755]
usrp/fpga/megacells/bustri_bb.v [new file with mode: 0755]
usrp/fpga/megacells/bustri_inst.v [new file with mode: 0755]
usrp/fpga/megacells/clk_doubler.v [new file with mode: 0644]
usrp/fpga/megacells/clk_doubler_bb.v [new file with mode: 0644]
usrp/fpga/megacells/dspclkpll.v [new file with mode: 0644]
usrp/fpga/megacells/dspclkpll_bb.v [new file with mode: 0644]
usrp/fpga/megacells/fifo_1kx16.bsf [new file with mode: 0755]
usrp/fpga/megacells/fifo_1kx16.cmp [new file with mode: 0755]
usrp/fpga/megacells/fifo_1kx16.inc [new file with mode: 0755]
usrp/fpga/megacells/fifo_1kx16.v [new file with mode: 0755]
usrp/fpga/megacells/fifo_1kx16_bb.v [new file with mode: 0755]
usrp/fpga/megacells/fifo_1kx16_inst.v [new file with mode: 0755]
usrp/fpga/megacells/fifo_2k.v [new file with mode: 0644]
usrp/fpga/megacells/fifo_2k_bb.v [new file with mode: 0644]
usrp/fpga/megacells/fifo_4k.v [new file with mode: 0644]
usrp/fpga/megacells/fifo_4k_18.v [new file with mode: 0755]
usrp/fpga/megacells/fifo_4k_bb.v [new file with mode: 0644]
usrp/fpga/megacells/fifo_4kx16_dc.bsf [new file with mode: 0755]
usrp/fpga/megacells/fifo_4kx16_dc.cmp [new file with mode: 0755]
usrp/fpga/megacells/fifo_4kx16_dc.inc [new file with mode: 0755]
usrp/fpga/megacells/fifo_4kx16_dc.v [new file with mode: 0755]
usrp/fpga/megacells/fifo_4kx16_dc_bb.v [new file with mode: 0755]
usrp/fpga/megacells/fifo_4kx16_dc_inst.v [new file with mode: 0755]
usrp/fpga/megacells/mylpm_addsub.bsf [new file with mode: 0755]
usrp/fpga/megacells/mylpm_addsub.cmp [new file with mode: 0755]
usrp/fpga/megacells/mylpm_addsub.inc [new file with mode: 0755]
usrp/fpga/megacells/mylpm_addsub.v [new file with mode: 0755]
usrp/fpga/megacells/mylpm_addsub_bb.v [new file with mode: 0755]
usrp/fpga/megacells/mylpm_addsub_inst.v [new file with mode: 0755]
usrp/fpga/megacells/pll.v [new file with mode: 0644]
usrp/fpga/megacells/pll_bb.v [new file with mode: 0644]
usrp/fpga/megacells/pll_inst.v [new file with mode: 0644]
usrp/fpga/megacells/sub32.bsf [new file with mode: 0755]
usrp/fpga/megacells/sub32.cmp [new file with mode: 0755]
usrp/fpga/megacells/sub32.inc [new file with mode: 0755]
usrp/fpga/megacells/sub32.v [new file with mode: 0755]
usrp/fpga/megacells/sub32_bb.v [new file with mode: 0755]
usrp/fpga/megacells/sub32_inst.v [new file with mode: 0755]
usrp/fpga/models/bustri.v [new file with mode: 0644]
usrp/fpga/models/fifo.v [new file with mode: 0644]
usrp/fpga/models/fifo_1c_1k.v [new file with mode: 0644]
usrp/fpga/models/fifo_1c_2k.v [new file with mode: 0644]
usrp/fpga/models/fifo_1c_4k.v [new file with mode: 0644]
usrp/fpga/models/fifo_1k.v [new file with mode: 0644]
usrp/fpga/models/fifo_2k.v [new file with mode: 0644]
usrp/fpga/models/fifo_4k.v [new file with mode: 0644]
usrp/fpga/models/fifo_4k_18.v [new file with mode: 0644]
usrp/fpga/models/pll.v [new file with mode: 0644]
usrp/fpga/models/ssram.v [new file with mode: 0644]
usrp/fpga/rbf/Makefile.in [new file with mode: 0644]
usrp/fpga/rbf/rev2/Makefile.in [new file with mode: 0644]
usrp/fpga/rbf/rev4/Makefile.in [new file with mode: 0644]
usrp/fpga/sdr_lib/adc_interface.v [new file with mode: 0644]
usrp/fpga/sdr_lib/atr_delay.v [new file with mode: 0644]
usrp/fpga/sdr_lib/bidir_reg.v [new file with mode: 0644]
usrp/fpga/sdr_lib/cic_dec_shifter.v [new file with mode: 0644]
usrp/fpga/sdr_lib/cic_decim.v [new file with mode: 0755]
usrp/fpga/sdr_lib/cic_int_shifter.v [new file with mode: 0644]
usrp/fpga/sdr_lib/cic_interp.v [new file with mode: 0755]
usrp/fpga/sdr_lib/clk_divider.v [new file with mode: 0755]
usrp/fpga/sdr_lib/cordic.v [new file with mode: 0755]
usrp/fpga/sdr_lib/cordic_stage.v [new file with mode: 0755]
usrp/fpga/sdr_lib/ddc.v [new file with mode: 0755]
usrp/fpga/sdr_lib/dpram.v [new file with mode: 0644]
usrp/fpga/sdr_lib/duc.v [new file with mode: 0755]
usrp/fpga/sdr_lib/ext_fifo.v [new file with mode: 0644]
usrp/fpga/sdr_lib/gen_cordic_consts.py [new file with mode: 0755]
usrp/fpga/sdr_lib/gen_sync.v [new file with mode: 0644]
usrp/fpga/sdr_lib/hb/acc.v [new file with mode: 0644]
usrp/fpga/sdr_lib/hb/coeff_rom.v [new file with mode: 0644]
usrp/fpga/sdr_lib/hb/halfband_decim.v [new file with mode: 0644]
usrp/fpga/sdr_lib/hb/halfband_interp.v [new file with mode: 0644]
usrp/fpga/sdr_lib/hb/hbd_tb/test_hbd.v [new file with mode: 0644]
usrp/fpga/sdr_lib/hb/mac.v [new file with mode: 0644]
usrp/fpga/sdr_lib/hb/mult.v [new file with mode: 0644]
usrp/fpga/sdr_lib/hb/ram16_2port.v [new file with mode: 0644]
usrp/fpga/sdr_lib/hb/ram16_2sum.v [new file with mode: 0644]
usrp/fpga/sdr_lib/hb/ram32_2sum.v [new file with mode: 0644]
usrp/fpga/sdr_lib/io_pins.v [new file with mode: 0644]
usrp/fpga/sdr_lib/master_control.v [new file with mode: 0644]
usrp/fpga/sdr_lib/master_control_multi.v [new file with mode: 0644]
usrp/fpga/sdr_lib/phase_acc.v [new file with mode: 0755]
usrp/fpga/sdr_lib/ram.v [new file with mode: 0644]
usrp/fpga/sdr_lib/ram16.v [new file with mode: 0644]
usrp/fpga/sdr_lib/ram32.v [new file with mode: 0644]
usrp/fpga/sdr_lib/ram64.v [new file with mode: 0644]
usrp/fpga/sdr_lib/rssi.v [new file with mode: 0644]
usrp/fpga/sdr_lib/rx_buffer.v [new file with mode: 0644]
usrp/fpga/sdr_lib/rx_chain.v [new file with mode: 0644]
usrp/fpga/sdr_lib/rx_chain_dual.v [new file with mode: 0644]
usrp/fpga/sdr_lib/rx_dcoffset.v [new file with mode: 0644]
usrp/fpga/sdr_lib/serial_io.v [new file with mode: 0644]
usrp/fpga/sdr_lib/setting_reg.v [new file with mode: 0644]
usrp/fpga/sdr_lib/setting_reg_masked.v [new file with mode: 0644]
usrp/fpga/sdr_lib/sign_extend.v [new file with mode: 0644]
usrp/fpga/sdr_lib/strobe_gen.v [new file with mode: 0644]
usrp/fpga/sdr_lib/tx_buffer.v [new file with mode: 0644]
usrp/fpga/sdr_lib/tx_chain.v [new file with mode: 0644]
usrp/fpga/sdr_lib/tx_chain_hb.v [new file with mode: 0644]
usrp/fpga/tb/cbus_tb.v [new file with mode: 0644]
usrp/fpga/tb/cordic_tb.v [new file with mode: 0644]
usrp/fpga/tb/decim_tb.v [new file with mode: 0644]
usrp/fpga/tb/fullchip_tb.v [new file with mode: 0755]
usrp/fpga/tb/interp_tb.v [new file with mode: 0755]
usrp/fpga/tb/justinterp_tb.v [new file with mode: 0644]
usrp/fpga/tb/usrp_tasks.v [new file with mode: 0755]
usrp/fpga/toplevel/include/common_config_1rxhb_1tx.vh [new file with mode: 0644]
usrp/fpga/toplevel/include/common_config_2rx_0tx.vh [new file with mode: 0644]
usrp/fpga/toplevel/include/common_config_2rxhb_0tx.vh [new file with mode: 0644]
usrp/fpga/toplevel/include/common_config_2rxhb_2tx.vh [new file with mode: 0644]
usrp/fpga/toplevel/include/common_config_4rx_0tx.vh [new file with mode: 0644]
usrp/fpga/toplevel/include/common_config_bottom.vh [new file with mode: 0644]
usrp/fpga/toplevel/mrfm/biquad_2stage.v [new file with mode: 0644]
usrp/fpga/toplevel/mrfm/biquad_6stage.v [new file with mode: 0644]
usrp/fpga/toplevel/mrfm/mrfm.csf [new file with mode: 0644]
usrp/fpga/toplevel/mrfm/mrfm.esf [new file with mode: 0644]
usrp/fpga/toplevel/mrfm/mrfm.psf [new file with mode: 0644]
usrp/fpga/toplevel/mrfm/mrfm.py [new file with mode: 0644]
usrp/fpga/toplevel/mrfm/mrfm.qpf [new file with mode: 0644]
usrp/fpga/toplevel/mrfm/mrfm.qsf [new file with mode: 0644]
usrp/fpga/toplevel/mrfm/mrfm.v [new file with mode: 0644]
usrp/fpga/toplevel/mrfm/mrfm.vh [new file with mode: 0644]
usrp/fpga/toplevel/mrfm/mrfm_compensator.v [new file with mode: 0644]
usrp/fpga/toplevel/mrfm/mrfm_fft.py [new file with mode: 0755]
usrp/fpga/toplevel/mrfm/mrfm_proc.v [new file with mode: 0644]
usrp/fpga/toplevel/mrfm/shifter.v [new file with mode: 0644]
usrp/fpga/toplevel/sizetest/sizetest.csf [new file with mode: 0644]
usrp/fpga/toplevel/sizetest/sizetest.psf [new file with mode: 0644]
usrp/fpga/toplevel/sizetest/sizetest.v [new file with mode: 0644]
usrp/fpga/toplevel/usrp_inband_usb/config.vh [new file with mode: 0644]
usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.csf [new file with mode: 0644]
usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.esf [new file with mode: 0644]
usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.psf [new file with mode: 0644]
usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.qpf [new file with mode: 0644]
usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.qsf [new file with mode: 0644]
usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.v [new file with mode: 0644]
usrp/fpga/toplevel/usrp_multi/config.vh [new file with mode: 0644]
usrp/fpga/toplevel/usrp_multi/usrp_multi.csf [new file with mode: 0644]
usrp/fpga/toplevel/usrp_multi/usrp_multi.esf [new file with mode: 0644]
usrp/fpga/toplevel/usrp_multi/usrp_multi.psf [new file with mode: 0644]
usrp/fpga/toplevel/usrp_multi/usrp_multi.qpf [new file with mode: 0644]
usrp/fpga/toplevel/usrp_multi/usrp_multi.qsf [new file with mode: 0644]
usrp/fpga/toplevel/usrp_multi/usrp_multi.v [new file with mode: 0644]
usrp/fpga/toplevel/usrp_std/config.vh [new file with mode: 0644]
usrp/fpga/toplevel/usrp_std/usrp_std.csf [new file with mode: 0644]
usrp/fpga/toplevel/usrp_std/usrp_std.esf [new file with mode: 0644]
usrp/fpga/toplevel/usrp_std/usrp_std.psf [new file with mode: 0644]
usrp/fpga/toplevel/usrp_std/usrp_std.qpf [new file with mode: 0644]
usrp/fpga/toplevel/usrp_std/usrp_std.qsf [new file with mode: 0644]
usrp/fpga/toplevel/usrp_std/usrp_std.v [new file with mode: 0644]
usrp/host/Makefile.in [new file with mode: 0644]
usrp/host/apps/Makefile.in [new file with mode: 0644]
usrp/host/lib/Makefile.in [new file with mode: 0644]
usrp/host/lib/legacy/Makefile.am [new file with mode: 0644]
usrp/host/lib/legacy/Makefile.in [new file with mode: 0644]
usrp/host/lib/legacy/README_OSX [new file with mode: 0644]
usrp/host/lib/legacy/ad9862.h [new file with mode: 0644]
usrp/host/lib/legacy/check_data.py [new file with mode: 0755]
usrp/host/lib/legacy/circular_buffer.h [new file with mode: 0644]
usrp/host/lib/legacy/circular_linked_list.h [new file with mode: 0644]
usrp/host/lib/legacy/darwin_libusb.h [new file with mode: 0644]
usrp/host/lib/legacy/db_base.cc [new file with mode: 0644]
usrp/host/lib/legacy/db_base.h [new file with mode: 0644]
usrp/host/lib/legacy/db_base.i [new file with mode: 0644]
usrp/host/lib/legacy/db_base_impl.h [new file with mode: 0644]
usrp/host/lib/legacy/db_basic.cc [new file with mode: 0644]
usrp/host/lib/legacy/db_basic.h [new file with mode: 0644]
usrp/host/lib/legacy/db_boards.cc [new file with mode: 0644]
usrp/host/lib/legacy/db_boards.h [new file with mode: 0644]
usrp/host/lib/legacy/db_dbs_rx.cc [new file with mode: 0644]
usrp/host/lib/legacy/db_dbs_rx.h [new file with mode: 0644]
usrp/host/lib/legacy/db_dtt754.cc [new file with mode: 0644]
usrp/host/lib/legacy/db_dtt754.h [new file with mode: 0644]
usrp/host/lib/legacy/db_dtt768.cc [new file with mode: 0644]
usrp/host/lib/legacy/db_dtt768.h [new file with mode: 0644]
usrp/host/lib/legacy/db_flexrf.cc [new file with mode: 0644]
usrp/host/lib/legacy/db_flexrf.h [new file with mode: 0644]
usrp/host/lib/legacy/db_flexrf_mimo.cc [new file with mode: 0644]
usrp/host/lib/legacy/db_flexrf_mimo.h [new file with mode: 0644]
usrp/host/lib/legacy/db_tv_rx.cc [new file with mode: 0644]
usrp/host/lib/legacy/db_tv_rx.h [new file with mode: 0644]
usrp/host/lib/legacy/db_util.cc [new file with mode: 0644]
usrp/host/lib/legacy/db_util.h [new file with mode: 0644]
usrp/host/lib/legacy/db_wbx.h [new file with mode: 0644]
usrp/host/lib/legacy/db_xcvr2450.cc [new file with mode: 0644]
usrp/host/lib/legacy/db_xcvr2450.h [new file with mode: 0644]
usrp/host/lib/legacy/dump_data.py [new file with mode: 0755]
usrp/host/lib/legacy/fusb.cc [new file with mode: 0644]
usrp/host/lib/legacy/fusb.h [new file with mode: 0644]
usrp/host/lib/legacy/fusb_darwin.cc [new file with mode: 0644]
usrp/host/lib/legacy/fusb_darwin.h [new file with mode: 0644]
usrp/host/lib/legacy/fusb_generic.cc [new file with mode: 0644]
usrp/host/lib/legacy/fusb_generic.h [new file with mode: 0644]
usrp/host/lib/legacy/fusb_linux.cc [new file with mode: 0644]
usrp/host/lib/legacy/fusb_linux.h [new file with mode: 0644]
usrp/host/lib/legacy/fusb_ra_wb.cc [new file with mode: 0644]
usrp/host/lib/legacy/fusb_ra_wb.h [new file with mode: 0644]
usrp/host/lib/legacy/fusb_sysconfig_darwin.cc [new file with mode: 0644]
usrp/host/lib/legacy/fusb_sysconfig_generic.cc [new file with mode: 0644]
usrp/host/lib/legacy/fusb_sysconfig_linux.cc [new file with mode: 0644]
usrp/host/lib/legacy/fusb_sysconfig_ra_wb.cc [new file with mode: 0644]
usrp/host/lib/legacy/fusb_sysconfig_win32.cc [new file with mode: 0644]
usrp/host/lib/legacy/fusb_win32.cc [new file with mode: 0644]
usrp/host/lib/legacy/fusb_win32.h [new file with mode: 0644]
usrp/host/lib/legacy/gen_usrp_dbid.py [new file with mode: 0755]
usrp/host/lib/legacy/md5.c [new file with mode: 0644]
usrp/host/lib/legacy/md5.h [new file with mode: 0644]
usrp/host/lib/legacy/mld_threads.h [new file with mode: 0644]
usrp/host/lib/legacy/rate_to_regval.h [new file with mode: 0644]
usrp/host/lib/legacy/stamp-sources-generate [new file with mode: 0644]
usrp/host/lib/legacy/std_paths.h.in [new file with mode: 0644]
usrp/host/lib/legacy/usrp_basic.cc [new file with mode: 0644]
usrp/host/lib/legacy/usrp_basic.h [new file with mode: 0644]
usrp/host/lib/legacy/usrp_bytesex.h [new file with mode: 0644]
usrp/host/lib/legacy/usrp_config.cc [new file with mode: 0644]
usrp/host/lib/legacy/usrp_config.h [new file with mode: 0644]
usrp/host/lib/legacy/usrp_dbid.cc [new file with mode: 0644]
usrp/host/lib/legacy/usrp_dbid.dat [new file with mode: 0644]
usrp/host/lib/legacy/usrp_dbid.h [new file with mode: 0644]
usrp/host/lib/legacy/usrp_dbid.py [new file with mode: 0644]
usrp/host/lib/legacy/usrp_local_sighandler.cc [new file with mode: 0644]
usrp/host/lib/legacy/usrp_local_sighandler.h [new file with mode: 0644]
usrp/host/lib/legacy/usrp_prims.cc [new file with mode: 0644]
usrp/host/lib/legacy/usrp_prims.h [new file with mode: 0644]
usrp/host/lib/legacy/usrp_slots.h [new file with mode: 0644]
usrp/host/lib/legacy/usrp_standard.cc [new file with mode: 0644]
usrp/host/lib/legacy/usrp_standard.h [new file with mode: 0644]
usrp/host/lib/legacy/usrp_subdev_spec.h [new file with mode: 0644]
usrp/host/lib/legacy/usrp_tune_result.h [new file with mode: 0644]
usrp/host/misc/Makefile.in [new file with mode: 0644]
usrp/host/swig/Makefile.in [new file with mode: 0644]
usrp2/Makefile.in [new file with mode: 0644]
usrp2/firmware/Makefile.in [new file with mode: 0644]
usrp2/firmware/aclocal.m4 [new file with mode: 0644]
usrp2/firmware/apps/Makefile.in [new file with mode: 0644]
usrp2/firmware/config.h.in [new file with mode: 0644]
usrp2/firmware/config/Makefile.in [new file with mode: 0644]
usrp2/firmware/configure [new file with mode: 0755]
usrp2/firmware/depcomp [new file with mode: 0755]
usrp2/firmware/include/Makefile.in [new file with mode: 0644]
usrp2/firmware/install-sh [new file with mode: 0755]
usrp2/firmware/lib/Makefile.in [new file with mode: 0644]
usrp2/firmware/missing [new file with mode: 0755]
usrp2/host/Makefile.in [new file with mode: 0644]
usrp2/host/apps/Makefile.in [new file with mode: 0644]
usrp2/host/include/Makefile.in [new file with mode: 0644]
usrp2/host/include/usrp2/Makefile.in [new file with mode: 0644]
usrp2/host/lib/Makefile.in [new file with mode: 0644]
usrp2/host/lib/usrp2_thread.cc [new file with mode: 0644]
usrp2/host/lib/usrp2_thread.h [new file with mode: 0644]

diff --git a/Makefile.in b/Makefile.in
new file mode 100644 (file)
index 0000000..8c10411
--- /dev/null
@@ -0,0 +1,1196 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2001,2006,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = README $(am__configure_deps) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(srcdir)/config.h.in \
+       $(srcdir)/run_tests.sh.in $(top_srcdir)/Makefile.common \
+       $(top_srcdir)/configure AUTHORS COPYING ChangeLog INSTALL NEWS \
+       config.guess config.sub depcomp install-sh ltmain.sh missing \
+       py-compile
+subdir = .
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+am__CONFIG_DISTCLEAN_FILES = config.status config.cache config.log \
+ configure.lineno config.status.lineno
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = config.h
+CONFIG_CLEAN_FILES = run_tests.sh
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+distdir = $(PACKAGE)-$(VERSION)
+top_distdir = $(distdir)
+am__remove_distdir = \
+  { test ! -d $(distdir) \
+    || { find $(distdir) -type d ! -perm -200 -exec chmod u+w {} ';' \
+         && rm -fr $(distdir); }; }
+DIST_ARCHIVES = $(distdir).tar.gz
+GZIP_ENV = --best
+distuninstallcheck_listfiles = find . -type f -print
+distcleancheck_listfiles = find . -type f -print
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+ACLOCAL_AMFLAGS = -I config
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+EXTRA_DIST = \
+       bootstrap \
+       configure \
+       configure-cell-cross \
+       config.h.in \
+       run_tests.sh.in \
+       Makefile.swig \
+       Makefile.swig.gen.t \
+       Makefile.par.gen \
+       Makefile.gen.gen
+
+SUBDIRS = @build_dirs@
+DIST_SUBDIRS = @build_dirs@ @skipped_dirs@ @with_dirs@
+all: config.h
+       $(MAKE) $(AM_MAKEFLAGS) all-recursive
+
+.SUFFIXES:
+am--refresh:
+       @:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             echo ' cd $(srcdir) && $(AUTOMAKE) --gnu '; \
+             cd $(srcdir) && $(AUTOMAKE) --gnu  \
+               && exit 0; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           echo ' $(SHELL) ./config.status'; \
+           $(SHELL) ./config.status;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       $(SHELL) ./config.status --recheck
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(srcdir) && $(AUTOCONF)
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(srcdir) && $(ACLOCAL) $(ACLOCAL_AMFLAGS)
+
+config.h: stamp-h1
+       @if test ! -f $@; then \
+         rm -f stamp-h1; \
+         $(MAKE) $(AM_MAKEFLAGS) stamp-h1; \
+       else :; fi
+
+stamp-h1: $(srcdir)/config.h.in $(top_builddir)/config.status
+       @rm -f stamp-h1
+       cd $(top_builddir) && $(SHELL) ./config.status config.h
+$(srcdir)/config.h.in:  $(am__configure_deps) 
+       cd $(top_srcdir) && $(AUTOHEADER)
+       rm -f stamp-h1
+       touch $@
+
+distclean-hdr:
+       -rm -f config.h stamp-h1
+run_tests.sh: $(top_builddir)/config.status $(srcdir)/run_tests.sh.in
+       cd $(top_builddir) && $(SHELL) ./config.status $@
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+distclean-libtool:
+       -rm -f libtool config.lt
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES) config.h.in $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS) config.h.in $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES) config.h.in $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS) config.h.in $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       $(am__remove_distdir)
+       test -d $(distdir) || mkdir $(distdir)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+       -find $(distdir) -type d ! -perm -777 -exec chmod a+rwx {} \; -o \
+         ! -type d ! -perm -444 -links 1 -exec chmod a+r {} \; -o \
+         ! -type d ! -perm -400 -exec chmod a+r {} \; -o \
+         ! -type d ! -perm -444 -exec $(install_sh) -c -m a+r {} {} \; \
+       || chmod -R a+r $(distdir)
+dist-gzip: distdir
+       tardir=$(distdir) && $(am__tar) | GZIP=$(GZIP_ENV) gzip -c >$(distdir).tar.gz
+       $(am__remove_distdir)
+
+dist-bzip2: distdir
+       tardir=$(distdir) && $(am__tar) | bzip2 -9 -c >$(distdir).tar.bz2
+       $(am__remove_distdir)
+
+dist-lzma: distdir
+       tardir=$(distdir) && $(am__tar) | lzma -9 -c >$(distdir).tar.lzma
+       $(am__remove_distdir)
+
+dist-tarZ: distdir
+       tardir=$(distdir) && $(am__tar) | compress -c >$(distdir).tar.Z
+       $(am__remove_distdir)
+
+dist-shar: distdir
+       shar $(distdir) | GZIP=$(GZIP_ENV) gzip -c >$(distdir).shar.gz
+       $(am__remove_distdir)
+
+dist-zip: distdir
+       -rm -f $(distdir).zip
+       zip -rq $(distdir).zip $(distdir)
+       $(am__remove_distdir)
+
+dist dist-all: distdir
+       tardir=$(distdir) && $(am__tar) | GZIP=$(GZIP_ENV) gzip -c >$(distdir).tar.gz
+       $(am__remove_distdir)
+
+# This target untars the dist file and tries a VPATH configuration.  Then
+# it guarantees that the distribution is self-contained by making another
+# tarfile.
+distcheck: dist
+       case '$(DIST_ARCHIVES)' in \
+       *.tar.gz*) \
+         GZIP=$(GZIP_ENV) gunzip -c $(distdir).tar.gz | $(am__untar) ;;\
+       *.tar.bz2*) \
+         bunzip2 -c $(distdir).tar.bz2 | $(am__untar) ;;\
+       *.tar.lzma*) \
+         unlzma -c $(distdir).tar.lzma | $(am__untar) ;;\
+       *.tar.Z*) \
+         uncompress -c $(distdir).tar.Z | $(am__untar) ;;\
+       *.shar.gz*) \
+         GZIP=$(GZIP_ENV) gunzip -c $(distdir).shar.gz | unshar ;;\
+       *.zip*) \
+         unzip $(distdir).zip ;;\
+       esac
+       chmod -R a-w $(distdir); chmod a+w $(distdir)
+       mkdir $(distdir)/_build
+       mkdir $(distdir)/_inst
+       chmod a-w $(distdir)
+       dc_install_base=`$(am__cd) $(distdir)/_inst && pwd | sed -e 's,^[^:\\/]:[\\/],/,'` \
+         && dc_destdir="$${TMPDIR-/tmp}/am-dc-$$$$/" \
+         && cd $(distdir)/_build \
+         && ../configure --srcdir=.. --prefix="$$dc_install_base" \
+           $(DISTCHECK_CONFIGURE_FLAGS) \
+         && $(MAKE) $(AM_MAKEFLAGS) \
+         && $(MAKE) $(AM_MAKEFLAGS) dvi \
+         && $(MAKE) $(AM_MAKEFLAGS) check \
+         && $(MAKE) $(AM_MAKEFLAGS) install \
+         && $(MAKE) $(AM_MAKEFLAGS) installcheck \
+         && $(MAKE) $(AM_MAKEFLAGS) uninstall \
+         && $(MAKE) $(AM_MAKEFLAGS) distuninstallcheck_dir="$$dc_install_base" \
+               distuninstallcheck \
+         && chmod -R a-w "$$dc_install_base" \
+         && ({ \
+              (cd ../.. && umask 077 && mkdir "$$dc_destdir") \
+              && $(MAKE) $(AM_MAKEFLAGS) DESTDIR="$$dc_destdir" install \
+              && $(MAKE) $(AM_MAKEFLAGS) DESTDIR="$$dc_destdir" uninstall \
+              && $(MAKE) $(AM_MAKEFLAGS) DESTDIR="$$dc_destdir" \
+                   distuninstallcheck_dir="$$dc_destdir" distuninstallcheck; \
+             } || { rm -rf "$$dc_destdir"; exit 1; }) \
+         && rm -rf "$$dc_destdir" \
+         && $(MAKE) $(AM_MAKEFLAGS) dist \
+         && rm -rf $(DIST_ARCHIVES) \
+         && $(MAKE) $(AM_MAKEFLAGS) distcleancheck
+       $(am__remove_distdir)
+       @(echo "$(distdir) archives ready for distribution: "; \
+         list='$(DIST_ARCHIVES)'; for i in $$list; do echo $$i; done) | \
+         sed -e 1h -e 1s/./=/g -e 1p -e 1x -e '$$p' -e '$$x'
+distuninstallcheck:
+       @cd $(distuninstallcheck_dir) \
+       && test `$(distuninstallcheck_listfiles) | wc -l` -le 1 \
+          || { echo "ERROR: files left after uninstall:" ; \
+               if test -n "$(DESTDIR)"; then \
+                 echo "  (check DESTDIR support)"; \
+               fi ; \
+               $(distuninstallcheck_listfiles) ; \
+               exit 1; } >&2
+distcleancheck: distclean
+       @if test '$(srcdir)' = . ; then \
+         echo "ERROR: distcleancheck can only run from a VPATH build" ; \
+         exit 1 ; \
+       fi
+       @test `$(distcleancheck_listfiles) | wc -l` -eq 0 \
+         || { echo "ERROR: files left in build directory after distclean:" ; \
+              $(distcleancheck_listfiles) ; \
+              exit 1; } >&2
+check-am: all-am
+check: check-recursive
+all-am: Makefile config.h
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f $(am__CONFIG_DISTCLEAN_FILES)
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-hdr \
+       distclean-libtool distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f $(am__CONFIG_DISTCLEAN_FILES)
+       -rm -rf $(top_srcdir)/autom4te.cache
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am am--refresh check check-am clean clean-generic \
+       clean-libtool ctags ctags-recursive dist dist-all dist-bzip2 \
+       dist-gzip dist-hook dist-lzma dist-shar dist-tarZ dist-zip \
+       distcheck distclean distclean-generic distclean-hdr \
+       distclean-libtool distclean-tags distcleancheck distdir \
+       distuninstallcheck dvi dvi-am html html-am info info-am \
+       install install-am install-data install-data-am install-dvi \
+       install-dvi-am install-exec install-exec-am install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-ps install-ps-am \
+       install-strip installcheck installcheck-am installdirs \
+       installdirs-am maintainer-clean maintainer-clean-generic \
+       mostlyclean mostlyclean-generic mostlyclean-libtool pdf pdf-am \
+       ps ps-am tags tags-recursive uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/aclocal.m4 b/aclocal.m4
new file mode 100644 (file)
index 0000000..394628c
--- /dev/null
@@ -0,0 +1,1164 @@
+# generated automatically by aclocal 1.10.2 -*- Autoconf -*-
+
+# Copyright (C) 1996, 1997, 1998, 1999, 2000, 2001, 2002, 2003, 2004,
+# 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+m4_ifndef([AC_AUTOCONF_VERSION],
+  [m4_copy([m4_PACKAGE_VERSION], [AC_AUTOCONF_VERSION])])dnl
+m4_if(m4_defn([AC_AUTOCONF_VERSION]), [2.63],,
+[m4_warning([this file was generated for autoconf 2.63.
+You have another version of autoconf.  It may work, but is not guaranteed to.
+If you have problems, you may need to regenerate the build system entirely.
+To do so, use the procedure documented by the package, typically `autoreconf'.])])
+
+# Copyright (C) 2002, 2003, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# AM_AUTOMAKE_VERSION(VERSION)
+# ----------------------------
+# Automake X.Y traces this macro to ensure aclocal.m4 has been
+# generated from the m4 files accompanying Automake X.Y.
+# (This private macro should not be called outside this file.)
+AC_DEFUN([AM_AUTOMAKE_VERSION],
+[am__api_version='1.10'
+dnl Some users find AM_AUTOMAKE_VERSION and mistake it for a way to
+dnl require some minimum version.  Point them to the right macro.
+m4_if([$1], [1.10.2], [],
+      [AC_FATAL([Do not call $0, use AM_INIT_AUTOMAKE([$1]).])])dnl
+])
+
+# _AM_AUTOCONF_VERSION(VERSION)
+# -----------------------------
+# aclocal traces this macro to find the Autoconf version.
+# This is a private macro too.  Using m4_define simplifies
+# the logic in aclocal, which can simply ignore this definition.
+m4_define([_AM_AUTOCONF_VERSION], [])
+
+# AM_SET_CURRENT_AUTOMAKE_VERSION
+# -------------------------------
+# Call AM_AUTOMAKE_VERSION and AM_AUTOMAKE_VERSION so they can be traced.
+# This function is AC_REQUIREd by AM_INIT_AUTOMAKE.
+AC_DEFUN([AM_SET_CURRENT_AUTOMAKE_VERSION],
+[AM_AUTOMAKE_VERSION([1.10.2])dnl
+m4_ifndef([AC_AUTOCONF_VERSION],
+  [m4_copy([m4_PACKAGE_VERSION], [AC_AUTOCONF_VERSION])])dnl
+_AM_AUTOCONF_VERSION(m4_defn([AC_AUTOCONF_VERSION]))])
+
+# Figure out how to run the assembler.                      -*- Autoconf -*-
+
+# Copyright (C) 2001, 2003, 2004, 2005, 2006  Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# serial 5
+
+# AM_PROG_AS
+# ----------
+AC_DEFUN([AM_PROG_AS],
+[# By default we simply use the C compiler to build assembly code.
+AC_REQUIRE([AC_PROG_CC])
+test "${CCAS+set}" = set || CCAS=$CC
+test "${CCASFLAGS+set}" = set || CCASFLAGS=$CFLAGS
+AC_ARG_VAR([CCAS],      [assembler compiler command (defaults to CC)])
+AC_ARG_VAR([CCASFLAGS], [assembler compiler flags (defaults to CFLAGS)])
+_AM_IF_OPTION([no-dependencies],, [_AM_DEPENDENCIES([CCAS])])dnl
+])
+
+# AM_AUX_DIR_EXPAND                                         -*- Autoconf -*-
+
+# Copyright (C) 2001, 2003, 2005  Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# For projects using AC_CONFIG_AUX_DIR([foo]), Autoconf sets
+# $ac_aux_dir to `$srcdir/foo'.  In other projects, it is set to
+# `$srcdir', `$srcdir/..', or `$srcdir/../..'.
+#
+# Of course, Automake must honor this variable whenever it calls a
+# tool from the auxiliary directory.  The problem is that $srcdir (and
+# therefore $ac_aux_dir as well) can be either absolute or relative,
+# depending on how configure is run.  This is pretty annoying, since
+# it makes $ac_aux_dir quite unusable in subdirectories: in the top
+# source directory, any form will work fine, but in subdirectories a
+# relative path needs to be adjusted first.
+#
+# $ac_aux_dir/missing
+#    fails when called from a subdirectory if $ac_aux_dir is relative
+# $top_srcdir/$ac_aux_dir/missing
+#    fails if $ac_aux_dir is absolute,
+#    fails when called from a subdirectory in a VPATH build with
+#          a relative $ac_aux_dir
+#
+# The reason of the latter failure is that $top_srcdir and $ac_aux_dir
+# are both prefixed by $srcdir.  In an in-source build this is usually
+# harmless because $srcdir is `.', but things will broke when you
+# start a VPATH build or use an absolute $srcdir.
+#
+# So we could use something similar to $top_srcdir/$ac_aux_dir/missing,
+# iff we strip the leading $srcdir from $ac_aux_dir.  That would be:
+#   am_aux_dir='\$(top_srcdir)/'`expr "$ac_aux_dir" : "$srcdir//*\(.*\)"`
+# and then we would define $MISSING as
+#   MISSING="\${SHELL} $am_aux_dir/missing"
+# This will work as long as MISSING is not called from configure, because
+# unfortunately $(top_srcdir) has no meaning in configure.
+# However there are other variables, like CC, which are often used in
+# configure, and could therefore not use this "fixed" $ac_aux_dir.
+#
+# Another solution, used here, is to always expand $ac_aux_dir to an
+# absolute PATH.  The drawback is that using absolute paths prevent a
+# configured tree to be moved without reconfiguration.
+
+AC_DEFUN([AM_AUX_DIR_EXPAND],
+[dnl Rely on autoconf to set up CDPATH properly.
+AC_PREREQ([2.50])dnl
+# expand $ac_aux_dir to an absolute path
+am_aux_dir=`cd $ac_aux_dir && pwd`
+])
+
+# AM_CONDITIONAL                                            -*- Autoconf -*-
+
+# Copyright (C) 1997, 2000, 2001, 2003, 2004, 2005, 2006
+# Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# serial 8
+
+# AM_CONDITIONAL(NAME, SHELL-CONDITION)
+# -------------------------------------
+# Define a conditional.
+AC_DEFUN([AM_CONDITIONAL],
+[AC_PREREQ(2.52)dnl
+ ifelse([$1], [TRUE],  [AC_FATAL([$0: invalid condition: $1])],
+       [$1], [FALSE], [AC_FATAL([$0: invalid condition: $1])])dnl
+AC_SUBST([$1_TRUE])dnl
+AC_SUBST([$1_FALSE])dnl
+_AM_SUBST_NOTMAKE([$1_TRUE])dnl
+_AM_SUBST_NOTMAKE([$1_FALSE])dnl
+if $2; then
+  $1_TRUE=
+  $1_FALSE='#'
+else
+  $1_TRUE='#'
+  $1_FALSE=
+fi
+AC_CONFIG_COMMANDS_PRE(
+[if test -z "${$1_TRUE}" && test -z "${$1_FALSE}"; then
+  AC_MSG_ERROR([[conditional "$1" was never defined.
+Usually this means the macro was only invoked conditionally.]])
+fi])])
+
+# Copyright (C) 1999, 2000, 2001, 2002, 2003, 2004, 2005, 2006
+# Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# serial 9
+
+# There are a few dirty hacks below to avoid letting `AC_PROG_CC' be
+# written in clear, in which case automake, when reading aclocal.m4,
+# will think it sees a *use*, and therefore will trigger all it's
+# C support machinery.  Also note that it means that autoscan, seeing
+# CC etc. in the Makefile, will ask for an AC_PROG_CC use...
+
+
+# _AM_DEPENDENCIES(NAME)
+# ----------------------
+# See how the compiler implements dependency checking.
+# NAME is "CC", "CXX", "GCJ", or "OBJC".
+# We try a few techniques and use that to set a single cache variable.
+#
+# We don't AC_REQUIRE the corresponding AC_PROG_CC since the latter was
+# modified to invoke _AM_DEPENDENCIES(CC); we would have a circular
+# dependency, and given that the user is not expected to run this macro,
+# just rely on AC_PROG_CC.
+AC_DEFUN([_AM_DEPENDENCIES],
+[AC_REQUIRE([AM_SET_DEPDIR])dnl
+AC_REQUIRE([AM_OUTPUT_DEPENDENCY_COMMANDS])dnl
+AC_REQUIRE([AM_MAKE_INCLUDE])dnl
+AC_REQUIRE([AM_DEP_TRACK])dnl
+
+ifelse([$1], CC,   [depcc="$CC"   am_compiler_list=],
+       [$1], CXX,  [depcc="$CXX"  am_compiler_list=],
+       [$1], OBJC, [depcc="$OBJC" am_compiler_list='gcc3 gcc'],
+       [$1], UPC,  [depcc="$UPC"  am_compiler_list=],
+       [$1], GCJ,  [depcc="$GCJ"  am_compiler_list='gcc3 gcc'],
+                   [depcc="$$1"   am_compiler_list=])
+
+AC_CACHE_CHECK([dependency style of $depcc],
+               [am_cv_$1_dependencies_compiler_type],
+[if test -z "$AMDEP_TRUE" && test -f "$am_depcomp"; then
+  # We make a subdir and do the tests there.  Otherwise we can end up
+  # making bogus files that we don't know about and never remove.  For
+  # instance it was reported that on HP-UX the gcc test will end up
+  # making a dummy file named `D' -- because `-MD' means `put the output
+  # in D'.
+  mkdir conftest.dir
+  # Copy depcomp to subdir because otherwise we won't find it if we're
+  # using a relative directory.
+  cp "$am_depcomp" conftest.dir
+  cd conftest.dir
+  # We will build objects and dependencies in a subdirectory because
+  # it helps to detect inapplicable dependency modes.  For instance
+  # both Tru64's cc and ICC support -MD to output dependencies as a
+  # side effect of compilation, but ICC will put the dependencies in
+  # the current directory while Tru64 will put them in the object
+  # directory.
+  mkdir sub
+
+  am_cv_$1_dependencies_compiler_type=none
+  if test "$am_compiler_list" = ""; then
+     am_compiler_list=`sed -n ['s/^#*\([a-zA-Z0-9]*\))$/\1/p'] < ./depcomp`
+  fi
+  for depmode in $am_compiler_list; do
+    # Setup a source with many dependencies, because some compilers
+    # like to wrap large dependency lists on column 80 (with \), and
+    # we should not choose a depcomp mode which is confused by this.
+    #
+    # We need to recreate these files for each test, as the compiler may
+    # overwrite some of them when testing with obscure command lines.
+    # This happens at least with the AIX C compiler.
+    : > sub/conftest.c
+    for i in 1 2 3 4 5 6; do
+      echo '#include "conftst'$i'.h"' >> sub/conftest.c
+      # Using `: > sub/conftst$i.h' creates only sub/conftst1.h with
+      # Solaris 8's {/usr,}/bin/sh.
+      touch sub/conftst$i.h
+    done
+    echo "${am__include} ${am__quote}sub/conftest.Po${am__quote}" > confmf
+
+    case $depmode in
+    nosideeffect)
+      # after this tag, mechanisms are not by side-effect, so they'll
+      # only be used when explicitly requested
+      if test "x$enable_dependency_tracking" = xyes; then
+       continue
+      else
+       break
+      fi
+      ;;
+    none) break ;;
+    esac
+    # We check with `-c' and `-o' for the sake of the "dashmstdout"
+    # mode.  It turns out that the SunPro C++ compiler does not properly
+    # handle `-M -o', and we need to detect this.
+    if depmode=$depmode \
+       source=sub/conftest.c object=sub/conftest.${OBJEXT-o} \
+       depfile=sub/conftest.Po tmpdepfile=sub/conftest.TPo \
+       $SHELL ./depcomp $depcc -c -o sub/conftest.${OBJEXT-o} sub/conftest.c \
+         >/dev/null 2>conftest.err &&
+       grep sub/conftst1.h sub/conftest.Po > /dev/null 2>&1 &&
+       grep sub/conftst6.h sub/conftest.Po > /dev/null 2>&1 &&
+       grep sub/conftest.${OBJEXT-o} sub/conftest.Po > /dev/null 2>&1 &&
+       ${MAKE-make} -s -f confmf > /dev/null 2>&1; then
+      # icc doesn't choke on unknown options, it will just issue warnings
+      # or remarks (even with -Werror).  So we grep stderr for any message
+      # that says an option was ignored or not supported.
+      # When given -MP, icc 7.0 and 7.1 complain thusly:
+      #   icc: Command line warning: ignoring option '-M'; no argument required
+      # The diagnosis changed in icc 8.0:
+      #   icc: Command line remark: option '-MP' not supported
+      if (grep 'ignoring option' conftest.err ||
+          grep 'not supported' conftest.err) >/dev/null 2>&1; then :; else
+        am_cv_$1_dependencies_compiler_type=$depmode
+        break
+      fi
+    fi
+  done
+
+  cd ..
+  rm -rf conftest.dir
+else
+  am_cv_$1_dependencies_compiler_type=none
+fi
+])
+AC_SUBST([$1DEPMODE], [depmode=$am_cv_$1_dependencies_compiler_type])
+AM_CONDITIONAL([am__fastdep$1], [
+  test "x$enable_dependency_tracking" != xno \
+  && test "$am_cv_$1_dependencies_compiler_type" = gcc3])
+])
+
+
+# AM_SET_DEPDIR
+# -------------
+# Choose a directory name for dependency files.
+# This macro is AC_REQUIREd in _AM_DEPENDENCIES
+AC_DEFUN([AM_SET_DEPDIR],
+[AC_REQUIRE([AM_SET_LEADING_DOT])dnl
+AC_SUBST([DEPDIR], ["${am__leading_dot}deps"])dnl
+])
+
+
+# AM_DEP_TRACK
+# ------------
+AC_DEFUN([AM_DEP_TRACK],
+[AC_ARG_ENABLE(dependency-tracking,
+[  --disable-dependency-tracking  speeds up one-time build
+  --enable-dependency-tracking   do not reject slow dependency extractors])
+if test "x$enable_dependency_tracking" != xno; then
+  am_depcomp="$ac_aux_dir/depcomp"
+  AMDEPBACKSLASH='\'
+fi
+AM_CONDITIONAL([AMDEP], [test "x$enable_dependency_tracking" != xno])
+AC_SUBST([AMDEPBACKSLASH])dnl
+_AM_SUBST_NOTMAKE([AMDEPBACKSLASH])dnl
+])
+
+# Generate code to set up dependency tracking.              -*- Autoconf -*-
+
+# Copyright (C) 1999, 2000, 2001, 2002, 2003, 2004, 2005, 2008
+# Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+#serial 5
+
+# _AM_OUTPUT_DEPENDENCY_COMMANDS
+# ------------------------------
+AC_DEFUN([_AM_OUTPUT_DEPENDENCY_COMMANDS],
+[{
+  # Autoconf 2.62 quotes --file arguments for eval, but not when files
+  # are listed without --file.  Let's play safe and only enable the eval
+  # if we detect the quoting.
+  case $CONFIG_FILES in
+  *\'*) eval set x "$CONFIG_FILES" ;;
+  *)   set x $CONFIG_FILES ;;
+  esac
+  shift
+  for mf
+  do
+    # Strip MF so we end up with the name of the file.
+    mf=`echo "$mf" | sed -e 's/:.*$//'`
+    # Check whether this is an Automake generated Makefile or not.
+    # We used to match only the files named `Makefile.in', but
+    # some people rename them; so instead we look at the file content.
+    # Grep'ing the first line is not enough: some people post-process
+    # each Makefile.in and add a new line on top of each file to say so.
+    # Grep'ing the whole file is not good either: AIX grep has a line
+    # limit of 2048, but all sed's we know have understand at least 4000.
+    if sed -n 's,^#.*generated by automake.*,X,p' "$mf" | grep X >/dev/null 2>&1; then
+      dirpart=`AS_DIRNAME("$mf")`
+    else
+      continue
+    fi
+    # Extract the definition of DEPDIR, am__include, and am__quote
+    # from the Makefile without running `make'.
+    DEPDIR=`sed -n 's/^DEPDIR = //p' < "$mf"`
+    test -z "$DEPDIR" && continue
+    am__include=`sed -n 's/^am__include = //p' < "$mf"`
+    test -z "am__include" && continue
+    am__quote=`sed -n 's/^am__quote = //p' < "$mf"`
+    # When using ansi2knr, U may be empty or an underscore; expand it
+    U=`sed -n 's/^U = //p' < "$mf"`
+    # Find all dependency output files, they are included files with
+    # $(DEPDIR) in their names.  We invoke sed twice because it is the
+    # simplest approach to changing $(DEPDIR) to its actual value in the
+    # expansion.
+    for file in `sed -n "
+      s/^$am__include $am__quote\(.*(DEPDIR).*\)$am__quote"'$/\1/p' <"$mf" | \
+        sed -e 's/\$(DEPDIR)/'"$DEPDIR"'/g' -e 's/\$U/'"$U"'/g'`; do
+      # Make sure the directory exists.
+      test -f "$dirpart/$file" && continue
+      fdir=`AS_DIRNAME(["$file"])`
+      AS_MKDIR_P([$dirpart/$fdir])
+      # echo "creating $dirpart/$file"
+      echo '# dummy' > "$dirpart/$file"
+    done
+  done
+}
+])# _AM_OUTPUT_DEPENDENCY_COMMANDS
+
+
+# AM_OUTPUT_DEPENDENCY_COMMANDS
+# -----------------------------
+# This macro should only be invoked once -- use via AC_REQUIRE.
+#
+# This code is only required when automatic dependency tracking
+# is enabled.  FIXME.  This creates each `.P' file that we will
+# need in order to bootstrap the dependency handling code.
+AC_DEFUN([AM_OUTPUT_DEPENDENCY_COMMANDS],
+[AC_CONFIG_COMMANDS([depfiles],
+     [test x"$AMDEP_TRUE" != x"" || _AM_OUTPUT_DEPENDENCY_COMMANDS],
+     [AMDEP_TRUE="$AMDEP_TRUE" ac_aux_dir="$ac_aux_dir"])
+])
+
+# Copyright (C) 1996, 1997, 2000, 2001, 2003, 2005
+# Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# serial 8
+
+# AM_CONFIG_HEADER is obsolete.  It has been replaced by AC_CONFIG_HEADERS.
+AU_DEFUN([AM_CONFIG_HEADER], [AC_CONFIG_HEADERS($@)])
+
+# Do all the work for Automake.                             -*- Autoconf -*-
+
+# Copyright (C) 1996, 1997, 1998, 1999, 2000, 2001, 2002, 2003, 2004,
+# 2005, 2006, 2008 Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# serial 13
+
+# This macro actually does too much.  Some checks are only needed if
+# your package does certain things.  But this isn't really a big deal.
+
+# AM_INIT_AUTOMAKE(PACKAGE, VERSION, [NO-DEFINE])
+# AM_INIT_AUTOMAKE([OPTIONS])
+# -----------------------------------------------
+# The call with PACKAGE and VERSION arguments is the old style
+# call (pre autoconf-2.50), which is being phased out.  PACKAGE
+# and VERSION should now be passed to AC_INIT and removed from
+# the call to AM_INIT_AUTOMAKE.
+# We support both call styles for the transition.  After
+# the next Automake release, Autoconf can make the AC_INIT
+# arguments mandatory, and then we can depend on a new Autoconf
+# release and drop the old call support.
+AC_DEFUN([AM_INIT_AUTOMAKE],
+[AC_PREREQ([2.60])dnl
+dnl Autoconf wants to disallow AM_ names.  We explicitly allow
+dnl the ones we care about.
+m4_pattern_allow([^AM_[A-Z]+FLAGS$])dnl
+AC_REQUIRE([AM_SET_CURRENT_AUTOMAKE_VERSION])dnl
+AC_REQUIRE([AC_PROG_INSTALL])dnl
+if test "`cd $srcdir && pwd`" != "`pwd`"; then
+  # Use -I$(srcdir) only when $(srcdir) != ., so that make's output
+  # is not polluted with repeated "-I."
+  AC_SUBST([am__isrc], [' -I$(srcdir)'])_AM_SUBST_NOTMAKE([am__isrc])dnl
+  # test to see if srcdir already configured
+  if test -f $srcdir/config.status; then
+    AC_MSG_ERROR([source directory already configured; run "make distclean" there first])
+  fi
+fi
+
+# test whether we have cygpath
+if test -z "$CYGPATH_W"; then
+  if (cygpath --version) >/dev/null 2>/dev/null; then
+    CYGPATH_W='cygpath -w'
+  else
+    CYGPATH_W=echo
+  fi
+fi
+AC_SUBST([CYGPATH_W])
+
+# Define the identity of the package.
+dnl Distinguish between old-style and new-style calls.
+m4_ifval([$2],
+[m4_ifval([$3], [_AM_SET_OPTION([no-define])])dnl
+ AC_SUBST([PACKAGE], [$1])dnl
+ AC_SUBST([VERSION], [$2])],
+[_AM_SET_OPTIONS([$1])dnl
+dnl Diagnose old-style AC_INIT with new-style AM_AUTOMAKE_INIT.
+m4_if(m4_ifdef([AC_PACKAGE_NAME], 1)m4_ifdef([AC_PACKAGE_VERSION], 1), 11,,
+  [m4_fatal([AC_INIT should be called with package and version arguments])])dnl
+ AC_SUBST([PACKAGE], ['AC_PACKAGE_TARNAME'])dnl
+ AC_SUBST([VERSION], ['AC_PACKAGE_VERSION'])])dnl
+
+_AM_IF_OPTION([no-define],,
+[AC_DEFINE_UNQUOTED(PACKAGE, "$PACKAGE", [Name of package])
+ AC_DEFINE_UNQUOTED(VERSION, "$VERSION", [Version number of package])])dnl
+
+# Some tools Automake needs.
+AC_REQUIRE([AM_SANITY_CHECK])dnl
+AC_REQUIRE([AC_ARG_PROGRAM])dnl
+AM_MISSING_PROG(ACLOCAL, aclocal-${am__api_version})
+AM_MISSING_PROG(AUTOCONF, autoconf)
+AM_MISSING_PROG(AUTOMAKE, automake-${am__api_version})
+AM_MISSING_PROG(AUTOHEADER, autoheader)
+AM_MISSING_PROG(MAKEINFO, makeinfo)
+AM_PROG_INSTALL_SH
+AM_PROG_INSTALL_STRIP
+AC_REQUIRE([AM_PROG_MKDIR_P])dnl
+# We need awk for the "check" target.  The system "awk" is bad on
+# some platforms.
+AC_REQUIRE([AC_PROG_AWK])dnl
+AC_REQUIRE([AC_PROG_MAKE_SET])dnl
+AC_REQUIRE([AM_SET_LEADING_DOT])dnl
+_AM_IF_OPTION([tar-ustar], [_AM_PROG_TAR([ustar])],
+              [_AM_IF_OPTION([tar-pax], [_AM_PROG_TAR([pax])],
+                            [_AM_PROG_TAR([v7])])])
+_AM_IF_OPTION([no-dependencies],,
+[AC_PROVIDE_IFELSE([AC_PROG_CC],
+                  [_AM_DEPENDENCIES(CC)],
+                  [define([AC_PROG_CC],
+                          defn([AC_PROG_CC])[_AM_DEPENDENCIES(CC)])])dnl
+AC_PROVIDE_IFELSE([AC_PROG_CXX],
+                  [_AM_DEPENDENCIES(CXX)],
+                  [define([AC_PROG_CXX],
+                          defn([AC_PROG_CXX])[_AM_DEPENDENCIES(CXX)])])dnl
+AC_PROVIDE_IFELSE([AC_PROG_OBJC],
+                  [_AM_DEPENDENCIES(OBJC)],
+                  [define([AC_PROG_OBJC],
+                          defn([AC_PROG_OBJC])[_AM_DEPENDENCIES(OBJC)])])dnl
+])
+])
+
+
+# When config.status generates a header, we must update the stamp-h file.
+# This file resides in the same directory as the config header
+# that is generated.  The stamp files are numbered to have different names.
+
+# Autoconf calls _AC_AM_CONFIG_HEADER_HOOK (when defined) in the
+# loop where config.status creates the headers, so we can generate
+# our stamp files there.
+AC_DEFUN([_AC_AM_CONFIG_HEADER_HOOK],
+[# Compute $1's index in $config_headers.
+_am_arg=$1
+_am_stamp_count=1
+for _am_header in $config_headers :; do
+  case $_am_header in
+    $_am_arg | $_am_arg:* )
+      break ;;
+    * )
+      _am_stamp_count=`expr $_am_stamp_count + 1` ;;
+  esac
+done
+echo "timestamp for $_am_arg" >`AS_DIRNAME(["$_am_arg"])`/stamp-h[]$_am_stamp_count])
+
+# Copyright (C) 2001, 2003, 2005  Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# AM_PROG_INSTALL_SH
+# ------------------
+# Define $install_sh.
+AC_DEFUN([AM_PROG_INSTALL_SH],
+[AC_REQUIRE([AM_AUX_DIR_EXPAND])dnl
+install_sh=${install_sh-"\$(SHELL) $am_aux_dir/install-sh"}
+AC_SUBST(install_sh)])
+
+# Copyright (C) 2003, 2005  Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# serial 2
+
+# Check whether the underlying file-system supports filenames
+# with a leading dot.  For instance MS-DOS doesn't.
+AC_DEFUN([AM_SET_LEADING_DOT],
+[rm -rf .tst 2>/dev/null
+mkdir .tst 2>/dev/null
+if test -d .tst; then
+  am__leading_dot=.
+else
+  am__leading_dot=_
+fi
+rmdir .tst 2>/dev/null
+AC_SUBST([am__leading_dot])])
+
+# Check to see how 'make' treats includes.                 -*- Autoconf -*-
+
+# Copyright (C) 2001, 2002, 2003, 2005  Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# serial 3
+
+# AM_MAKE_INCLUDE()
+# -----------------
+# Check to see how make treats includes.
+AC_DEFUN([AM_MAKE_INCLUDE],
+[am_make=${MAKE-make}
+cat > confinc << 'END'
+am__doit:
+       @echo done
+.PHONY: am__doit
+END
+# If we don't find an include directive, just comment out the code.
+AC_MSG_CHECKING([for style of include used by $am_make])
+am__include="#"
+am__quote=
+_am_result=none
+# First try GNU make style include.
+echo "include confinc" > confmf
+# We grep out `Entering directory' and `Leaving directory'
+# messages which can occur if `w' ends up in MAKEFLAGS.
+# In particular we don't look at `^make:' because GNU make might
+# be invoked under some other name (usually "gmake"), in which
+# case it prints its new name instead of `make'.
+if test "`$am_make -s -f confmf 2> /dev/null | grep -v 'ing directory'`" = "done"; then
+   am__include=include
+   am__quote=
+   _am_result=GNU
+fi
+# Now try BSD make style include.
+if test "$am__include" = "#"; then
+   echo '.include "confinc"' > confmf
+   if test "`$am_make -s -f confmf 2> /dev/null`" = "done"; then
+      am__include=.include
+      am__quote="\""
+      _am_result=BSD
+   fi
+fi
+AC_SUBST([am__include])
+AC_SUBST([am__quote])
+AC_MSG_RESULT([$_am_result])
+rm -f confinc confmf
+])
+
+# Fake the existence of programs that GNU maintainers use.  -*- Autoconf -*-
+
+# Copyright (C) 1997, 1999, 2000, 2001, 2003, 2004, 2005
+# Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# serial 5
+
+# AM_MISSING_PROG(NAME, PROGRAM)
+# ------------------------------
+AC_DEFUN([AM_MISSING_PROG],
+[AC_REQUIRE([AM_MISSING_HAS_RUN])
+$1=${$1-"${am_missing_run}$2"}
+AC_SUBST($1)])
+
+
+# AM_MISSING_HAS_RUN
+# ------------------
+# Define MISSING if not defined so far and test if it supports --run.
+# If it does, set am_missing_run to use it, otherwise, to nothing.
+AC_DEFUN([AM_MISSING_HAS_RUN],
+[AC_REQUIRE([AM_AUX_DIR_EXPAND])dnl
+AC_REQUIRE_AUX_FILE([missing])dnl
+test x"${MISSING+set}" = xset || MISSING="\${SHELL} $am_aux_dir/missing"
+# Use eval to expand $SHELL
+if eval "$MISSING --run true"; then
+  am_missing_run="$MISSING --run "
+else
+  am_missing_run=
+  AC_MSG_WARN([`missing' script is too old or missing])
+fi
+])
+
+# Copyright (C) 2003, 2004, 2005, 2006  Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# AM_PROG_MKDIR_P
+# ---------------
+# Check for `mkdir -p'.
+AC_DEFUN([AM_PROG_MKDIR_P],
+[AC_PREREQ([2.60])dnl
+AC_REQUIRE([AC_PROG_MKDIR_P])dnl
+dnl Automake 1.8 to 1.9.6 used to define mkdir_p.  We now use MKDIR_P,
+dnl while keeping a definition of mkdir_p for backward compatibility.
+dnl @MKDIR_P@ is magic: AC_OUTPUT adjusts its value for each Makefile.
+dnl However we cannot define mkdir_p as $(MKDIR_P) for the sake of
+dnl Makefile.ins that do not define MKDIR_P, so we do our own
+dnl adjustment using top_builddir (which is defined more often than
+dnl MKDIR_P).
+AC_SUBST([mkdir_p], ["$MKDIR_P"])dnl
+case $mkdir_p in
+  [[\\/$]]* | ?:[[\\/]]*) ;;
+  */*) mkdir_p="\$(top_builddir)/$mkdir_p" ;;
+esac
+])
+
+# Helper functions for option handling.                     -*- Autoconf -*-
+
+# Copyright (C) 2001, 2002, 2003, 2005, 2008  Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# serial 4
+
+# _AM_MANGLE_OPTION(NAME)
+# -----------------------
+AC_DEFUN([_AM_MANGLE_OPTION],
+[[_AM_OPTION_]m4_bpatsubst($1, [[^a-zA-Z0-9_]], [_])])
+
+# _AM_SET_OPTION(NAME)
+# ------------------------------
+# Set option NAME.  Presently that only means defining a flag for this option.
+AC_DEFUN([_AM_SET_OPTION],
+[m4_define(_AM_MANGLE_OPTION([$1]), 1)])
+
+# _AM_SET_OPTIONS(OPTIONS)
+# ----------------------------------
+# OPTIONS is a space-separated list of Automake options.
+AC_DEFUN([_AM_SET_OPTIONS],
+[m4_foreach_w([_AM_Option], [$1], [_AM_SET_OPTION(_AM_Option)])])
+
+# _AM_IF_OPTION(OPTION, IF-SET, [IF-NOT-SET])
+# -------------------------------------------
+# Execute IF-SET if OPTION is set, IF-NOT-SET otherwise.
+AC_DEFUN([_AM_IF_OPTION],
+[m4_ifset(_AM_MANGLE_OPTION([$1]), [$2], [$3])])
+
+# Copyright (C) 1999, 2000, 2001, 2002, 2003, 2004, 2005
+# Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# AM_PATH_PYTHON([MINIMUM-VERSION], [ACTION-IF-FOUND], [ACTION-IF-NOT-FOUND])
+# ---------------------------------------------------------------------------
+# Adds support for distributing Python modules and packages.  To
+# install modules, copy them to $(pythondir), using the python_PYTHON
+# automake variable.  To install a package with the same name as the
+# automake package, install to $(pkgpythondir), or use the
+# pkgpython_PYTHON automake variable.
+#
+# The variables $(pyexecdir) and $(pkgpyexecdir) are provided as
+# locations to install python extension modules (shared libraries).
+# Another macro is required to find the appropriate flags to compile
+# extension modules.
+#
+# If your package is configured with a different prefix to python,
+# users will have to add the install directory to the PYTHONPATH
+# environment variable, or create a .pth file (see the python
+# documentation for details).
+#
+# If the MINIMUM-VERSION argument is passed, AM_PATH_PYTHON will
+# cause an error if the version of python installed on the system
+# doesn't meet the requirement.  MINIMUM-VERSION should consist of
+# numbers and dots only.
+AC_DEFUN([AM_PATH_PYTHON],
+ [
+  dnl Find a Python interpreter.  Python versions prior to 1.5 are not
+  dnl supported because the default installation locations changed from
+  dnl $prefix/lib/site-python in 1.4 to $prefix/lib/python1.5/site-packages
+  dnl in 1.5.
+  m4_define_default([_AM_PYTHON_INTERPRETER_LIST],
+                    [python python2 python2.5 python2.4 python2.3 python2.2 dnl
+python2.1 python2.0 python1.6 python1.5])
+
+  m4_if([$1],[],[
+    dnl No version check is needed.
+    # Find any Python interpreter.
+    if test -z "$PYTHON"; then
+      AC_PATH_PROGS([PYTHON], _AM_PYTHON_INTERPRETER_LIST, :)
+    fi
+    am_display_PYTHON=python
+  ], [
+    dnl A version check is needed.
+    if test -n "$PYTHON"; then
+      # If the user set $PYTHON, use it and don't search something else.
+      AC_MSG_CHECKING([whether $PYTHON version >= $1])
+      AM_PYTHON_CHECK_VERSION([$PYTHON], [$1],
+                             [AC_MSG_RESULT(yes)],
+                             [AC_MSG_ERROR(too old)])
+      am_display_PYTHON=$PYTHON
+    else
+      # Otherwise, try each interpreter until we find one that satisfies
+      # VERSION.
+      AC_CACHE_CHECK([for a Python interpreter with version >= $1],
+       [am_cv_pathless_PYTHON],[
+       for am_cv_pathless_PYTHON in _AM_PYTHON_INTERPRETER_LIST none; do
+         test "$am_cv_pathless_PYTHON" = none && break
+         AM_PYTHON_CHECK_VERSION([$am_cv_pathless_PYTHON], [$1], [break])
+       done])
+      # Set $PYTHON to the absolute path of $am_cv_pathless_PYTHON.
+      if test "$am_cv_pathless_PYTHON" = none; then
+       PYTHON=:
+      else
+        AC_PATH_PROG([PYTHON], [$am_cv_pathless_PYTHON])
+      fi
+      am_display_PYTHON=$am_cv_pathless_PYTHON
+    fi
+  ])
+
+  if test "$PYTHON" = :; then
+  dnl Run any user-specified action, or abort.
+    m4_default([$3], [AC_MSG_ERROR([no suitable Python interpreter found])])
+  else
+
+  dnl Query Python for its version number.  Getting [:3] seems to be
+  dnl the best way to do this; it's what "site.py" does in the standard
+  dnl library.
+
+  AC_CACHE_CHECK([for $am_display_PYTHON version], [am_cv_python_version],
+    [am_cv_python_version=`$PYTHON -c "import sys; print sys.version[[:3]]"`])
+  AC_SUBST([PYTHON_VERSION], [$am_cv_python_version])
+
+  dnl Use the values of $prefix and $exec_prefix for the corresponding
+  dnl values of PYTHON_PREFIX and PYTHON_EXEC_PREFIX.  These are made
+  dnl distinct variables so they can be overridden if need be.  However,
+  dnl general consensus is that you shouldn't need this ability.
+
+  AC_SUBST([PYTHON_PREFIX], ['${prefix}'])
+  AC_SUBST([PYTHON_EXEC_PREFIX], ['${exec_prefix}'])
+
+  dnl At times (like when building shared libraries) you may want
+  dnl to know which OS platform Python thinks this is.
+
+  AC_CACHE_CHECK([for $am_display_PYTHON platform], [am_cv_python_platform],
+    [am_cv_python_platform=`$PYTHON -c "import sys; print sys.platform"`])
+  AC_SUBST([PYTHON_PLATFORM], [$am_cv_python_platform])
+
+
+  dnl Set up 4 directories:
+
+  dnl pythondir -- where to install python scripts.  This is the
+  dnl   site-packages directory, not the python standard library
+  dnl   directory like in previous automake betas.  This behavior
+  dnl   is more consistent with lispdir.m4 for example.
+  dnl Query distutils for this directory.  distutils does not exist in
+  dnl Python 1.5, so we fall back to the hardcoded directory if it
+  dnl doesn't work.
+  AC_CACHE_CHECK([for $am_display_PYTHON script directory],
+    [am_cv_python_pythondir],
+    [if test "x$prefix" = xNONE
+     then
+       py_prefix_arg=
+     else
+       py_prefix_arg=",prefix='$prefix'"
+     fi
+     am_cv_python_pythondir=`$PYTHON -c "from distutils import sysconfig; print sysconfig.get_python_lib(0,0$py_prefix_arg)" -n -q install $py_prefix_arg 2>/dev/null ||
+     echo "$PYTHON_PREFIX/lib/python$PYTHON_VERSION/site-packages"`])
+  AC_SUBST([pythondir], [$am_cv_python_pythondir])
+
+  dnl pkgpythondir -- $PACKAGE directory under pythondir.  Was
+  dnl   PYTHON_SITE_PACKAGE in previous betas, but this naming is
+  dnl   more consistent with the rest of automake.
+
+  AC_SUBST([pkgpythondir], [\${pythondir}/$PACKAGE])
+
+  dnl pyexecdir -- directory for installing python extension modules
+  dnl   (shared libraries)
+  dnl Query distutils for this directory.  distutils does not exist in
+  dnl Python 1.5, so we fall back to the hardcoded directory if it
+  dnl doesn't work.
+  AC_CACHE_CHECK([for $am_display_PYTHON extension module directory],
+    [am_cv_python_pyexecdir],
+    [if test "x$exec_prefix" = xNONE
+     then
+       py_exec_prefix_arg=$py_prefix_arg
+     else
+       py_exec_prefix_arg=",prefix='$exec_prefix'"
+     fi
+     am_cv_python_pyexecdir=`$PYTHON -c "from distutils import sysconfig; print sysconfig.get_python_lib(1,0$py_exec_prefix_arg)" -n -q install $py_exec_prefix_arg 2>/dev/null ||
+     echo "$PYTHON_EXEC_PREFIX/lib/python$PYTHON_VERSION/site-packages"`])
+  AC_SUBST([pyexecdir], [$am_cv_python_pyexecdir])
+
+  dnl pkgpyexecdir -- $(pyexecdir)/$(PACKAGE)
+
+  AC_SUBST([pkgpyexecdir], [\${pyexecdir}/$PACKAGE])
+
+  dnl Run any user-specified action.
+  $2
+  fi
+
+])
+
+
+# AM_PYTHON_CHECK_VERSION(PROG, VERSION, [ACTION-IF-TRUE], [ACTION-IF-FALSE])
+# ---------------------------------------------------------------------------
+# Run ACTION-IF-TRUE if the Python interpreter PROG has version >= VERSION.
+# Run ACTION-IF-FALSE otherwise.
+# This test uses sys.hexversion instead of the string equivalent (first
+# word of sys.version), in order to cope with versions such as 2.2c1.
+# hexversion has been introduced in Python 1.5.2; it's probably not
+# worth to support older versions (1.5.1 was released on October 31, 1998).
+AC_DEFUN([AM_PYTHON_CHECK_VERSION],
+ [prog="import sys, string
+# split strings by '.' and convert to numeric.  Append some zeros
+# because we need at least 4 digits for the hex conversion.
+minver = map(int, string.split('$2', '.')) + [[0, 0, 0]]
+minverhex = 0
+for i in xrange(0, 4): minverhex = (minverhex << 8) + minver[[i]]
+sys.exit(sys.hexversion < minverhex)"
+  AS_IF([AM_RUN_LOG([$1 -c "$prog"])], [$3], [$4])])
+
+# Check to make sure that the build environment is sane.    -*- Autoconf -*-
+
+# Copyright (C) 1996, 1997, 2000, 2001, 2003, 2005
+# Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# serial 4
+
+# AM_SANITY_CHECK
+# ---------------
+AC_DEFUN([AM_SANITY_CHECK],
+[AC_MSG_CHECKING([whether build environment is sane])
+# Just in case
+sleep 1
+echo timestamp > conftest.file
+# Do `set' in a subshell so we don't clobber the current shell's
+# arguments.  Must try -L first in case configure is actually a
+# symlink; some systems play weird games with the mod time of symlinks
+# (eg FreeBSD returns the mod time of the symlink's containing
+# directory).
+if (
+   set X `ls -Lt $srcdir/configure conftest.file 2> /dev/null`
+   if test "$[*]" = "X"; then
+      # -L didn't work.
+      set X `ls -t $srcdir/configure conftest.file`
+   fi
+   rm -f conftest.file
+   if test "$[*]" != "X $srcdir/configure conftest.file" \
+      && test "$[*]" != "X conftest.file $srcdir/configure"; then
+
+      # If neither matched, then we have a broken ls.  This can happen
+      # if, for instance, CONFIG_SHELL is bash and it inherits a
+      # broken ls alias from the environment.  This has actually
+      # happened.  Such a system could not be considered "sane".
+      AC_MSG_ERROR([ls -t appears to fail.  Make sure there is not a broken
+alias in your environment])
+   fi
+
+   test "$[2]" = conftest.file
+   )
+then
+   # Ok.
+   :
+else
+   AC_MSG_ERROR([newly created file is older than distributed files!
+Check your system clock])
+fi
+AC_MSG_RESULT(yes)])
+
+# Copyright (C) 2001, 2003, 2005  Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# AM_PROG_INSTALL_STRIP
+# ---------------------
+# One issue with vendor `install' (even GNU) is that you can't
+# specify the program used to strip binaries.  This is especially
+# annoying in cross-compiling environments, where the build's strip
+# is unlikely to handle the host's binaries.
+# Fortunately install-sh will honor a STRIPPROG variable, so we
+# always use install-sh in `make install-strip', and initialize
+# STRIPPROG with the value of the STRIP variable (set by the user).
+AC_DEFUN([AM_PROG_INSTALL_STRIP],
+[AC_REQUIRE([AM_PROG_INSTALL_SH])dnl
+# Installed binaries are usually stripped using `strip' when the user
+# run `make install-strip'.  However `strip' might not be the right
+# tool to use in cross-compilation environments, therefore Automake
+# will honor the `STRIP' environment variable to overrule this program.
+dnl Don't test for $cross_compiling = yes, because it might be `maybe'.
+if test "$cross_compiling" != no; then
+  AC_CHECK_TOOL([STRIP], [strip], :)
+fi
+INSTALL_STRIP_PROGRAM="\$(install_sh) -c -s"
+AC_SUBST([INSTALL_STRIP_PROGRAM])])
+
+# Copyright (C) 2006  Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# _AM_SUBST_NOTMAKE(VARIABLE)
+# ---------------------------
+# Prevent Automake from outputting VARIABLE = @VARIABLE@ in Makefile.in.
+# This macro is traced by Automake.
+AC_DEFUN([_AM_SUBST_NOTMAKE])
+
+# Check how to create a tarball.                            -*- Autoconf -*-
+
+# Copyright (C) 2004, 2005  Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# serial 2
+
+# _AM_PROG_TAR(FORMAT)
+# --------------------
+# Check how to create a tarball in format FORMAT.
+# FORMAT should be one of `v7', `ustar', or `pax'.
+#
+# Substitute a variable $(am__tar) that is a command
+# writing to stdout a FORMAT-tarball containing the directory
+# $tardir.
+#     tardir=directory && $(am__tar) > result.tar
+#
+# Substitute a variable $(am__untar) that extract such
+# a tarball read from stdin.
+#     $(am__untar) < result.tar
+AC_DEFUN([_AM_PROG_TAR],
+[# Always define AMTAR for backward compatibility.
+AM_MISSING_PROG([AMTAR], [tar])
+m4_if([$1], [v7],
+     [am__tar='${AMTAR} chof - "$$tardir"'; am__untar='${AMTAR} xf -'],
+     [m4_case([$1], [ustar],, [pax],,
+              [m4_fatal([Unknown tar format])])
+AC_MSG_CHECKING([how to create a $1 tar archive])
+# Loop over all known methods to create a tar archive until one works.
+_am_tools='gnutar m4_if([$1], [ustar], [plaintar]) pax cpio none'
+_am_tools=${am_cv_prog_tar_$1-$_am_tools}
+# Do not fold the above two line into one, because Tru64 sh and
+# Solaris sh will not grok spaces in the rhs of `-'.
+for _am_tool in $_am_tools
+do
+  case $_am_tool in
+  gnutar)
+    for _am_tar in tar gnutar gtar;
+    do
+      AM_RUN_LOG([$_am_tar --version]) && break
+    done
+    am__tar="$_am_tar --format=m4_if([$1], [pax], [posix], [$1]) -chf - "'"$$tardir"'
+    am__tar_="$_am_tar --format=m4_if([$1], [pax], [posix], [$1]) -chf - "'"$tardir"'
+    am__untar="$_am_tar -xf -"
+    ;;
+  plaintar)
+    # Must skip GNU tar: if it does not support --format= it doesn't create
+    # ustar tarball either.
+    (tar --version) >/dev/null 2>&1 && continue
+    am__tar='tar chf - "$$tardir"'
+    am__tar_='tar chf - "$tardir"'
+    am__untar='tar xf -'
+    ;;
+  pax)
+    am__tar='pax -L -x $1 -w "$$tardir"'
+    am__tar_='pax -L -x $1 -w "$tardir"'
+    am__untar='pax -r'
+    ;;
+  cpio)
+    am__tar='find "$$tardir" -print | cpio -o -H $1 -L'
+    am__tar_='find "$tardir" -print | cpio -o -H $1 -L'
+    am__untar='cpio -i -H $1 -d'
+    ;;
+  none)
+    am__tar=false
+    am__tar_=false
+    am__untar=false
+    ;;
+  esac
+
+  # If the value was cached, stop now.  We just wanted to have am__tar
+  # and am__untar set.
+  test -n "${am_cv_prog_tar_$1}" && break
+
+  # tar/untar a dummy directory, and stop if the command works
+  rm -rf conftest.dir
+  mkdir conftest.dir
+  echo GrepMe > conftest.dir/file
+  AM_RUN_LOG([tardir=conftest.dir && eval $am__tar_ >conftest.tar])
+  rm -rf conftest.dir
+  if test -s conftest.tar; then
+    AM_RUN_LOG([$am__untar <conftest.tar])
+    grep GrepMe conftest.dir/file >/dev/null 2>&1 && break
+  fi
+done
+rm -rf conftest.dir
+
+AC_CACHE_VAL([am_cv_prog_tar_$1], [am_cv_prog_tar_$1=$_am_tool])
+AC_MSG_RESULT([$am_cv_prog_tar_$1])])
+AC_SUBST([am__tar])
+AC_SUBST([am__untar])
+]) # _AM_PROG_TAR
+
+m4_include([config/acx_cblas.m4])
+m4_include([config/acx_pthread.m4])
+m4_include([config/ax_boost_base.m4])
+m4_include([config/ax_boost_date_time.m4])
+m4_include([config/ax_boost_program_options.m4])
+m4_include([config/ax_boost_thread.m4])
+m4_include([config/cppunit.m4])
+m4_include([config/gr_check_createfilemapping.m4])
+m4_include([config/gr_check_memalign.m4])
+m4_include([config/gr_check_shm_open.m4])
+m4_include([config/gr_doxygen.m4])
+m4_include([config/gr_fortran.m4])
+m4_include([config/gr_gprof.m4])
+m4_include([config/gr_lib64.m4])
+m4_include([config/gr_libgnuradio_core_extra_ldflags.m4])
+m4_include([config/gr_no_undefined.m4])
+m4_include([config/gr_omnithread.m4])
+m4_include([config/gr_pwin32.m4])
+m4_include([config/gr_python.m4])
+m4_include([config/gr_qwt.m4])
+m4_include([config/gr_qwtplot3d.m4])
+m4_include([config/gr_scripting.m4])
+m4_include([config/gr_set_md_cpu.m4])
+m4_include([config/gr_subversion.m4])
+m4_include([config/gr_swig.m4])
+m4_include([config/gr_sysv_shm.m4])
+m4_include([config/grc_build.m4])
+m4_include([config/grc_docs.m4])
+m4_include([config/grc_gcell.m4])
+m4_include([config/grc_gnuradio_core.m4])
+m4_include([config/grc_gnuradio_examples.m4])
+m4_include([config/grc_gr_audio_alsa.m4])
+m4_include([config/grc_gr_audio_jack.m4])
+m4_include([config/grc_gr_audio_oss.m4])
+m4_include([config/grc_gr_audio_osx.m4])
+m4_include([config/grc_gr_audio_portaudio.m4])
+m4_include([config/grc_gr_audio_windows.m4])
+m4_include([config/grc_gr_cvsd_vocoder.m4])
+m4_include([config/grc_gr_gcell.m4])
+m4_include([config/grc_gr_gpio.m4])
+m4_include([config/grc_gr_gsm_fr_vocoder.m4])
+m4_include([config/grc_gr_pager.m4])
+m4_include([config/grc_gr_qtgui.m4])
+m4_include([config/grc_gr_radar_mono.m4])
+m4_include([config/grc_gr_radio_astronomy.m4])
+m4_include([config/grc_gr_sounder.m4])
+m4_include([config/grc_gr_trellis.m4])
+m4_include([config/grc_gr_usrp.m4])
+m4_include([config/grc_gr_usrp2.m4])
+m4_include([config/grc_gr_utils.m4])
+m4_include([config/grc_gr_video_sdl.m4])
+m4_include([config/grc_gr_wxgui.m4])
+m4_include([config/grc_grc.m4])
+m4_include([config/grc_gruel.m4])
+m4_include([config/grc_mblock.m4])
+m4_include([config/grc_omnithread.m4])
+m4_include([config/grc_pmt.m4])
+m4_include([config/grc_usrp.m4])
+m4_include([config/grc_usrp2.m4])
+m4_include([config/lf_cc.m4])
+m4_include([config/lf_cxx.m4])
+m4_include([config/lf_warnings.m4])
+m4_include([config/libtool.m4])
+m4_include([config/ltoptions.m4])
+m4_include([config/ltsugar.m4])
+m4_include([config/ltversion.m4])
+m4_include([config/lt~obsolete.m4])
+m4_include([config/macosx_audiounit.m4])
+m4_include([config/mkstemp.m4])
+m4_include([config/onceonly.m4])
+m4_include([config/pkg.m4])
+m4_include([config/sdl.m4])
+m4_include([config/usrp_fusb_tech.m4])
+m4_include([config/usrp_libusb.m4])
+m4_include([config/usrp_sdcc.m4])
diff --git a/config.h.in b/config.h.in
new file mode 100644 (file)
index 0000000..0615505
--- /dev/null
@@ -0,0 +1,555 @@
+/* config.h.in.  Generated from configure.ac by autoheader.  */
+
+/* Define if building universal (internal helper macro) */
+#undef AC_APPLE_UNIVERSAL_BUILD
+
+/* Define as the alignment of malloc if known; otherwise 0. */
+#undef ALIGNED_MALLOC
+
+/* Define to one of `_getb67', `GETB67', `getb67' for Cray-2 and Cray-YMP
+   systems. This function is required for `alloca.c' support on those systems.
+   */
+#undef CRAY_STACKSEG_END
+
+/* Define if has std::isnan */
+#undef CXX_HAS_STD_ISNAN
+
+/* Define to 1 if using `alloca.c'. */
+#undef C_ALLOCA
+
+/* Define to 1 if you have `alloca', as a function or macro. */
+#undef HAVE_ALLOCA
+
+/* Define to 1 if you have <alloca.h> and it should be used (not on Ultrix).
+   */
+#undef HAVE_ALLOCA_H
+
+/* Define to 1 if you have the <arpa/inet.h> header file. */
+#undef HAVE_ARPA_INET_H
+
+/* Define to 1 if you have the <AudioToolbox/AudioToolbox.h> header file. */
+#undef HAVE_AUDIOTOOLBOX_AUDIOTOOLBOX_H
+
+/* Define to 1 if you have the <AudioUnit/AudioUnit.h> header file. */
+#undef HAVE_AUDIOUNIT_AUDIOUNIT_H
+
+/* Define if the Boost headers are available */
+#undef HAVE_BOOST
+
+/* Define if the boost_date_time library is available */
+#undef HAVE_BOOST_DATE_TIME
+
+/* Define if the boost_program_options library is available */
+#undef HAVE_BOOST_PROGRAM_OPTIONS
+
+/* Define if the boost_thread library is available */
+#undef HAVE_BOOST_THREAD
+
+/* Define to 1 if you have the <byteswap.h> header file. */
+#undef HAVE_BYTESWAP_H
+
+/* Define if you have a CBLAS library. */
+#undef HAVE_CBLAS
+
+/* Define to 1 if you have the `clock_gettime' function. */
+#undef HAVE_CLOCK_GETTIME
+
+/* Define to 1 if your system has `cosf'. */
+#undef HAVE_COSF
+
+/* Define if you have the CreateFilemapping function(win32). */
+#undef HAVE_CREATEFILEMAPPING
+
+/* Define to 1 if you have the declaration of `getenv', and to 0 if you don't.
+   */
+#undef HAVE_DECL_GETENV
+
+/* Define to 1 if you have the <dev/ppbus/ppi.h> header file. */
+#undef HAVE_DEV_PPBUS_PPI_H
+
+/* Define to 1 if you have the <dlfcn.h> header file. */
+#undef HAVE_DLFCN_H
+
+/* Define to 1 if you don't have `vprintf' but do have `_doprnt.' */
+#undef HAVE_DOPRNT
+
+/* Define to 1 if your system has 'exp10'. */
+#undef HAVE_EXP10
+
+/* Define to 1 if you have the <fcntl.h> header file. */
+#undef HAVE_FCNTL_H
+
+/* Define to 1 if you have the `getopt' function. */
+#undef HAVE_GETOPT
+
+/* Define to 1 if you have the `getpagesize' function. */
+#undef HAVE_GETPAGESIZE
+
+/* Define to 1 if you have the `getrusage' function. */
+#undef HAVE_GETRUSAGE
+
+/* Define to 1 if you have the `gettimeofday' function. */
+#undef HAVE_GETTIMEOFDAY
+
+/* Define to 1 if you have the <inttypes.h> header file. */
+#undef HAVE_INTTYPES_H
+
+/* Define to 1 if you have the <io.h> header file. */
+#undef HAVE_IO_H
+
+/* Define to 1 if you have the `ossaudio' library (-lossaudio). */
+#undef HAVE_LIBOSSAUDIO
+
+/* Define to 1 if you have the `socket' library (-lsocket). */
+#undef HAVE_LIBSOCKET
+
+/* Define to 1 if you have the `winmm' library (-lwinmm). */
+#undef HAVE_LIBWINMM
+
+/* Define to 1 if you have the <limits.h> header file. */
+#undef HAVE_LIMITS_H
+
+/* Define to 1 if you have the <linux/compiler.h> header file. */
+#undef HAVE_LINUX_COMPILER_H
+
+/* Define to 1 if you have the <linux/if_packet.h> header file. */
+#undef HAVE_LINUX_IF_PACKET_H
+
+/* Define to 1 if you have the <linux/ppdev.h> header file. */
+#undef HAVE_LINUX_PPDEV_H
+
+/* Define to 1 if your system has 'log2'. */
+#undef HAVE_LOG2
+
+/* Define to 1 if you have the `lstat' function. */
+#undef HAVE_LSTAT
+
+/* Define to 1 if you have the <malloc.h> header file. */
+#undef HAVE_MALLOC_H
+
+/* Define to 1 if you have the <memory.h> header file. */
+#undef HAVE_MEMORY_H
+
+/* Define to 1 if you have the `mkstemp' function. */
+#undef HAVE_MKSTEMP
+
+/* Define to 1 if you have the `mmap' function. */
+#undef HAVE_MMAP
+
+/* Define to 1 if you have the `modf' function. */
+#undef HAVE_MODF
+
+/* Define to 1 if you have the `nanosleep' function. */
+#undef HAVE_NANOSLEEP
+
+/* Define to 1 if you have the <netinet/in.h> header file. */
+#undef HAVE_NETINET_IN_H
+
+/* Define to 1 if you have the `posix_memalign' function. */
+#undef HAVE_POSIX_MEMALIGN
+
+/* Define if you have POSIX threads libraries and header files. */
+#undef HAVE_PTHREAD
+
+/* Define to 1 if you have the `pthread_setschedparam' function. */
+#undef HAVE_PTHREAD_SETSCHEDPARAM
+
+/* Define to 1 if you have the `pthread_sigmask' function. */
+#undef HAVE_PTHREAD_SIGMASK
+
+/* Define to 1 if you have the <Python.h> header file. */
+#undef HAVE_PYTHON_H
+
+/* Define to 1 if you have the `rand' function. */
+#undef HAVE_RAND
+
+/* Define to 1 if you have the `random' function. */
+#undef HAVE_RANDOM
+
+/* Define to 1 if you have the <sched.h> header file. */
+#undef HAVE_SCHED_H
+
+/* Define to 1 if you have the `sched_setaffinity' function. */
+#undef HAVE_SCHED_SETAFFINITY
+
+/* Define to 1 if you have the `sched_setscheduler' function. */
+#undef HAVE_SCHED_SETSCHEDULER
+
+/* Define to 1 if you have the `select' function. */
+#undef HAVE_SELECT
+
+/* Define to 1 if you have the `shm_open' function. */
+#undef HAVE_SHM_OPEN
+
+/* Define to 1 if you have the `sigaction' function. */
+#undef HAVE_SIGACTION
+
+/* Define to 1 if you have the <signal.h> header file. */
+#undef HAVE_SIGNAL_H
+
+/* Define to 1 if you have the `sigprocmask' function. */
+#undef HAVE_SIGPROCMASK
+
+/* Define to 1 if your system has `sincos'. */
+#undef HAVE_SINCOS
+
+/* Define to 1 if your system has `sincosf'. */
+#undef HAVE_SINCOSF
+
+/* Define to 1 if your system has `sinf'. */
+#undef HAVE_SINF
+
+/* Define to 1 if you have the `sleep' function. */
+#undef HAVE_SLEEP
+
+/* Define to 1 if you have the `snprintf' function. */
+#undef HAVE_SNPRINTF
+
+/* Define to 1 if you have the `socket' function. */
+#undef HAVE_SOCKET
+
+/* Define to 1 if you have the `sqrt' function. */
+#undef HAVE_SQRT
+
+/* Define to 1 if you have the `srand' function. */
+#undef HAVE_SRAND
+
+/* Define to 1 if you have the `srandom' function. */
+#undef HAVE_SRANDOM
+
+/* Define to 1 if the system has the type `ssize_t'. */
+#undef HAVE_SSIZE_T
+
+/* Define to 1 if you have win32 Sleep */
+#undef HAVE_SSLEEP
+
+/* Define to 1 if you have the <stdint.h> header file. */
+#undef HAVE_STDINT_H
+
+/* Define to 1 if you have the <stdlib.h> header file. */
+#undef HAVE_STDLIB_H
+
+/* Define to 1 if you have the `strcspn' function. */
+#undef HAVE_STRCSPN
+
+/* Define to 1 if you have the `strerror' function. */
+#undef HAVE_STRERROR
+
+/* Define to 1 if you have the <strings.h> header file. */
+#undef HAVE_STRINGS_H
+
+/* Define to 1 if you have the <string.h> header file. */
+#undef HAVE_STRING_H
+
+/* Define to 1 if you have the `strspn' function. */
+#undef HAVE_STRSPN
+
+/* Define to 1 if `cmcred_uid' is member of `struct cmsgcred'. */
+#undef HAVE_STRUCT_CMSGCRED_CMCRED_UID
+
+/* Define to 1 if `msg_accrights' is member of `struct msghdr'. */
+#undef HAVE_STRUCT_MSGHDR_MSG_ACCRIGHTS
+
+/* Define to 1 if `msg_control' is member of `struct msghdr'. */
+#undef HAVE_STRUCT_MSGHDR_MSG_CONTROL
+
+/* Define to 1 if the system has the type `struct timespec'. */
+#undef HAVE_STRUCT_TIMESPEC
+
+/* Define to 1 if the system has the type `struct timezone'. */
+#undef HAVE_STRUCT_TIMEZONE
+
+/* Define to 1 if `uid' is member of `struct ucred'. */
+#undef HAVE_STRUCT_UCRED_UID
+
+/* Define to 1 if you have the `sysconf' function. */
+#undef HAVE_SYSCONF
+
+/* Define to 1 if you have the <sys/ioctl.h> header file. */
+#undef HAVE_SYS_IOCTL_H
+
+/* Define to 1 if you have the <sys/ipc.h> header file. */
+#undef HAVE_SYS_IPC_H
+
+/* Define to 1 if you have the <sys/mman.h> header file. */
+#undef HAVE_SYS_MMAN_H
+
+/* Define to 1 if you have the <sys/resource.h> header file. */
+#undef HAVE_SYS_RESOURCE_H
+
+/* Define to 1 if you have the <sys/select.h> header file. */
+#undef HAVE_SYS_SELECT_H
+
+/* Define to 1 if you have the <sys/shm.h> header file. */
+#undef HAVE_SYS_SHM_H
+
+/* Define to 1 if you have the <sys/socket.h> header file. */
+#undef HAVE_SYS_SOCKET_H
+
+/* Define to 1 if you have the <sys/stat.h> header file. */
+#undef HAVE_SYS_STAT_H
+
+/* Define to 1 if you have the <sys/syscall.h> header file. */
+#undef HAVE_SYS_SYSCALL_H
+
+/* Define to 1 if you have the <sys/time.h> header file. */
+#undef HAVE_SYS_TIME_H
+
+/* Define to 1 if you have the <sys/types.h> header file. */
+#undef HAVE_SYS_TYPES_H
+
+/* Define to 1 if you have the <sys/un.h> header file. */
+#undef HAVE_SYS_UN_H
+
+/* Define to 1 if you have <sys/wait.h> that is POSIX.1 compatible. */
+#undef HAVE_SYS_WAIT_H
+
+/* Define to 1 if you have the <time.h> header file. */
+#undef HAVE_TIME_H
+
+/* Define to 1 if your system has `trunc'. */
+#undef HAVE_TRUNC
+
+/* Define to 1 if you have the <unistd.h> header file. */
+#undef HAVE_UNISTD_H
+
+/* Define to 1 if you have the <usb.h> header file. */
+#undef HAVE_USB_H
+
+/* Define to 1 if you have the `usleep' function. */
+#undef HAVE_USLEEP
+
+/* Define to 1 if you have the `valloc' function. */
+#undef HAVE_VALLOC
+
+/* Define to 1 if you have the <vec_types.h> header file. */
+#undef HAVE_VEC_TYPES_H
+
+/* Define to 1 if you have the `vprintf' function. */
+#undef HAVE_VPRINTF
+
+/* Define to 1 if you have the <winbase.h> header file. */
+#undef HAVE_WINBASE_H
+
+/* Define to 1 if you have the <windows.h> header file. */
+#undef HAVE_WINDOWS_H
+
+/* Define to 1 if you have the <winioctl.h> header file. */
+#undef HAVE_WINIOCTL_H
+
+/* Define to 1 if you have the `__secure_getenv' function. */
+#undef HAVE___SECURE_GETENV
+
+/* Define to the sub-directory in which libtool stores uninstalled libraries.
+   */
+#undef LT_OBJDIR
+
+/* Define if mkdir accepts only one arg */
+#undef MKDIR_TAKES_ONE_ARG
+
+/* Name of package */
+#undef PACKAGE
+
+/* Define to the address where bug reports for this package should be sent. */
+#undef PACKAGE_BUGREPORT
+
+/* Define to the full name of this package. */
+#undef PACKAGE_NAME
+
+/* Define to the full name and version of this package. */
+#undef PACKAGE_STRING
+
+/* Define to the one symbol short name of this package. */
+#undef PACKAGE_TARNAME
+
+/* Define to the version of this package. */
+#undef PACKAGE_VERSION
+
+/* Define to necessary symbol if this constant uses a non-standard name on
+   your system. */
+#undef PTHREAD_CREATE_JOINABLE
+
+/* If using the C implementation of alloca, define if you know the
+   direction of stack growth for your system; otherwise it will be
+   automatically deduced at runtime.
+       STACK_DIRECTION > 0 => grows toward higher addresses
+       STACK_DIRECTION < 0 => grows toward lower addresses
+       STACK_DIRECTION = 0 => direction of growth unknown */
+#undef STACK_DIRECTION
+
+/* Define to 1 if the `S_IS*' macros in <sys/stat.h> do not work properly. */
+#undef STAT_MACROS_BROKEN
+
+/* Define to 1 if you have the ANSI C header files. */
+#undef STDC_HEADERS
+
+/* Define to 1 if you can safely include both <sys/time.h> and <time.h>. */
+#undef TIME_WITH_SYS_TIME
+
+/* Define to 1 if your <sys/time.h> declares `struct tm'. */
+#undef TM_IN_SYS_TIME
+
+/* Enable extensions on AIX 3, Interix.  */
+#ifndef _ALL_SOURCE
+# undef _ALL_SOURCE
+#endif
+/* Enable GNU extensions on systems that have them.  */
+#ifndef _GNU_SOURCE
+# undef _GNU_SOURCE
+#endif
+/* Enable threading extensions on Solaris.  */
+#ifndef _POSIX_PTHREAD_SEMANTICS
+# undef _POSIX_PTHREAD_SEMANTICS
+#endif
+/* Enable extensions on HP NonStop.  */
+#ifndef _TANDEM_SOURCE
+# undef _TANDEM_SOURCE
+#endif
+/* Enable general extensions on Solaris.  */
+#ifndef __EXTENSIONS__
+# undef __EXTENSIONS__
+#endif
+
+
+/* Version number of package */
+#undef VERSION
+
+/* Define WORDS_BIGENDIAN to 1 if your processor stores words with the most
+   significant byte first (like Motorola and SPARC, unlike Intel). */
+#if defined AC_APPLE_UNIVERSAL_BUILD
+# if defined __BIG_ENDIAN__
+#  define WORDS_BIGENDIAN 1
+# endif
+#else
+# ifndef WORDS_BIGENDIAN
+#  undef WORDS_BIGENDIAN
+# endif
+#endif
+
+/* Define to 1 if on MINIX. */
+#undef _MINIX
+
+/* Define to 2 if the system does not provide POSIX.1 features except with
+   this defined. */
+#undef _POSIX_1_SOURCE
+
+/* Define to 1 if you need to in order for `stat' and other things to work. */
+#undef _POSIX_SOURCE
+
+/* Define to empty if `const' does not conform to ANSI C. */
+#undef const
+
+/* Define to `__inline__' or `__inline' if that's what the C compiler
+   calls it, or to nothing if 'inline' is not supported under any name.  */
+#ifndef __cplusplus
+#undef inline
+#endif
+
+/* Define to rpl_mkstemp if the replacement function should be used. */
+#undef mkstemp
+
+/* Define to `unsigned int' if <sys/types.h> does not define. */
+#undef size_t
+
+
+#ifndef HAVE_TRUNC
+#include <math.h>
+inline static double trunc(double x)
+{
+  return x >= 0 ? floor(x) : ceil(x);
+}
+#endif
+
+#ifndef HAVE_EXP10
+#include <math.h>
+inline static double exp10(double x)
+{
+  return pow(10.0, x);
+}
+#endif
+
+#ifdef HAVE_WINDOWS_H
+#define NOMINMAX
+#endif
+
+
+
+/* Define missing prototypes, implemented in replacement lib */
+#ifdef  __cplusplus
+extern "C" {
+#endif
+
+#ifndef HAVE_GETOPT
+int getopt (int argc, char * const argv[], const char * optstring);
+extern char * optarg;
+extern int optind, opterr, optopt;
+#endif
+
+#ifndef HAVE_USLEEP
+int usleep(unsigned long usec);        /* SUSv2 */
+#endif
+
+#ifndef HAVE_NANOSLEEP
+#ifndef HAVE_STRUCT_TIMESPEC
+#if HAVE_SYS_TYPES_H
+# include <sys/types.h>        /* need time_t */
+#endif
+struct timespec {
+       time_t  tv_sec;
+       long    tv_nsec;
+};
+#endif
+static inline int nanosleep(const struct timespec *req, struct timespec *rem) { return usleep(req->tv_sec*1000000+req->tv_nsec/1000); }
+#endif
+
+#if defined(HAVE_SSLEEP) && !defined(HAVE_SLEEP)
+#ifdef HAVE_WINBASE_H
+#include <windows.h>
+#include <winbase.h>
+#endif
+/* TODO: what about SleepEx? */
+static inline unsigned int sleep (unsigned int nb_sec) { Sleep(nb_sec*1000); return 0; }
+#endif
+
+#ifndef HAVE_GETTIMEOFDAY
+#ifdef HAVE_SYS_TIME_H
+#include <sys/time.h>
+#endif
+#ifndef HAVE_STRUCT_TIMEZONE
+struct timezone {
+        int  tz_minuteswest;
+       int  tz_dsttime;
+};
+#endif
+int gettimeofday(struct timeval *tv, struct timezone *tz);
+#endif
+
+#if !defined(HAVE_RANDOM) && defined(HAVE_RAND)
+#include <stdlib.h>
+static inline long int random (void) { return rand(); }
+#endif
+
+#if !defined(HAVE_SRANDOM) && defined(HAVE_SRAND)
+static inline void srandom (unsigned int seed) { srand(seed); }
+#endif
+
+#ifndef HAVE_SSIZE_T
+typedef size_t ssize_t;
+#endif
+
+#ifdef  __cplusplus
+}
+#endif
+
+
+
+  #ifndef HAVE_MKSTEMP
+  #ifdef  __cplusplus
+  extern "C" {
+  #endif
+  int rpl_mkstemp (char *templ);
+  #ifdef  __cplusplus
+  }
+  #endif
+  #endif
+  
diff --git a/config/Makefile.in b/config/Makefile.in
new file mode 100644 (file)
index 0000000..4ce6f60
--- /dev/null
@@ -0,0 +1,961 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2001,2006,2008 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = config
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+
+# Install m4 macros in this directory
+m4datadir = $(datadir)/aclocal
+
+# List your m4 macros here
+m4macros = \
+       acx_cblas.m4 \
+       acx_pthread.m4 \
+       ax_boost_base.m4 \
+       ax_boost_date_time.m4 \
+       ax_boost_filesystem.m4 \
+       ax_boost_iostreams.m4 \
+       ax_boost_program_options.m4 \
+       ax_boost_python.m4 \
+       ax_boost_regex.m4 \
+       ax_boost_serialization.m4 \
+       ax_boost_signals.m4 \
+       ax_boost_system.m4 \
+       ax_boost_test_exec_monitor.m4 \
+       ax_boost_thread.m4 \
+       ax_boost_unit_test_framework.m4 \
+       ax_boost_wserialization.m4 \
+       bnv_have_qt.m4 \
+       cppunit.m4 \
+       grc_build.m4 \
+       grc_docs.m4 \
+       grc_gcell.m4 \
+       grc_gnuradio_core.m4 \
+       grc_gnuradio_examples.m4 \
+       grc_grc.m4 \
+       grc_gr_atsc.m4 \
+       grc_gr_audio_alsa.m4 \
+       grc_gr_audio_jack.m4 \
+       grc_gr_audio_oss.m4 \
+       grc_gr_audio_osx.m4 \
+       grc_gr_audio_portaudio.m4 \
+       grc_gr_audio_windows.m4 \
+       grc_gr_comedi.m4 \
+       grc_gr_gcell.m4 \
+       grc_gr_gpio.m4 \
+       grc_gr_gsm_fr_vocoder.m4 \
+       grc_gr_radar_mono.m4 \
+       grc_gr_radio_astronomy.m4 \
+       grc_gr_sounder.m4 \
+       grc_gr_trellis.m4 \
+       grc_gr_usrp.m4 \
+       grc_gr_video_sdl.m4 \
+       grc_gr_wxgui.m4 \
+       grc_mblock.m4 \
+       grc_gruel.m4 \
+       gr_check_createfilemapping.m4 \
+       gr_check_mc4020.m4 \
+       gr_check_shm_open.m4 \
+       gr_check_usrp.m4 \
+       grc_pmt.m4 \
+       grc_usrp.m4 \
+       grc_usrp2.m4 \
+       grc_gr_msdd6000.m4 \
+       gr_doxygen.m4 \
+       gr_fortran.m4 \
+       gr_gcell.m4 \
+       gr_gprof.m4 \
+       gr_lib64.m4 \
+       gr_libgnuradio_core_extra_ldflags.m4 \
+       gr_no_undefined.m4 \
+       gr_omnithread.m4 \
+       gr_pwin32.m4 \
+       gr_python.m4 \
+       gr_require_mc4020.m4 \
+       gr_scripting.m4 \
+       gr_set_md_cpu.m4 \
+       gr_standalone.m4 \
+       gr_subversion.m4 \
+       gr_swig.m4 \
+       gr_sysv_shm.m4 \
+       lf_cc.m4 \
+       lf_cxx.m4 \
+       lf_warnings.m4 \
+       lf_x11.m4 \
+       macosx_audiounit.m4 \
+       mkstemp.m4 \
+       onceonly.m4 \
+       pkg.m4 \
+       sdl.m4 \
+       usrp_fusb_tech.m4 \
+       usrp_libusb.m4 \
+       usrp_sdcc.m4
+
+EXTRA_DIST = $(m4macros) 
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  config/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  config/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile
+installdirs:
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am install-dvi \
+       install-dvi-am install-exec install-exec-am install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-ps install-ps-am \
+       install-strip installcheck installcheck-am installdirs \
+       maintainer-clean maintainer-clean-generic mostlyclean \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/config/gr_omnithread.m4 b/config/gr_omnithread.m4
new file mode 100644 (file)
index 0000000..054f078
--- /dev/null
@@ -0,0 +1,52 @@
+# Check for Omnithread (pthread/NT) thread support.             -*- Autoconf -*-
+
+# Copyright 2003,2007 Free Software Foundation, Inc.
+
+# This program is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+
+# You should have received a copy of the GNU General Public License
+# along with this program; if not, write to the Free Software
+# Foundation, Inc., 51 Franklin Street, Boston, MA
+# 02110-1301, USA.
+
+AC_DEFUN([GR_OMNITHREAD],
+[
+  # Check first for POSIX
+  ACX_PTHREAD(
+  [ AC_DEFINE(HAVE_PTHREAD,1,[Define if you have POSIX threads libraries and header files.])
+    ot_posix="yes"
+    DEFINES="$DEFINES -DOMNITHREAD_POSIX=1"
+  ],[
+    # If no POSIX support found, then check for NT threads
+    AC_MSG_CHECKING([for NT threads])
+
+    AC_LINK_IFELSE([
+        #include <windows.h>
+        #include <winbase.h>
+        int main() { InitializeCriticalSection(NULL); return 0; }
+      ],
+      [ 
+        ot_nt="yes"
+        DEFINES="$DEFINES -DOMNITHREAD_NT=1"
+      ],
+      [AC_MSG_FAILURE([GNU Radio requires POSIX threads.  pthreads not found.])]
+    )
+    AC_MSG_RESULT(yes)
+  ])
+  AM_CONDITIONAL(OMNITHREAD_POSIX, test "x$ot_posix" = xyes)
+  AM_CONDITIONAL(OMNITHREAD_NT, test "x$ot_nt" = xyes)
+
+  save_LIBS="$LIBS"
+  AC_SEARCH_LIBS([clock_gettime], [rt], [PTHREAD_LIBS="$PTHREAD_LIBS $LIBS"])
+  AC_CHECK_FUNCS([clock_gettime gettimeofday nanosleep])
+  LIBS="$save_LIBS"
+])
+
diff --git a/config/grc_mblock.m4 b/config/grc_mblock.m4
new file mode 100644 (file)
index 0000000..e3ae181
--- /dev/null
@@ -0,0 +1,59 @@
+dnl Copyright 2001,2002,2003,2004,2005,2006,2008 Free Software Foundation, Inc.
+dnl 
+dnl This file is part of GNU Radio
+dnl 
+dnl GNU Radio is free software; you can redistribute it and/or modify
+dnl it under the terms of the GNU General Public License as published by
+dnl the Free Software Foundation; either version 3, or (at your option)
+dnl any later version.
+dnl 
+dnl GNU Radio is distributed in the hope that it will be useful,
+dnl but WITHOUT ANY WARRANTY; without even the implied warranty of
+dnl MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+dnl GNU General Public License for more details.
+dnl 
+dnl You should have received a copy of the GNU General Public License
+dnl along with GNU Radio; see the file COPYING.  If not, write to
+dnl the Free Software Foundation, Inc., 51 Franklin Street,
+dnl Boston, MA 02110-1301, USA.
+
+AC_DEFUN([GRC_MBLOCK],[
+    GRC_ENABLE(mblock)
+
+    GRC_WITH(mblock)
+
+    dnl Don't do mblock if omnithread or pmt skipped
+    GRC_CHECK_DEPENDENCY(mblock, pmt)
+    GRC_CHECK_DEPENDENCY(mblock, omnithread)
+
+    dnl If execution gets to here, $passed will be:
+    dnl   with : if the --with code didn't error out
+    dnl   yes  : if the --enable code passed muster and all dependencies are met
+    dnl   no   : otherwise
+    if test $passed = yes; then
+       dnl Don't do mblock if guile not available
+       GRC_CHECK_GUILE(mblock)
+    fi
+    if test $passed != with; then
+       dnl how and where to find INCLUDES and LA
+       mblock_INCLUDES="-I\${abs_top_srcdir}/mblock/src/include"
+        mblock_LA="\${abs_top_builddir}/mblock/src/lib/libmblock.la"
+    fi
+
+    AC_CONFIG_FILES([\
+        mblock/Makefile \
+        mblock/mblock.pc \
+        mblock/doc/Makefile \
+        mblock/src/Makefile \
+       mblock/src/include/Makefile \
+       mblock/src/include/mblock/Makefile \
+        mblock/src/lib/Makefile \
+        mblock/src/scheme/Makefile \
+        mblock/src/scheme/gnuradio/Makefile \
+    ])
+
+    GRC_BUILD_CONDITIONAL(mblock,[
+        dnl run_tests is created from run_tests.in.  Make it executable.
+       dnl AC_CONFIG_COMMANDS([run_tests_mblock], [chmod +x mblock/src/python/run_tests])
+    ])
+])
diff --git a/config/grc_omnithread.m4 b/config/grc_omnithread.m4
new file mode 100644 (file)
index 0000000..1bcedeb
--- /dev/null
@@ -0,0 +1,46 @@
+dnl Copyright 2001,2002,2003,2004,2005,2006,2007,2008 Free Software Foundation, Inc.
+dnl 
+dnl This file is part of GNU Radio
+dnl 
+dnl GNU Radio is free software; you can redistribute it and/or modify
+dnl it under the terms of the GNU General Public License as published by
+dnl the Free Software Foundation; either version 3, or (at your option)
+dnl any later version.
+dnl 
+dnl GNU Radio is distributed in the hope that it will be useful,
+dnl but WITHOUT ANY WARRANTY; without even the implied warranty of
+dnl MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+dnl GNU General Public License for more details.
+dnl 
+dnl You should have received a copy of the GNU General Public License
+dnl along with GNU Radio; see the file COPYING.  If not, write to
+dnl the Free Software Foundation, Inc., 51 Franklin Street,
+dnl Boston, MA 02110-1301, USA.
+
+AC_DEFUN([GRC_OMNITHREAD],[
+    GRC_ENABLE(omnithread)
+
+    GRC_WITH(omnithread, [], gnuradio-omnithread)
+
+    dnl If execution gets to here, $passed will be:
+    dnl   with : if the --with code didn't error out
+    dnl   yes  : if the --enable code passed muster and all dependencies are met
+    dnl   no   : otherwise
+    if test $passed != with; then
+       dnl how and where to find INCLUDES and LA and such
+        omnithread_INCLUDES="-I\${abs_top_srcdir}/omnithread"
+        omnithread_LA="\${abs_top_builddir}/omnithread/libgromnithread.la"
+       omnithread_LIBDIRPATH="\${abs_top_builddir}/omnithread:\${abs_top_builddir}/omnithread/.libs"
+    fi
+
+    AC_CONFIG_FILES([ \
+        omnithread/Makefile \
+        omnithread/gnuradio/Makefile \
+        omnithread/gnuradio-omnithread.pc
+    ])
+
+    GRC_BUILD_CONDITIONAL(omnithread,[
+        dnl run_tests is created from run_tests.in.  Make it executable.
+        dnl AC_CONFIG_COMMANDS([run_tests_omnithread], [chmod +x omnithread/run_tests])
+    ])
+])
diff --git a/config/grc_pmt.m4 b/config/grc_pmt.m4
new file mode 100644 (file)
index 0000000..8e0a58b
--- /dev/null
@@ -0,0 +1,55 @@
+dnl Copyright 2001,2002,2003,2004,2005,2006,2008 Free Software Foundation, Inc.
+dnl 
+dnl This file is part of GNU Radio
+dnl 
+dnl GNU Radio is free software; you can redistribute it and/or modify
+dnl it under the terms of the GNU General Public License as published by
+dnl the Free Software Foundation; either version 3, or (at your option)
+dnl any later version.
+dnl 
+dnl GNU Radio is distributed in the hope that it will be useful,
+dnl but WITHOUT ANY WARRANTY; without even the implied warranty of
+dnl MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+dnl GNU General Public License for more details.
+dnl 
+dnl You should have received a copy of the GNU General Public License
+dnl along with GNU Radio; see the file COPYING.  If not, write to
+dnl the Free Software Foundation, Inc., 51 Franklin Street,
+dnl Boston, MA 02110-1301, USA.
+
+AC_DEFUN([GRC_PMT],[
+    GRC_ENABLE(pmt)
+    GRC_WITH(pmt)
+
+    dnl Don't do pmt if omnithread skipped
+    GRC_CHECK_DEPENDENCY(pmt, omnithread)
+
+    dnl If execution gets to here, $passed will be:
+    dnl   with : if the --with code didn't error out
+    dnl   yes  : if the --enable code passed muster and all dependencies are met
+    dnl   no   : otherwise
+    if test $passed = yes; then
+       dnl Don't do pmt if guile not available
+       GRC_CHECK_GUILE(pmt)
+    fi
+    if test $passed != with; then
+       dnl how and where to find INCLUDES and LA
+       pmt_INCLUDES="-I\${abs_top_srcdir}/pmt/src/lib"
+        pmt_LA="\${abs_top_builddir}/pmt/src/lib/libpmt.la"
+    fi
+
+    AC_CONFIG_FILES([\
+        pmt/Makefile \
+        pmt/pmt.pc \
+        pmt/doc/Makefile \
+        pmt/src/Makefile \
+        pmt/src/lib/Makefile \
+        pmt/src/scheme/Makefile \
+        pmt/src/scheme/gnuradio/Makefile \
+    ])
+
+    GRC_BUILD_CONDITIONAL(pmt,[
+        dnl run_tests is created from run_tests.in.  Make it executable.
+       dnl AC_CONFIG_COMMANDS([run_tests_pmt], [chmod +x pmt/src/python/run_tests])
+    ])
+])
diff --git a/config/libtool.m4 b/config/libtool.m4
new file mode 100644 (file)
index 0000000..2ca1c1f
--- /dev/null
@@ -0,0 +1,7373 @@
+# libtool.m4 - Configure libtool for the host system. -*-Autoconf-*-
+#
+#   Copyright (C) 1996, 1997, 1998, 1999, 2000, 2001, 2003, 2004, 2005,
+#                 2006, 2007, 2008 Free Software Foundation, Inc.
+#   Written by Gordon Matzigkeit, 1996
+#
+# This file is free software; the Free Software Foundation gives
+# unlimited permission to copy and/or distribute it, with or without
+# modifications, as long as this notice is preserved.
+
+m4_define([_LT_COPYING], [dnl
+#   Copyright (C) 1996, 1997, 1998, 1999, 2000, 2001, 2003, 2004, 2005,
+#                 2006, 2007, 2008 Free Software Foundation, Inc.
+#   Written by Gordon Matzigkeit, 1996
+#
+#   This file is part of GNU Libtool.
+#
+# GNU Libtool is free software; you can redistribute it and/or
+# modify it under the terms of the GNU General Public License as
+# published by the Free Software Foundation; either version 2 of
+# the License, or (at your option) any later version.
+#
+# As a special exception to the GNU General Public License,
+# if you distribute this file as part of a program or library that
+# is built using GNU Libtool, you may include this file under the
+# same distribution terms that you use for the rest of that program.
+#
+# GNU Libtool is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+#
+# You should have received a copy of the GNU General Public License
+# along with GNU Libtool; see the file COPYING.  If not, a copy
+# can be downloaded from http://www.gnu.org/licenses/gpl.html, or
+# obtained by writing to the Free Software Foundation, Inc.,
+# 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301, USA.
+])
+
+# serial 56 LT_INIT
+
+
+# LT_PREREQ(VERSION)
+# ------------------
+# Complain and exit if this libtool version is less that VERSION.
+m4_defun([LT_PREREQ],
+[m4_if(m4_version_compare(m4_defn([LT_PACKAGE_VERSION]), [$1]), -1,
+       [m4_default([$3],
+                  [m4_fatal([Libtool version $1 or higher is required],
+                            63)])],
+       [$2])])
+
+
+# _LT_CHECK_BUILDDIR
+# ------------------
+# Complain if the absolute build directory name contains unusual characters
+m4_defun([_LT_CHECK_BUILDDIR],
+[case `pwd` in
+  *\ * | *\    *)
+    AC_MSG_WARN([Libtool does not cope well with whitespace in `pwd`]) ;;
+esac
+])
+
+
+# LT_INIT([OPTIONS])
+# ------------------
+AC_DEFUN([LT_INIT],
+[AC_PREREQ([2.58])dnl We use AC_INCLUDES_DEFAULT
+AC_BEFORE([$0], [LT_LANG])dnl
+AC_BEFORE([$0], [LT_OUTPUT])dnl
+AC_BEFORE([$0], [LTDL_INIT])dnl
+m4_require([_LT_CHECK_BUILDDIR])dnl
+
+dnl Autoconf doesn't catch unexpanded LT_ macros by default:
+m4_pattern_forbid([^_?LT_[A-Z_]+$])dnl
+m4_pattern_allow([^(_LT_EOF|LT_DLGLOBAL|LT_DLLAZY_OR_NOW|LT_MULTI_MODULE)$])dnl
+dnl aclocal doesn't pull ltoptions.m4, ltsugar.m4, or ltversion.m4
+dnl unless we require an AC_DEFUNed macro:
+AC_REQUIRE([LTOPTIONS_VERSION])dnl
+AC_REQUIRE([LTSUGAR_VERSION])dnl
+AC_REQUIRE([LTVERSION_VERSION])dnl
+AC_REQUIRE([LTOBSOLETE_VERSION])dnl
+m4_require([_LT_PROG_LTMAIN])dnl
+
+dnl Parse OPTIONS
+_LT_SET_OPTIONS([$0], [$1])
+
+# This can be used to rebuild libtool when needed
+LIBTOOL_DEPS="$ltmain"
+
+# Always use our own libtool.
+LIBTOOL='$(SHELL) $(top_builddir)/libtool'
+AC_SUBST(LIBTOOL)dnl
+
+_LT_SETUP
+
+# Only expand once:
+m4_define([LT_INIT])
+])# LT_INIT
+
+# Old names:
+AU_ALIAS([AC_PROG_LIBTOOL], [LT_INIT])
+AU_ALIAS([AM_PROG_LIBTOOL], [LT_INIT])
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([AC_PROG_LIBTOOL], [])
+dnl AC_DEFUN([AM_PROG_LIBTOOL], [])
+
+
+# _LT_CC_BASENAME(CC)
+# -------------------
+# Calculate cc_basename.  Skip known compiler wrappers and cross-prefix.
+m4_defun([_LT_CC_BASENAME],
+[for cc_temp in $1""; do
+  case $cc_temp in
+    compile | *[[\\/]]compile | ccache | *[[\\/]]ccache ) ;;
+    distcc | *[[\\/]]distcc | purify | *[[\\/]]purify ) ;;
+    \-*) ;;
+    *) break;;
+  esac
+done
+cc_basename=`$ECHO "X$cc_temp" | $Xsed -e 's%.*/%%' -e "s%^$host_alias-%%"`
+])
+
+
+# _LT_FILEUTILS_DEFAULTS
+# ----------------------
+# It is okay to use these file commands and assume they have been set
+# sensibly after `m4_require([_LT_FILEUTILS_DEFAULTS])'.
+m4_defun([_LT_FILEUTILS_DEFAULTS],
+[: ${CP="cp -f"}
+: ${MV="mv -f"}
+: ${RM="rm -f"}
+])# _LT_FILEUTILS_DEFAULTS
+
+
+# _LT_SETUP
+# ---------
+m4_defun([_LT_SETUP],
+[AC_REQUIRE([AC_CANONICAL_HOST])dnl
+AC_REQUIRE([AC_CANONICAL_BUILD])dnl
+_LT_DECL([], [host_alias], [0], [The host system])dnl
+_LT_DECL([], [host], [0])dnl
+_LT_DECL([], [host_os], [0])dnl
+dnl
+_LT_DECL([], [build_alias], [0], [The build system])dnl
+_LT_DECL([], [build], [0])dnl
+_LT_DECL([], [build_os], [0])dnl
+dnl
+AC_REQUIRE([AC_PROG_CC])dnl
+AC_REQUIRE([LT_PATH_LD])dnl
+AC_REQUIRE([LT_PATH_NM])dnl
+dnl
+AC_REQUIRE([AC_PROG_LN_S])dnl
+test -z "$LN_S" && LN_S="ln -s"
+_LT_DECL([], [LN_S], [1], [Whether we need soft or hard links])dnl
+dnl
+AC_REQUIRE([LT_CMD_MAX_LEN])dnl
+_LT_DECL([objext], [ac_objext], [0], [Object file suffix (normally "o")])dnl
+_LT_DECL([], [exeext], [0], [Executable file suffix (normally "")])dnl
+dnl
+m4_require([_LT_FILEUTILS_DEFAULTS])dnl
+m4_require([_LT_CHECK_SHELL_FEATURES])dnl
+m4_require([_LT_CMD_RELOAD])dnl
+m4_require([_LT_CHECK_MAGIC_METHOD])dnl
+m4_require([_LT_CMD_OLD_ARCHIVE])dnl
+m4_require([_LT_CMD_GLOBAL_SYMBOLS])dnl
+
+_LT_CONFIG_LIBTOOL_INIT([
+# See if we are running on zsh, and set the options which allow our
+# commands through without removal of \ escapes INIT.
+if test -n "\${ZSH_VERSION+set}" ; then
+   setopt NO_GLOB_SUBST
+fi
+])
+if test -n "${ZSH_VERSION+set}" ; then
+   setopt NO_GLOB_SUBST
+fi
+
+_LT_CHECK_OBJDIR
+
+m4_require([_LT_TAG_COMPILER])dnl
+_LT_PROG_ECHO_BACKSLASH
+
+case $host_os in
+aix3*)
+  # AIX sometimes has problems with the GCC collect2 program.  For some
+  # reason, if we set the COLLECT_NAMES environment variable, the problems
+  # vanish in a puff of smoke.
+  if test "X${COLLECT_NAMES+set}" != Xset; then
+    COLLECT_NAMES=
+    export COLLECT_NAMES
+  fi
+  ;;
+esac
+
+# Sed substitution that helps us do robust quoting.  It backslashifies
+# metacharacters that are still active within double-quoted strings.
+sed_quote_subst='s/\([["`$\\]]\)/\\\1/g'
+
+# Same as above, but do not quote variable references.
+double_quote_subst='s/\([["`\\]]\)/\\\1/g'
+
+# Sed substitution to delay expansion of an escaped shell variable in a
+# double_quote_subst'ed string.
+delay_variable_subst='s/\\\\\\\\\\\$/\\\\\\$/g'
+
+# Sed substitution to delay expansion of an escaped single quote.
+delay_single_quote_subst='s/'\''/'\'\\\\\\\'\''/g'
+
+# Sed substitution to avoid accidental globbing in evaled expressions
+no_glob_subst='s/\*/\\\*/g'
+
+# Global variables:
+ofile=libtool
+can_build_shared=yes
+
+# All known linkers require a `.a' archive for static linking (except MSVC,
+# which needs '.lib').
+libext=a
+
+with_gnu_ld="$lt_cv_prog_gnu_ld"
+
+old_CC="$CC"
+old_CFLAGS="$CFLAGS"
+
+# Set sane defaults for various variables
+test -z "$CC" && CC=cc
+test -z "$LTCC" && LTCC=$CC
+test -z "$LTCFLAGS" && LTCFLAGS=$CFLAGS
+test -z "$LD" && LD=ld
+test -z "$ac_objext" && ac_objext=o
+
+_LT_CC_BASENAME([$compiler])
+
+# Only perform the check for file, if the check method requires it
+test -z "$MAGIC_CMD" && MAGIC_CMD=file
+case $deplibs_check_method in
+file_magic*)
+  if test "$file_magic_cmd" = '$MAGIC_CMD'; then
+    _LT_PATH_MAGIC
+  fi
+  ;;
+esac
+
+# Use C for the default configuration in the libtool script
+LT_SUPPORTED_TAG([CC])
+_LT_LANG_C_CONFIG
+_LT_LANG_DEFAULT_CONFIG
+_LT_CONFIG_COMMANDS
+])# _LT_SETUP
+
+
+# _LT_PROG_LTMAIN
+# ---------------
+# Note that this code is called both from `configure', and `config.status'
+# now that we use AC_CONFIG_COMMANDS to generate libtool.  Notably,
+# `config.status' has no value for ac_aux_dir unless we are using Automake,
+# so we pass a copy along to make sure it has a sensible value anyway.
+m4_defun([_LT_PROG_LTMAIN],
+[m4_ifdef([AC_REQUIRE_AUX_FILE], [AC_REQUIRE_AUX_FILE([ltmain.sh])])dnl
+_LT_CONFIG_LIBTOOL_INIT([ac_aux_dir='$ac_aux_dir'])
+ltmain="$ac_aux_dir/ltmain.sh"
+])# _LT_PROG_LTMAIN
+
+
+## ------------------------------------- ##
+## Accumulate code for creating libtool. ##
+## ------------------------------------- ##
+
+# So that we can recreate a full libtool script including additional
+# tags, we accumulate the chunks of code to send to AC_CONFIG_COMMANDS
+# in macros and then make a single call at the end using the `libtool'
+# label.
+
+
+# _LT_CONFIG_LIBTOOL_INIT([INIT-COMMANDS])
+# ----------------------------------------
+# Register INIT-COMMANDS to be passed to AC_CONFIG_COMMANDS later.
+m4_define([_LT_CONFIG_LIBTOOL_INIT],
+[m4_ifval([$1],
+          [m4_append([_LT_OUTPUT_LIBTOOL_INIT],
+                     [$1
+])])])
+
+# Initialize.
+m4_define([_LT_OUTPUT_LIBTOOL_INIT])
+
+
+# _LT_CONFIG_LIBTOOL([COMMANDS])
+# ------------------------------
+# Register COMMANDS to be passed to AC_CONFIG_COMMANDS later.
+m4_define([_LT_CONFIG_LIBTOOL],
+[m4_ifval([$1],
+          [m4_append([_LT_OUTPUT_LIBTOOL_COMMANDS],
+                     [$1
+])])])
+
+# Initialize.
+m4_define([_LT_OUTPUT_LIBTOOL_COMMANDS])
+
+
+# _LT_CONFIG_SAVE_COMMANDS([COMMANDS], [INIT_COMMANDS])
+# -----------------------------------------------------
+m4_defun([_LT_CONFIG_SAVE_COMMANDS],
+[_LT_CONFIG_LIBTOOL([$1])
+_LT_CONFIG_LIBTOOL_INIT([$2])
+])
+
+
+# _LT_FORMAT_COMMENT([COMMENT])
+# -----------------------------
+# Add leading comment marks to the start of each line, and a trailing
+# full-stop to the whole comment if one is not present already.
+m4_define([_LT_FORMAT_COMMENT],
+[m4_ifval([$1], [
+m4_bpatsubst([m4_bpatsubst([$1], [^ *], [# ])],
+              [['`$\]], [\\\&])]m4_bmatch([$1], [[!?.]$], [], [.])
+)])
+
+
+
+## ------------------------ ##
+## FIXME: Eliminate VARNAME ##
+## ------------------------ ##
+
+
+# _LT_DECL([CONFIGNAME], VARNAME, VALUE, [DESCRIPTION], [IS-TAGGED?])
+# -------------------------------------------------------------------
+# CONFIGNAME is the name given to the value in the libtool script.
+# VARNAME is the (base) name used in the configure script.
+# VALUE may be 0, 1 or 2 for a computed quote escaped value based on
+# VARNAME.  Any other value will be used directly.
+m4_define([_LT_DECL],
+[lt_if_append_uniq([lt_decl_varnames], [$2], [, ],
+    [lt_dict_add_subkey([lt_decl_dict], [$2], [libtool_name],
+       [m4_ifval([$1], [$1], [$2])])
+    lt_dict_add_subkey([lt_decl_dict], [$2], [value], [$3])
+    m4_ifval([$4],
+       [lt_dict_add_subkey([lt_decl_dict], [$2], [description], [$4])])
+    lt_dict_add_subkey([lt_decl_dict], [$2],
+       [tagged?], [m4_ifval([$5], [yes], [no])])])
+])
+
+
+# _LT_TAGDECL([CONFIGNAME], VARNAME, VALUE, [DESCRIPTION])
+# --------------------------------------------------------
+m4_define([_LT_TAGDECL], [_LT_DECL([$1], [$2], [$3], [$4], [yes])])
+
+
+# lt_decl_tag_varnames([SEPARATOR], [VARNAME1...])
+# ------------------------------------------------
+m4_define([lt_decl_tag_varnames],
+[_lt_decl_filter([tagged?], [yes], $@)])
+
+
+# _lt_decl_filter(SUBKEY, VALUE, [SEPARATOR], [VARNAME1..])
+# ---------------------------------------------------------
+m4_define([_lt_decl_filter],
+[m4_case([$#],
+  [0], [m4_fatal([$0: too few arguments: $#])],
+  [1], [m4_fatal([$0: too few arguments: $#: $1])],
+  [2], [lt_dict_filter([lt_decl_dict], [$1], [$2], [], lt_decl_varnames)],
+  [3], [lt_dict_filter([lt_decl_dict], [$1], [$2], [$3], lt_decl_varnames)],
+  [lt_dict_filter([lt_decl_dict], $@)])[]dnl
+])
+
+
+# lt_decl_quote_varnames([SEPARATOR], [VARNAME1...])
+# --------------------------------------------------
+m4_define([lt_decl_quote_varnames],
+[_lt_decl_filter([value], [1], $@)])
+
+
+# lt_decl_dquote_varnames([SEPARATOR], [VARNAME1...])
+# ---------------------------------------------------
+m4_define([lt_decl_dquote_varnames],
+[_lt_decl_filter([value], [2], $@)])
+
+
+# lt_decl_varnames_tagged([SEPARATOR], [VARNAME1...])
+# ---------------------------------------------------
+m4_define([lt_decl_varnames_tagged],
+[m4_assert([$# <= 2])dnl
+_$0(m4_quote(m4_default([$1], [[, ]])),
+    m4_ifval([$2], [[$2]], [m4_dquote(lt_decl_tag_varnames)]),
+    m4_split(m4_normalize(m4_quote(_LT_TAGS)), [ ]))])
+m4_define([_lt_decl_varnames_tagged],
+[m4_ifval([$3], [lt_combine([$1], [$2], [_], $3)])])
+
+
+# lt_decl_all_varnames([SEPARATOR], [VARNAME1...])
+# ------------------------------------------------
+m4_define([lt_decl_all_varnames],
+[_$0(m4_quote(m4_default([$1], [[, ]])),
+     m4_if([$2], [],
+          m4_quote(lt_decl_varnames),
+       m4_quote(m4_shift($@))))[]dnl
+])
+m4_define([_lt_decl_all_varnames],
+[lt_join($@, lt_decl_varnames_tagged([$1],
+                       lt_decl_tag_varnames([[, ]], m4_shift($@))))dnl
+])
+
+
+# _LT_CONFIG_STATUS_DECLARE([VARNAME])
+# ------------------------------------
+# Quote a variable value, and forward it to `config.status' so that its
+# declaration there will have the same value as in `configure'.  VARNAME
+# must have a single quote delimited value for this to work.
+m4_define([_LT_CONFIG_STATUS_DECLARE],
+[$1='`$ECHO "X$][$1" | $Xsed -e "$delay_single_quote_subst"`'])
+
+
+# _LT_CONFIG_STATUS_DECLARATIONS
+# ------------------------------
+# We delimit libtool config variables with single quotes, so when
+# we write them to config.status, we have to be sure to quote all
+# embedded single quotes properly.  In configure, this macro expands
+# each variable declared with _LT_DECL (and _LT_TAGDECL) into:
+#
+#    <var>='`$ECHO "X$<var>" | $Xsed -e "$delay_single_quote_subst"`'
+m4_defun([_LT_CONFIG_STATUS_DECLARATIONS],
+[m4_foreach([_lt_var], m4_quote(lt_decl_all_varnames),
+    [m4_n([_LT_CONFIG_STATUS_DECLARE(_lt_var)])])])
+
+
+# _LT_LIBTOOL_TAGS
+# ----------------
+# Output comment and list of tags supported by the script
+m4_defun([_LT_LIBTOOL_TAGS],
+[_LT_FORMAT_COMMENT([The names of the tagged configurations supported by this script])dnl
+available_tags="_LT_TAGS"dnl
+])
+
+
+# _LT_LIBTOOL_DECLARE(VARNAME, [TAG])
+# -----------------------------------
+# Extract the dictionary values for VARNAME (optionally with TAG) and
+# expand to a commented shell variable setting:
+#
+#    # Some comment about what VAR is for.
+#    visible_name=$lt_internal_name
+m4_define([_LT_LIBTOOL_DECLARE],
+[_LT_FORMAT_COMMENT(m4_quote(lt_dict_fetch([lt_decl_dict], [$1],
+                                          [description])))[]dnl
+m4_pushdef([_libtool_name],
+    m4_quote(lt_dict_fetch([lt_decl_dict], [$1], [libtool_name])))[]dnl
+m4_case(m4_quote(lt_dict_fetch([lt_decl_dict], [$1], [value])),
+    [0], [_libtool_name=[$]$1],
+    [1], [_libtool_name=$lt_[]$1],
+    [2], [_libtool_name=$lt_[]$1],
+    [_libtool_name=lt_dict_fetch([lt_decl_dict], [$1], [value])])[]dnl
+m4_ifval([$2], [_$2])[]m4_popdef([_libtool_name])[]dnl
+])
+
+
+# _LT_LIBTOOL_CONFIG_VARS
+# -----------------------
+# Produce commented declarations of non-tagged libtool config variables
+# suitable for insertion in the LIBTOOL CONFIG section of the `libtool'
+# script.  Tagged libtool config variables (even for the LIBTOOL CONFIG
+# section) are produced by _LT_LIBTOOL_TAG_VARS.
+m4_defun([_LT_LIBTOOL_CONFIG_VARS],
+[m4_foreach([_lt_var],
+    m4_quote(_lt_decl_filter([tagged?], [no], [], lt_decl_varnames)),
+    [m4_n([_LT_LIBTOOL_DECLARE(_lt_var)])])])
+
+
+# _LT_LIBTOOL_TAG_VARS(TAG)
+# -------------------------
+m4_define([_LT_LIBTOOL_TAG_VARS],
+[m4_foreach([_lt_var], m4_quote(lt_decl_tag_varnames),
+    [m4_n([_LT_LIBTOOL_DECLARE(_lt_var, [$1])])])])
+
+
+# _LT_TAGVAR(VARNAME, [TAGNAME])
+# ------------------------------
+m4_define([_LT_TAGVAR], [m4_ifval([$2], [$1_$2], [$1])])
+
+
+# _LT_CONFIG_COMMANDS
+# -------------------
+# Send accumulated output to $CONFIG_STATUS.  Thanks to the lists of
+# variables for single and double quote escaping we saved from calls
+# to _LT_DECL, we can put quote escaped variables declarations
+# into `config.status', and then the shell code to quote escape them in
+# for loops in `config.status'.  Finally, any additional code accumulated
+# from calls to _LT_CONFIG_LIBTOOL_INIT is expanded.
+m4_defun([_LT_CONFIG_COMMANDS],
+[AC_PROVIDE_IFELSE([LT_OUTPUT],
+       dnl If the libtool generation code has been placed in $CONFIG_LT,
+       dnl instead of duplicating it all over again into config.status,
+       dnl then we will have config.status run $CONFIG_LT later, so it
+       dnl needs to know what name is stored there:
+        [AC_CONFIG_COMMANDS([libtool],
+            [$SHELL $CONFIG_LT || AS_EXIT(1)], [CONFIG_LT='$CONFIG_LT'])],
+    dnl If the libtool generation code is destined for config.status,
+    dnl expand the accumulated commands and init code now:
+    [AC_CONFIG_COMMANDS([libtool],
+        [_LT_OUTPUT_LIBTOOL_COMMANDS], [_LT_OUTPUT_LIBTOOL_COMMANDS_INIT])])
+])#_LT_CONFIG_COMMANDS
+
+
+# Initialize.
+m4_define([_LT_OUTPUT_LIBTOOL_COMMANDS_INIT],
+[
+
+# The HP-UX ksh and POSIX shell print the target directory to stdout
+# if CDPATH is set.
+(unset CDPATH) >/dev/null 2>&1 && unset CDPATH
+
+sed_quote_subst='$sed_quote_subst'
+double_quote_subst='$double_quote_subst'
+delay_variable_subst='$delay_variable_subst'
+_LT_CONFIG_STATUS_DECLARATIONS
+LTCC='$LTCC'
+LTCFLAGS='$LTCFLAGS'
+compiler='$compiler_DEFAULT'
+
+# Quote evaled strings.
+for var in lt_decl_all_varnames([[ \
+]], lt_decl_quote_varnames); do
+    case \`eval \\\\\$ECHO "X\\\\\$\$var"\` in
+    *[[\\\\\\\`\\"\\\$]]*)
+      eval "lt_\$var=\\\\\\"\\\`\\\$ECHO \\"X\\\$\$var\\" | \\\$Xsed -e \\"\\\$sed_quote_subst\\"\\\`\\\\\\""
+      ;;
+    *)
+      eval "lt_\$var=\\\\\\"\\\$\$var\\\\\\""
+      ;;
+    esac
+done
+
+# Double-quote double-evaled strings.
+for var in lt_decl_all_varnames([[ \
+]], lt_decl_dquote_varnames); do
+    case \`eval \\\\\$ECHO "X\\\\\$\$var"\` in
+    *[[\\\\\\\`\\"\\\$]]*)
+      eval "lt_\$var=\\\\\\"\\\`\\\$ECHO \\"X\\\$\$var\\" | \\\$Xsed -e \\"\\\$double_quote_subst\\" -e \\"\\\$sed_quote_subst\\" -e \\"\\\$delay_variable_subst\\"\\\`\\\\\\""
+      ;;
+    *)
+      eval "lt_\$var=\\\\\\"\\\$\$var\\\\\\""
+      ;;
+    esac
+done
+
+# Fix-up fallback echo if it was mangled by the above quoting rules.
+case \$lt_ECHO in
+*'\\\[$]0 --fallback-echo"')dnl "
+  lt_ECHO=\`\$ECHO "X\$lt_ECHO" | \$Xsed -e 's/\\\\\\\\\\\\\\\[$]0 --fallback-echo"\[$]/\[$]0 --fallback-echo"/'\`
+  ;;
+esac
+
+_LT_OUTPUT_LIBTOOL_INIT
+])
+
+
+# LT_OUTPUT
+# ---------
+# This macro allows early generation of the libtool script (before
+# AC_OUTPUT is called), incase it is used in configure for compilation
+# tests.
+AC_DEFUN([LT_OUTPUT],
+[: ${CONFIG_LT=./config.lt}
+AC_MSG_NOTICE([creating $CONFIG_LT])
+cat >"$CONFIG_LT" <<_LTEOF
+#! $SHELL
+# Generated by $as_me.
+# Run this file to recreate a libtool stub with the current configuration.
+
+lt_cl_silent=false
+SHELL=\${CONFIG_SHELL-$SHELL}
+_LTEOF
+
+cat >>"$CONFIG_LT" <<\_LTEOF
+AS_SHELL_SANITIZE
+_AS_PREPARE
+
+exec AS_MESSAGE_FD>&1
+exec AS_MESSAGE_LOG_FD>>config.log
+{
+  echo
+  AS_BOX([Running $as_me.])
+} >&AS_MESSAGE_LOG_FD
+
+lt_cl_help="\
+\`$as_me' creates a local libtool stub from the current configuration,
+for use in further configure time tests before the real libtool is
+generated.
+
+Usage: $[0] [[OPTIONS]]
+
+  -h, --help      print this help, then exit
+  -V, --version   print version number, then exit
+  -q, --quiet     do not print progress messages
+  -d, --debug     don't remove temporary files
+
+Report bugs to <bug-libtool@gnu.org>."
+
+lt_cl_version="\
+m4_ifset([AC_PACKAGE_NAME], [AC_PACKAGE_NAME ])config.lt[]dnl
+m4_ifset([AC_PACKAGE_VERSION], [ AC_PACKAGE_VERSION])
+configured by $[0], generated by m4_PACKAGE_STRING.
+
+Copyright (C) 2008 Free Software Foundation, Inc.
+This config.lt script is free software; the Free Software Foundation
+gives unlimited permision to copy, distribute and modify it."
+
+while test $[#] != 0
+do
+  case $[1] in
+    --version | --v* | -V )
+      echo "$lt_cl_version"; exit 0 ;;
+    --help | --h* | -h )
+      echo "$lt_cl_help"; exit 0 ;;
+    --debug | --d* | -d )
+      debug=: ;;
+    --quiet | --q* | --silent | --s* | -q )
+      lt_cl_silent=: ;;
+
+    -*) AC_MSG_ERROR([unrecognized option: $[1]
+Try \`$[0] --help' for more information.]) ;;
+
+    *) AC_MSG_ERROR([unrecognized argument: $[1]
+Try \`$[0] --help' for more information.]) ;;
+  esac
+  shift
+done
+
+if $lt_cl_silent; then
+  exec AS_MESSAGE_FD>/dev/null
+fi
+_LTEOF
+
+cat >>"$CONFIG_LT" <<_LTEOF
+_LT_OUTPUT_LIBTOOL_COMMANDS_INIT
+_LTEOF
+
+cat >>"$CONFIG_LT" <<\_LTEOF
+AC_MSG_NOTICE([creating $ofile])
+_LT_OUTPUT_LIBTOOL_COMMANDS
+AS_EXIT(0)
+_LTEOF
+chmod +x "$CONFIG_LT"
+
+# configure is writing to config.log, but config.lt does its own redirection,
+# appending to config.log, which fails on DOS, as config.log is still kept
+# open by configure.  Here we exec the FD to /dev/null, effectively closing
+# config.log, so it can be properly (re)opened and appended to by config.lt.
+if test "$no_create" != yes; then
+  lt_cl_success=:
+  test "$silent" = yes &&
+    lt_config_lt_args="$lt_config_lt_args --quiet"
+  exec AS_MESSAGE_LOG_FD>/dev/null
+  $SHELL "$CONFIG_LT" $lt_config_lt_args || lt_cl_success=false
+  exec AS_MESSAGE_LOG_FD>>config.log
+  $lt_cl_success || AS_EXIT(1)
+fi
+])# LT_OUTPUT
+
+
+# _LT_CONFIG(TAG)
+# ---------------
+# If TAG is the built-in tag, create an initial libtool script with a
+# default configuration from the untagged config vars.  Otherwise add code
+# to config.status for appending the configuration named by TAG from the
+# matching tagged config vars.
+m4_defun([_LT_CONFIG],
+[m4_require([_LT_FILEUTILS_DEFAULTS])dnl
+_LT_CONFIG_SAVE_COMMANDS([
+  m4_define([_LT_TAG], m4_if([$1], [], [C], [$1]))dnl
+  m4_if(_LT_TAG, [C], [
+    # See if we are running on zsh, and set the options which allow our
+    # commands through without removal of \ escapes.
+    if test -n "${ZSH_VERSION+set}" ; then
+      setopt NO_GLOB_SUBST
+    fi
+
+    cfgfile="${ofile}T"
+    trap "$RM \"$cfgfile\"; exit 1" 1 2 15
+    $RM "$cfgfile"
+
+    cat <<_LT_EOF >> "$cfgfile"
+#! $SHELL
+
+# `$ECHO "$ofile" | sed 's%^.*/%%'` - Provide generalized library-building support services.
+# Generated automatically by $as_me ($PACKAGE$TIMESTAMP) $VERSION
+# Libtool was configured on host `(hostname || uname -n) 2>/dev/null | sed 1q`:
+# NOTE: Changes made to this file will be lost: look at ltmain.sh.
+#
+_LT_COPYING
+_LT_LIBTOOL_TAGS
+
+# ### BEGIN LIBTOOL CONFIG
+_LT_LIBTOOL_CONFIG_VARS
+_LT_LIBTOOL_TAG_VARS
+# ### END LIBTOOL CONFIG
+
+_LT_EOF
+
+  case $host_os in
+  aix3*)
+    cat <<\_LT_EOF >> "$cfgfile"
+# AIX sometimes has problems with the GCC collect2 program.  For some
+# reason, if we set the COLLECT_NAMES environment variable, the problems
+# vanish in a puff of smoke.
+if test "X${COLLECT_NAMES+set}" != Xset; then
+  COLLECT_NAMES=
+  export COLLECT_NAMES
+fi
+_LT_EOF
+    ;;
+  esac
+
+  _LT_PROG_LTMAIN
+
+  # We use sed instead of cat because bash on DJGPP gets confused if
+  # if finds mixed CR/LF and LF-only lines.  Since sed operates in
+  # text mode, it properly converts lines to CR/LF.  This bash problem
+  # is reportedly fixed, but why not run on old versions too?
+  sed '/^# Generated shell functions inserted here/q' "$ltmain" >> "$cfgfile" \
+    || (rm -f "$cfgfile"; exit 1)
+
+  _LT_PROG_XSI_SHELLFNS
+
+  sed -n '/^# Generated shell functions inserted here/,$p' "$ltmain" >> "$cfgfile" \
+    || (rm -f "$cfgfile"; exit 1)
+
+  mv -f "$cfgfile" "$ofile" ||
+    (rm -f "$ofile" && cp "$cfgfile" "$ofile" && rm -f "$cfgfile")
+  chmod +x "$ofile"
+],
+[cat <<_LT_EOF >> "$ofile"
+
+dnl Unfortunately we have to use $1 here, since _LT_TAG is not expanded
+dnl in a comment (ie after a #).
+# ### BEGIN LIBTOOL TAG CONFIG: $1
+_LT_LIBTOOL_TAG_VARS(_LT_TAG)
+# ### END LIBTOOL TAG CONFIG: $1
+_LT_EOF
+])dnl /m4_if
+],
+[m4_if([$1], [], [
+    PACKAGE='$PACKAGE'
+    VERSION='$VERSION'
+    TIMESTAMP='$TIMESTAMP'
+    RM='$RM'
+    ofile='$ofile'], [])
+])dnl /_LT_CONFIG_SAVE_COMMANDS
+])# _LT_CONFIG
+
+
+# LT_SUPPORTED_TAG(TAG)
+# ---------------------
+# Trace this macro to discover what tags are supported by the libtool
+# --tag option, using:
+#    autoconf --trace 'LT_SUPPORTED_TAG:$1'
+AC_DEFUN([LT_SUPPORTED_TAG], [])
+
+
+# C support is built-in for now
+m4_define([_LT_LANG_C_enabled], [])
+m4_define([_LT_TAGS], [])
+
+
+# LT_LANG(LANG)
+# -------------
+# Enable libtool support for the given language if not already enabled.
+AC_DEFUN([LT_LANG],
+[AC_BEFORE([$0], [LT_OUTPUT])dnl
+m4_case([$1],
+  [C],                 [_LT_LANG(C)],
+  [C++],               [_LT_LANG(CXX)],
+  [Java],              [_LT_LANG(GCJ)],
+  [Fortran 77],                [_LT_LANG(F77)],
+  [Fortran],           [_LT_LANG(FC)],
+  [Windows Resource],  [_LT_LANG(RC)],
+  [m4_ifdef([_LT_LANG_]$1[_CONFIG],
+    [_LT_LANG($1)],
+    [m4_fatal([$0: unsupported language: "$1"])])])dnl
+])# LT_LANG
+
+
+# _LT_LANG(LANGNAME)
+# ------------------
+m4_defun([_LT_LANG],
+[m4_ifdef([_LT_LANG_]$1[_enabled], [],
+  [LT_SUPPORTED_TAG([$1])dnl
+  m4_append([_LT_TAGS], [$1 ])dnl
+  m4_define([_LT_LANG_]$1[_enabled], [])dnl
+  _LT_LANG_$1_CONFIG($1)])dnl
+])# _LT_LANG
+
+
+# _LT_LANG_DEFAULT_CONFIG
+# -----------------------
+m4_defun([_LT_LANG_DEFAULT_CONFIG],
+[AC_PROVIDE_IFELSE([AC_PROG_CXX],
+  [LT_LANG(CXX)],
+  [m4_define([AC_PROG_CXX], defn([AC_PROG_CXX])[LT_LANG(CXX)])])
+
+AC_PROVIDE_IFELSE([AC_PROG_F77],
+  [LT_LANG(F77)],
+  [m4_define([AC_PROG_F77], defn([AC_PROG_F77])[LT_LANG(F77)])])
+
+AC_PROVIDE_IFELSE([AC_PROG_FC],
+  [LT_LANG(FC)],
+  [m4_define([AC_PROG_FC], defn([AC_PROG_FC])[LT_LANG(FC)])])
+
+dnl The call to [A][M_PROG_GCJ] is quoted like that to stop aclocal
+dnl pulling things in needlessly.
+AC_PROVIDE_IFELSE([AC_PROG_GCJ],
+  [LT_LANG(GCJ)],
+  [AC_PROVIDE_IFELSE([A][M_PROG_GCJ],
+    [LT_LANG(GCJ)],
+    [AC_PROVIDE_IFELSE([LT_PROG_GCJ],
+      [LT_LANG(GCJ)],
+      [m4_ifdef([AC_PROG_GCJ],
+       [m4_define([AC_PROG_GCJ], defn([AC_PROG_GCJ])[LT_LANG(GCJ)])])
+       m4_ifdef([A][M_PROG_GCJ],
+       [m4_define([A][M_PROG_GCJ], defn([A][M_PROG_GCJ])[LT_LANG(GCJ)])])
+       m4_ifdef([LT_PROG_GCJ],
+       [m4_define([LT_PROG_GCJ], defn([LT_PROG_GCJ])[LT_LANG(GCJ)])])])])])
+
+AC_PROVIDE_IFELSE([LT_PROG_RC],
+  [LT_LANG(RC)],
+  [m4_define([LT_PROG_RC], defn([LT_PROG_RC])[LT_LANG(RC)])])
+])# _LT_LANG_DEFAULT_CONFIG
+
+# Obsolete macros:
+AU_DEFUN([AC_LIBTOOL_CXX], [LT_LANG(C++)])
+AU_DEFUN([AC_LIBTOOL_F77], [LT_LANG(Fortran 77)])
+AU_DEFUN([AC_LIBTOOL_FC], [LT_LANG(Fortran)])
+AU_DEFUN([AC_LIBTOOL_GCJ], [LT_LANG(Java)])
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([AC_LIBTOOL_CXX], [])
+dnl AC_DEFUN([AC_LIBTOOL_F77], [])
+dnl AC_DEFUN([AC_LIBTOOL_FC], [])
+dnl AC_DEFUN([AC_LIBTOOL_GCJ], [])
+
+
+# _LT_TAG_COMPILER
+# ----------------
+m4_defun([_LT_TAG_COMPILER],
+[AC_REQUIRE([AC_PROG_CC])dnl
+
+_LT_DECL([LTCC], [CC], [1], [A C compiler])dnl
+_LT_DECL([LTCFLAGS], [CFLAGS], [1], [LTCC compiler flags])dnl
+_LT_TAGDECL([CC], [compiler], [1], [A language specific compiler])dnl
+_LT_TAGDECL([with_gcc], [GCC], [0], [Is the compiler the GNU compiler?])dnl
+
+# If no C compiler was specified, use CC.
+LTCC=${LTCC-"$CC"}
+
+# If no C compiler flags were specified, use CFLAGS.
+LTCFLAGS=${LTCFLAGS-"$CFLAGS"}
+
+# Allow CC to be a program name with arguments.
+compiler=$CC
+])# _LT_TAG_COMPILER
+
+
+# _LT_COMPILER_BOILERPLATE
+# ------------------------
+# Check for compiler boilerplate output or warnings with
+# the simple compiler test code.
+m4_defun([_LT_COMPILER_BOILERPLATE],
+[m4_require([_LT_DECL_SED])dnl
+ac_outfile=conftest.$ac_objext
+echo "$lt_simple_compile_test_code" >conftest.$ac_ext
+eval "$ac_compile" 2>&1 >/dev/null | $SED '/^$/d; /^ *+/d' >conftest.err
+_lt_compiler_boilerplate=`cat conftest.err`
+$RM conftest*
+])# _LT_COMPILER_BOILERPLATE
+
+
+# _LT_LINKER_BOILERPLATE
+# ----------------------
+# Check for linker boilerplate output or warnings with
+# the simple link test code.
+m4_defun([_LT_LINKER_BOILERPLATE],
+[m4_require([_LT_DECL_SED])dnl
+ac_outfile=conftest.$ac_objext
+echo "$lt_simple_link_test_code" >conftest.$ac_ext
+eval "$ac_link" 2>&1 >/dev/null | $SED '/^$/d; /^ *+/d' >conftest.err
+_lt_linker_boilerplate=`cat conftest.err`
+$RM -r conftest*
+])# _LT_LINKER_BOILERPLATE
+
+# _LT_REQUIRED_DARWIN_CHECKS
+# -------------------------
+m4_defun_once([_LT_REQUIRED_DARWIN_CHECKS],[
+  case $host_os in
+    rhapsody* | darwin*)
+    AC_CHECK_TOOL([DSYMUTIL], [dsymutil], [:])
+    AC_CHECK_TOOL([NMEDIT], [nmedit], [:])
+    AC_CHECK_TOOL([LIPO], [lipo], [:])
+    AC_CHECK_TOOL([OTOOL], [otool], [:])
+    AC_CHECK_TOOL([OTOOL64], [otool64], [:])
+    _LT_DECL([], [DSYMUTIL], [1],
+      [Tool to manipulate archived DWARF debug symbol files on Mac OS X])
+    _LT_DECL([], [NMEDIT], [1],
+      [Tool to change global to local symbols on Mac OS X])
+    _LT_DECL([], [LIPO], [1],
+      [Tool to manipulate fat objects and archives on Mac OS X])
+    _LT_DECL([], [OTOOL], [1],
+      [ldd/readelf like tool for Mach-O binaries on Mac OS X])
+    _LT_DECL([], [OTOOL64], [1],
+      [ldd/readelf like tool for 64 bit Mach-O binaries on Mac OS X 10.4])
+
+    AC_CACHE_CHECK([for -single_module linker flag],[lt_cv_apple_cc_single_mod],
+      [lt_cv_apple_cc_single_mod=no
+      if test -z "${LT_MULTI_MODULE}"; then
+       # By default we will add the -single_module flag. You can override
+       # by either setting the environment variable LT_MULTI_MODULE
+       # non-empty at configure time, or by adding -multi_module to the
+       # link flags.
+       rm -rf libconftest.dylib*
+       echo "int foo(void){return 1;}" > conftest.c
+       echo "$LTCC $LTCFLAGS $LDFLAGS -o libconftest.dylib \
+-dynamiclib -Wl,-single_module conftest.c" >&AS_MESSAGE_LOG_FD
+       $LTCC $LTCFLAGS $LDFLAGS -o libconftest.dylib \
+         -dynamiclib -Wl,-single_module conftest.c 2>conftest.err
+        _lt_result=$?
+       if test -f libconftest.dylib && test ! -s conftest.err && test $_lt_result = 0; then
+         lt_cv_apple_cc_single_mod=yes
+       else
+         cat conftest.err >&AS_MESSAGE_LOG_FD
+       fi
+       rm -rf libconftest.dylib*
+       rm -f conftest.*
+      fi])
+    AC_CACHE_CHECK([for -exported_symbols_list linker flag],
+      [lt_cv_ld_exported_symbols_list],
+      [lt_cv_ld_exported_symbols_list=no
+      save_LDFLAGS=$LDFLAGS
+      echo "_main" > conftest.sym
+      LDFLAGS="$LDFLAGS -Wl,-exported_symbols_list,conftest.sym"
+      AC_LINK_IFELSE([AC_LANG_PROGRAM([],[])],
+       [lt_cv_ld_exported_symbols_list=yes],
+       [lt_cv_ld_exported_symbols_list=no])
+       LDFLAGS="$save_LDFLAGS"
+    ])
+    case $host_os in
+    rhapsody* | darwin1.[[012]])
+      _lt_dar_allow_undefined='${wl}-undefined ${wl}suppress' ;;
+    darwin1.*)
+      _lt_dar_allow_undefined='${wl}-flat_namespace ${wl}-undefined ${wl}suppress' ;;
+    darwin*) # darwin 5.x on
+      # if running on 10.5 or later, the deployment target defaults
+      # to the OS version, if on x86, and 10.4, the deployment
+      # target defaults to 10.4. Don't you love it?
+      case ${MACOSX_DEPLOYMENT_TARGET-10.0},$host in
+       10.0,*86*-darwin8*|10.0,*-darwin[[91]]*)
+         _lt_dar_allow_undefined='${wl}-undefined ${wl}dynamic_lookup' ;;
+       10.[[012]]*)
+         _lt_dar_allow_undefined='${wl}-flat_namespace ${wl}-undefined ${wl}suppress' ;;
+       10.*)
+         _lt_dar_allow_undefined='${wl}-undefined ${wl}dynamic_lookup' ;;
+      esac
+    ;;
+  esac
+    if test "$lt_cv_apple_cc_single_mod" = "yes"; then
+      _lt_dar_single_mod='$single_module'
+    fi
+    if test "$lt_cv_ld_exported_symbols_list" = "yes"; then
+      _lt_dar_export_syms=' ${wl}-exported_symbols_list,$output_objdir/${libname}-symbols.expsym'
+    else
+      _lt_dar_export_syms='~$NMEDIT -s $output_objdir/${libname}-symbols.expsym ${lib}'
+    fi
+    if test "$DSYMUTIL" != ":"; then
+      _lt_dsymutil='~$DSYMUTIL $lib || :'
+    else
+      _lt_dsymutil=
+    fi
+    ;;
+  esac
+])
+
+
+# _LT_DARWIN_LINKER_FEATURES
+# --------------------------
+# Checks for linker and compiler features on darwin
+m4_defun([_LT_DARWIN_LINKER_FEATURES],
+[
+  m4_require([_LT_REQUIRED_DARWIN_CHECKS])
+  _LT_TAGVAR(archive_cmds_need_lc, $1)=no
+  _LT_TAGVAR(hardcode_direct, $1)=no
+  _LT_TAGVAR(hardcode_automatic, $1)=yes
+  _LT_TAGVAR(hardcode_shlibpath_var, $1)=unsupported
+  _LT_TAGVAR(whole_archive_flag_spec, $1)=''
+  _LT_TAGVAR(link_all_deplibs, $1)=yes
+  _LT_TAGVAR(allow_undefined_flag, $1)="$_lt_dar_allow_undefined"
+  case $cc_basename in
+     ifort*) _lt_dar_can_shared=yes ;;
+     *) _lt_dar_can_shared=$GCC ;;
+  esac
+  if test "$_lt_dar_can_shared" = "yes"; then
+    output_verbose_link_cmd=echo
+    _LT_TAGVAR(archive_cmds, $1)="\$CC -dynamiclib \$allow_undefined_flag -o \$lib \$libobjs \$deplibs \$compiler_flags -install_name \$rpath/\$soname \$verstring $_lt_dar_single_mod${_lt_dsymutil}"
+    _LT_TAGVAR(module_cmds, $1)="\$CC \$allow_undefined_flag -o \$lib -bundle \$libobjs \$deplibs \$compiler_flags${_lt_dsymutil}"
+    _LT_TAGVAR(archive_expsym_cmds, $1)="sed 's,^,_,' < \$export_symbols > \$output_objdir/\${libname}-symbols.expsym~\$CC -dynamiclib \$allow_undefined_flag -o \$lib \$libobjs \$deplibs \$compiler_flags -install_name \$rpath/\$soname \$verstring ${_lt_dar_single_mod}${_lt_dar_export_syms}${_lt_dsymutil}"
+    _LT_TAGVAR(module_expsym_cmds, $1)="sed -e 's,^,_,' < \$export_symbols > \$output_objdir/\${libname}-symbols.expsym~\$CC \$allow_undefined_flag -o \$lib -bundle \$libobjs \$deplibs \$compiler_flags${_lt_dar_export_syms}${_lt_dsymutil}"
+    m4_if([$1], [CXX],
+[   if test "$lt_cv_apple_cc_single_mod" != "yes"; then
+      _LT_TAGVAR(archive_cmds, $1)="\$CC -r -keep_private_externs -nostdlib -o \${lib}-master.o \$libobjs~\$CC -dynamiclib \$allow_undefined_flag -o \$lib \${lib}-master.o \$deplibs \$compiler_flags -install_name \$rpath/\$soname \$verstring${_lt_dsymutil}"
+      _LT_TAGVAR(archive_expsym_cmds, $1)="sed 's,^,_,' < \$export_symbols > \$output_objdir/\${libname}-symbols.expsym~\$CC -r -keep_private_externs -nostdlib -o \${lib}-master.o \$libobjs~\$CC -dynamiclib \$allow_undefined_flag -o \$lib \${lib}-master.o \$deplibs \$compiler_flags -install_name \$rpath/\$soname \$verstring${_lt_dar_export_syms}${_lt_dsymutil}"
+    fi
+],[])
+  else
+  _LT_TAGVAR(ld_shlibs, $1)=no
+  fi
+])
+
+# _LT_SYS_MODULE_PATH_AIX
+# -----------------------
+# Links a minimal program and checks the executable
+# for the system default hardcoded library path. In most cases,
+# this is /usr/lib:/lib, but when the MPI compilers are used
+# the location of the communication and MPI libs are included too.
+# If we don't find anything, use the default library path according
+# to the aix ld manual.
+m4_defun([_LT_SYS_MODULE_PATH_AIX],
+[m4_require([_LT_DECL_SED])dnl
+AC_LINK_IFELSE(AC_LANG_PROGRAM,[
+lt_aix_libpath_sed='
+    /Import File Strings/,/^$/ {
+       /^0/ {
+           s/^0  *\(.*\)$/\1/
+           p
+       }
+    }'
+aix_libpath=`dump -H conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
+# Check for a 64-bit object if we didn't find anything.
+if test -z "$aix_libpath"; then
+  aix_libpath=`dump -HX64 conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
+fi],[])
+if test -z "$aix_libpath"; then aix_libpath="/usr/lib:/lib"; fi
+])# _LT_SYS_MODULE_PATH_AIX
+
+
+# _LT_SHELL_INIT(ARG)
+# -------------------
+m4_define([_LT_SHELL_INIT],
+[ifdef([AC_DIVERSION_NOTICE],
+            [AC_DIVERT_PUSH(AC_DIVERSION_NOTICE)],
+        [AC_DIVERT_PUSH(NOTICE)])
+$1
+AC_DIVERT_POP
+])# _LT_SHELL_INIT
+
+
+# _LT_PROG_ECHO_BACKSLASH
+# -----------------------
+# Add some code to the start of the generated configure script which
+# will find an echo command which doesn't interpret backslashes.
+m4_defun([_LT_PROG_ECHO_BACKSLASH],
+[_LT_SHELL_INIT([
+# Check that we are running under the correct shell.
+SHELL=${CONFIG_SHELL-/bin/sh}
+
+case X$lt_ECHO in
+X*--fallback-echo)
+  # Remove one level of quotation (which was required for Make).
+  ECHO=`echo "$lt_ECHO" | sed 's,\\\\\[$]\\[$]0,'[$]0','`
+  ;;
+esac
+
+ECHO=${lt_ECHO-echo}
+if test "X[$]1" = X--no-reexec; then
+  # Discard the --no-reexec flag, and continue.
+  shift
+elif test "X[$]1" = X--fallback-echo; then
+  # Avoid inline document here, it may be left over
+  :
+elif test "X`{ $ECHO '\t'; } 2>/dev/null`" = 'X\t' ; then
+  # Yippee, $ECHO works!
+  :
+else
+  # Restart under the correct shell.
+  exec $SHELL "[$]0" --no-reexec ${1+"[$]@"}
+fi
+
+if test "X[$]1" = X--fallback-echo; then
+  # used as fallback echo
+  shift
+  cat <<_LT_EOF
+[$]*
+_LT_EOF
+  exit 0
+fi
+
+# The HP-UX ksh and POSIX shell print the target directory to stdout
+# if CDPATH is set.
+(unset CDPATH) >/dev/null 2>&1 && unset CDPATH
+
+if test -z "$lt_ECHO"; then
+  if test "X${echo_test_string+set}" != Xset; then
+    # find a string as large as possible, as long as the shell can cope with it
+    for cmd in 'sed 50q "[$]0"' 'sed 20q "[$]0"' 'sed 10q "[$]0"' 'sed 2q "[$]0"' 'echo test'; do
+      # expected sizes: less than 2Kb, 1Kb, 512 bytes, 16 bytes, ...
+      if { echo_test_string=`eval $cmd`; } 2>/dev/null &&
+        { test "X$echo_test_string" = "X$echo_test_string"; } 2>/dev/null
+      then
+        break
+      fi
+    done
+  fi
+
+  if test "X`{ $ECHO '\t'; } 2>/dev/null`" = 'X\t' &&
+     echo_testing_string=`{ $ECHO "$echo_test_string"; } 2>/dev/null` &&
+     test "X$echo_testing_string" = "X$echo_test_string"; then
+    :
+  else
+    # The Solaris, AIX, and Digital Unix default echo programs unquote
+    # backslashes.  This makes it impossible to quote backslashes using
+    #   echo "$something" | sed 's/\\/\\\\/g'
+    #
+    # So, first we look for a working echo in the user's PATH.
+
+    lt_save_ifs="$IFS"; IFS=$PATH_SEPARATOR
+    for dir in $PATH /usr/ucb; do
+      IFS="$lt_save_ifs"
+      if (test -f $dir/echo || test -f $dir/echo$ac_exeext) &&
+         test "X`($dir/echo '\t') 2>/dev/null`" = 'X\t' &&
+         echo_testing_string=`($dir/echo "$echo_test_string") 2>/dev/null` &&
+         test "X$echo_testing_string" = "X$echo_test_string"; then
+        ECHO="$dir/echo"
+        break
+      fi
+    done
+    IFS="$lt_save_ifs"
+
+    if test "X$ECHO" = Xecho; then
+      # We didn't find a better echo, so look for alternatives.
+      if test "X`{ print -r '\t'; } 2>/dev/null`" = 'X\t' &&
+         echo_testing_string=`{ print -r "$echo_test_string"; } 2>/dev/null` &&
+         test "X$echo_testing_string" = "X$echo_test_string"; then
+        # This shell has a builtin print -r that does the trick.
+        ECHO='print -r'
+      elif { test -f /bin/ksh || test -f /bin/ksh$ac_exeext; } &&
+          test "X$CONFIG_SHELL" != X/bin/ksh; then
+        # If we have ksh, try running configure again with it.
+        ORIGINAL_CONFIG_SHELL=${CONFIG_SHELL-/bin/sh}
+        export ORIGINAL_CONFIG_SHELL
+        CONFIG_SHELL=/bin/ksh
+        export CONFIG_SHELL
+        exec $CONFIG_SHELL "[$]0" --no-reexec ${1+"[$]@"}
+      else
+        # Try using printf.
+        ECHO='printf %s\n'
+        if test "X`{ $ECHO '\t'; } 2>/dev/null`" = 'X\t' &&
+          echo_testing_string=`{ $ECHO "$echo_test_string"; } 2>/dev/null` &&
+          test "X$echo_testing_string" = "X$echo_test_string"; then
+         # Cool, printf works
+         :
+        elif echo_testing_string=`($ORIGINAL_CONFIG_SHELL "[$]0" --fallback-echo '\t') 2>/dev/null` &&
+            test "X$echo_testing_string" = 'X\t' &&
+            echo_testing_string=`($ORIGINAL_CONFIG_SHELL "[$]0" --fallback-echo "$echo_test_string") 2>/dev/null` &&
+            test "X$echo_testing_string" = "X$echo_test_string"; then
+         CONFIG_SHELL=$ORIGINAL_CONFIG_SHELL
+         export CONFIG_SHELL
+         SHELL="$CONFIG_SHELL"
+         export SHELL
+         ECHO="$CONFIG_SHELL [$]0 --fallback-echo"
+        elif echo_testing_string=`($CONFIG_SHELL "[$]0" --fallback-echo '\t') 2>/dev/null` &&
+            test "X$echo_testing_string" = 'X\t' &&
+            echo_testing_string=`($CONFIG_SHELL "[$]0" --fallback-echo "$echo_test_string") 2>/dev/null` &&
+            test "X$echo_testing_string" = "X$echo_test_string"; then
+         ECHO="$CONFIG_SHELL [$]0 --fallback-echo"
+        else
+         # maybe with a smaller string...
+         prev=:
+
+         for cmd in 'echo test' 'sed 2q "[$]0"' 'sed 10q "[$]0"' 'sed 20q "[$]0"' 'sed 50q "[$]0"'; do
+           if { test "X$echo_test_string" = "X`eval $cmd`"; } 2>/dev/null
+           then
+             break
+           fi
+           prev="$cmd"
+         done
+
+         if test "$prev" != 'sed 50q "[$]0"'; then
+           echo_test_string=`eval $prev`
+           export echo_test_string
+           exec ${ORIGINAL_CONFIG_SHELL-${CONFIG_SHELL-/bin/sh}} "[$]0" ${1+"[$]@"}
+         else
+           # Oops.  We lost completely, so just stick with echo.
+           ECHO=echo
+         fi
+        fi
+      fi
+    fi
+  fi
+fi
+
+# Copy echo and quote the copy suitably for passing to libtool from
+# the Makefile, instead of quoting the original, which is used later.
+lt_ECHO=$ECHO
+if test "X$lt_ECHO" = "X$CONFIG_SHELL [$]0 --fallback-echo"; then
+   lt_ECHO="$CONFIG_SHELL \\\$\[$]0 --fallback-echo"
+fi
+
+AC_SUBST(lt_ECHO)
+])
+_LT_DECL([], [SHELL], [1], [Shell to use when invoking shell scripts])
+_LT_DECL([], [ECHO], [1],
+    [An echo program that does not interpret backslashes])
+])# _LT_PROG_ECHO_BACKSLASH
+
+
+# _LT_ENABLE_LOCK
+# ---------------
+m4_defun([_LT_ENABLE_LOCK],
+[AC_ARG_ENABLE([libtool-lock],
+  [AS_HELP_STRING([--disable-libtool-lock],
+    [avoid locking (might break parallel builds)])])
+test "x$enable_libtool_lock" != xno && enable_libtool_lock=yes
+
+# Some flags need to be propagated to the compiler or linker for good
+# libtool support.
+case $host in
+ia64-*-hpux*)
+  # Find out which ABI we are using.
+  echo 'int i;' > conftest.$ac_ext
+  if AC_TRY_EVAL(ac_compile); then
+    case `/usr/bin/file conftest.$ac_objext` in
+      *ELF-32*)
+       HPUX_IA64_MODE="32"
+       ;;
+      *ELF-64*)
+       HPUX_IA64_MODE="64"
+       ;;
+    esac
+  fi
+  rm -rf conftest*
+  ;;
+*-*-irix6*)
+  # Find out which ABI we are using.
+  echo '[#]line __oline__ "configure"' > conftest.$ac_ext
+  if AC_TRY_EVAL(ac_compile); then
+    if test "$lt_cv_prog_gnu_ld" = yes; then
+      case `/usr/bin/file conftest.$ac_objext` in
+       *32-bit*)
+         LD="${LD-ld} -melf32bsmip"
+         ;;
+       *N32*)
+         LD="${LD-ld} -melf32bmipn32"
+         ;;
+       *64-bit*)
+         LD="${LD-ld} -melf64bmip"
+       ;;
+      esac
+    else
+      case `/usr/bin/file conftest.$ac_objext` in
+       *32-bit*)
+         LD="${LD-ld} -32"
+         ;;
+       *N32*)
+         LD="${LD-ld} -n32"
+         ;;
+       *64-bit*)
+         LD="${LD-ld} -64"
+         ;;
+      esac
+    fi
+  fi
+  rm -rf conftest*
+  ;;
+
+x86_64-*kfreebsd*-gnu|x86_64-*linux*|ppc*-*linux*|powerpc*-*linux*| \
+s390*-*linux*|s390*-*tpf*|sparc*-*linux*)
+  # Find out which ABI we are using.
+  echo 'int i;' > conftest.$ac_ext
+  if AC_TRY_EVAL(ac_compile); then
+    case `/usr/bin/file conftest.o` in
+      *32-bit*)
+       case $host in
+         x86_64-*kfreebsd*-gnu)
+           LD="${LD-ld} -m elf_i386_fbsd"
+           ;;
+         x86_64-*linux*)
+           LD="${LD-ld} -m elf_i386"
+           ;;
+         ppc64-*linux*|powerpc64-*linux*)
+           LD="${LD-ld} -m elf32ppclinux"
+           ;;
+         s390x-*linux*)
+           LD="${LD-ld} -m elf_s390"
+           ;;
+         sparc64-*linux*)
+           LD="${LD-ld} -m elf32_sparc"
+           ;;
+       esac
+       ;;
+      *64-bit*)
+       case $host in
+         x86_64-*kfreebsd*-gnu)
+           LD="${LD-ld} -m elf_x86_64_fbsd"
+           ;;
+         x86_64-*linux*)
+           LD="${LD-ld} -m elf_x86_64"
+           ;;
+         ppc*-*linux*|powerpc*-*linux*)
+           LD="${LD-ld} -m elf64ppc"
+           ;;
+         s390*-*linux*|s390*-*tpf*)
+           LD="${LD-ld} -m elf64_s390"
+           ;;
+         sparc*-*linux*)
+           LD="${LD-ld} -m elf64_sparc"
+           ;;
+       esac
+       ;;
+    esac
+  fi
+  rm -rf conftest*
+  ;;
+
+*-*-sco3.2v5*)
+  # On SCO OpenServer 5, we need -belf to get full-featured binaries.
+  SAVE_CFLAGS="$CFLAGS"
+  CFLAGS="$CFLAGS -belf"
+  AC_CACHE_CHECK([whether the C compiler needs -belf], lt_cv_cc_needs_belf,
+    [AC_LANG_PUSH(C)
+     AC_LINK_IFELSE([AC_LANG_PROGRAM([[]],[[]])],[lt_cv_cc_needs_belf=yes],[lt_cv_cc_needs_belf=no])
+     AC_LANG_POP])
+  if test x"$lt_cv_cc_needs_belf" != x"yes"; then
+    # this is probably gcc 2.8.0, egcs 1.0 or newer; no need for -belf
+    CFLAGS="$SAVE_CFLAGS"
+  fi
+  ;;
+sparc*-*solaris*)
+  # Find out which ABI we are using.
+  echo 'int i;' > conftest.$ac_ext
+  if AC_TRY_EVAL(ac_compile); then
+    case `/usr/bin/file conftest.o` in
+    *64-bit*)
+      case $lt_cv_prog_gnu_ld in
+      yes*) LD="${LD-ld} -m elf64_sparc" ;;
+      *)
+       if ${LD-ld} -64 -r -o conftest2.o conftest.o >/dev/null 2>&1; then
+         LD="${LD-ld} -64"
+       fi
+       ;;
+      esac
+      ;;
+    esac
+  fi
+  rm -rf conftest*
+  ;;
+esac
+
+need_locks="$enable_libtool_lock"
+])# _LT_ENABLE_LOCK
+
+
+# _LT_CMD_OLD_ARCHIVE
+# -------------------
+m4_defun([_LT_CMD_OLD_ARCHIVE],
+[AC_CHECK_TOOL(AR, ar, false)
+test -z "$AR" && AR=ar
+test -z "$AR_FLAGS" && AR_FLAGS=cru
+_LT_DECL([], [AR], [1], [The archiver])
+_LT_DECL([], [AR_FLAGS], [1])
+
+AC_CHECK_TOOL(STRIP, strip, :)
+test -z "$STRIP" && STRIP=:
+_LT_DECL([], [STRIP], [1], [A symbol stripping program])
+
+AC_CHECK_TOOL(RANLIB, ranlib, :)
+test -z "$RANLIB" && RANLIB=:
+_LT_DECL([], [RANLIB], [1],
+    [Commands used to install an old-style archive])
+
+# Determine commands to create old-style static archives.
+old_archive_cmds='$AR $AR_FLAGS $oldlib$oldobjs'
+old_postinstall_cmds='chmod 644 $oldlib'
+old_postuninstall_cmds=
+
+if test -n "$RANLIB"; then
+  case $host_os in
+  openbsd*)
+    old_postinstall_cmds="$old_postinstall_cmds~\$RANLIB -t \$oldlib"
+    ;;
+  *)
+    old_postinstall_cmds="$old_postinstall_cmds~\$RANLIB \$oldlib"
+    ;;
+  esac
+  old_archive_cmds="$old_archive_cmds~\$RANLIB \$oldlib"
+fi
+_LT_DECL([], [old_postinstall_cmds], [2])
+_LT_DECL([], [old_postuninstall_cmds], [2])
+_LT_TAGDECL([], [old_archive_cmds], [2],
+    [Commands used to build an old-style archive])
+])# _LT_CMD_OLD_ARCHIVE
+
+
+# _LT_COMPILER_OPTION(MESSAGE, VARIABLE-NAME, FLAGS,
+#              [OUTPUT-FILE], [ACTION-SUCCESS], [ACTION-FAILURE])
+# ----------------------------------------------------------------
+# Check whether the given compiler option works
+AC_DEFUN([_LT_COMPILER_OPTION],
+[m4_require([_LT_FILEUTILS_DEFAULTS])dnl
+m4_require([_LT_DECL_SED])dnl
+AC_CACHE_CHECK([$1], [$2],
+  [$2=no
+   m4_if([$4], , [ac_outfile=conftest.$ac_objext], [ac_outfile=$4])
+   echo "$lt_simple_compile_test_code" > conftest.$ac_ext
+   lt_compiler_flag="$3"
+   # Insert the option either (1) after the last *FLAGS variable, or
+   # (2) before a word containing "conftest.", or (3) at the end.
+   # Note that $ac_compile itself does not contain backslashes and begins
+   # with a dollar sign (not a hyphen), so the echo should work correctly.
+   # The option is referenced via a variable to avoid confusing sed.
+   lt_compile=`echo "$ac_compile" | $SED \
+   -e 's:.*FLAGS}\{0,1\} :&$lt_compiler_flag :; t' \
+   -e 's: [[^ ]]*conftest\.: $lt_compiler_flag&:; t' \
+   -e 's:$: $lt_compiler_flag:'`
+   (eval echo "\"\$as_me:__oline__: $lt_compile\"" >&AS_MESSAGE_LOG_FD)
+   (eval "$lt_compile" 2>conftest.err)
+   ac_status=$?
+   cat conftest.err >&AS_MESSAGE_LOG_FD
+   echo "$as_me:__oline__: \$? = $ac_status" >&AS_MESSAGE_LOG_FD
+   if (exit $ac_status) && test -s "$ac_outfile"; then
+     # The compiler can only warn and ignore the option if not recognized
+     # So say no if there are warnings other than the usual output.
+     $ECHO "X$_lt_compiler_boilerplate" | $Xsed -e '/^$/d' >conftest.exp
+     $SED '/^$/d; /^ *+/d' conftest.err >conftest.er2
+     if test ! -s conftest.er2 || diff conftest.exp conftest.er2 >/dev/null; then
+       $2=yes
+     fi
+   fi
+   $RM conftest*
+])
+
+if test x"[$]$2" = xyes; then
+    m4_if([$5], , :, [$5])
+else
+    m4_if([$6], , :, [$6])
+fi
+])# _LT_COMPILER_OPTION
+
+# Old name:
+AU_ALIAS([AC_LIBTOOL_COMPILER_OPTION], [_LT_COMPILER_OPTION])
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([AC_LIBTOOL_COMPILER_OPTION], [])
+
+
+# _LT_LINKER_OPTION(MESSAGE, VARIABLE-NAME, FLAGS,
+#                  [ACTION-SUCCESS], [ACTION-FAILURE])
+# ----------------------------------------------------
+# Check whether the given linker option works
+AC_DEFUN([_LT_LINKER_OPTION],
+[m4_require([_LT_FILEUTILS_DEFAULTS])dnl
+m4_require([_LT_DECL_SED])dnl
+AC_CACHE_CHECK([$1], [$2],
+  [$2=no
+   save_LDFLAGS="$LDFLAGS"
+   LDFLAGS="$LDFLAGS $3"
+   echo "$lt_simple_link_test_code" > conftest.$ac_ext
+   if (eval $ac_link 2>conftest.err) && test -s conftest$ac_exeext; then
+     # The linker can only warn and ignore the option if not recognized
+     # So say no if there are warnings
+     if test -s conftest.err; then
+       # Append any errors to the config.log.
+       cat conftest.err 1>&AS_MESSAGE_LOG_FD
+       $ECHO "X$_lt_linker_boilerplate" | $Xsed -e '/^$/d' > conftest.exp
+       $SED '/^$/d; /^ *+/d' conftest.err >conftest.er2
+       if diff conftest.exp conftest.er2 >/dev/null; then
+         $2=yes
+       fi
+     else
+       $2=yes
+     fi
+   fi
+   $RM -r conftest*
+   LDFLAGS="$save_LDFLAGS"
+])
+
+if test x"[$]$2" = xyes; then
+    m4_if([$4], , :, [$4])
+else
+    m4_if([$5], , :, [$5])
+fi
+])# _LT_LINKER_OPTION
+
+# Old name:
+AU_ALIAS([AC_LIBTOOL_LINKER_OPTION], [_LT_LINKER_OPTION])
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([AC_LIBTOOL_LINKER_OPTION], [])
+
+
+# LT_CMD_MAX_LEN
+#---------------
+AC_DEFUN([LT_CMD_MAX_LEN],
+[AC_REQUIRE([AC_CANONICAL_HOST])dnl
+# find the maximum length of command line arguments
+AC_MSG_CHECKING([the maximum length of command line arguments])
+AC_CACHE_VAL([lt_cv_sys_max_cmd_len], [dnl
+  i=0
+  teststring="ABCD"
+
+  case $build_os in
+  msdosdjgpp*)
+    # On DJGPP, this test can blow up pretty badly due to problems in libc
+    # (any single argument exceeding 2000 bytes causes a buffer overrun
+    # during glob expansion).  Even if it were fixed, the result of this
+    # check would be larger than it should be.
+    lt_cv_sys_max_cmd_len=12288;    # 12K is about right
+    ;;
+
+  gnu*)
+    # Under GNU Hurd, this test is not required because there is
+    # no limit to the length of command line arguments.
+    # Libtool will interpret -1 as no limit whatsoever
+    lt_cv_sys_max_cmd_len=-1;
+    ;;
+
+  cygwin* | mingw* | cegcc*)
+    # On Win9x/ME, this test blows up -- it succeeds, but takes
+    # about 5 minutes as the teststring grows exponentially.
+    # Worse, since 9x/ME are not pre-emptively multitasking,
+    # you end up with a "frozen" computer, even though with patience
+    # the test eventually succeeds (with a max line length of 256k).
+    # Instead, let's just punt: use the minimum linelength reported by
+    # all of the supported platforms: 8192 (on NT/2K/XP).
+    lt_cv_sys_max_cmd_len=8192;
+    ;;
+
+  amigaos*)
+    # On AmigaOS with pdksh, this test takes hours, literally.
+    # So we just punt and use a minimum line length of 8192.
+    lt_cv_sys_max_cmd_len=8192;
+    ;;
+
+  netbsd* | freebsd* | openbsd* | darwin* | dragonfly*)
+    # This has been around since 386BSD, at least.  Likely further.
+    if test -x /sbin/sysctl; then
+      lt_cv_sys_max_cmd_len=`/sbin/sysctl -n kern.argmax`
+    elif test -x /usr/sbin/sysctl; then
+      lt_cv_sys_max_cmd_len=`/usr/sbin/sysctl -n kern.argmax`
+    else
+      lt_cv_sys_max_cmd_len=65536      # usable default for all BSDs
+    fi
+    # And add a safety zone
+    lt_cv_sys_max_cmd_len=`expr $lt_cv_sys_max_cmd_len \/ 4`
+    lt_cv_sys_max_cmd_len=`expr $lt_cv_sys_max_cmd_len \* 3`
+    ;;
+
+  interix*)
+    # We know the value 262144 and hardcode it with a safety zone (like BSD)
+    lt_cv_sys_max_cmd_len=196608
+    ;;
+
+  osf*)
+    # Dr. Hans Ekkehard Plesser reports seeing a kernel panic running configure
+    # due to this test when exec_disable_arg_limit is 1 on Tru64. It is not
+    # nice to cause kernel panics so lets avoid the loop below.
+    # First set a reasonable default.
+    lt_cv_sys_max_cmd_len=16384
+    #
+    if test -x /sbin/sysconfig; then
+      case `/sbin/sysconfig -q proc exec_disable_arg_limit` in
+        *1*) lt_cv_sys_max_cmd_len=-1 ;;
+      esac
+    fi
+    ;;
+  sco3.2v5*)
+    lt_cv_sys_max_cmd_len=102400
+    ;;
+  sysv5* | sco5v6* | sysv4.2uw2*)
+    kargmax=`grep ARG_MAX /etc/conf/cf.d/stune 2>/dev/null`
+    if test -n "$kargmax"; then
+      lt_cv_sys_max_cmd_len=`echo $kargmax | sed 's/.*[[        ]]//'`
+    else
+      lt_cv_sys_max_cmd_len=32768
+    fi
+    ;;
+  *)
+    lt_cv_sys_max_cmd_len=`(getconf ARG_MAX) 2> /dev/null`
+    if test -n "$lt_cv_sys_max_cmd_len"; then
+      lt_cv_sys_max_cmd_len=`expr $lt_cv_sys_max_cmd_len \/ 4`
+      lt_cv_sys_max_cmd_len=`expr $lt_cv_sys_max_cmd_len \* 3`
+    else
+      # Make teststring a little bigger before we do anything with it.
+      # a 1K string should be a reasonable start.
+      for i in 1 2 3 4 5 6 7 8 ; do
+        teststring=$teststring$teststring
+      done
+      SHELL=${SHELL-${CONFIG_SHELL-/bin/sh}}
+      # If test is not a shell built-in, we'll probably end up computing a
+      # maximum length that is only half of the actual maximum length, but
+      # we can't tell.
+      while { test "X"`$SHELL [$]0 --fallback-echo "X$teststring$teststring" 2>/dev/null` \
+                = "XX$teststring$teststring"; } >/dev/null 2>&1 &&
+             test $i != 17 # 1/2 MB should be enough
+      do
+        i=`expr $i + 1`
+        teststring=$teststring$teststring
+      done
+      # Only check the string length outside the loop.
+      lt_cv_sys_max_cmd_len=`expr "X$teststring" : ".*" 2>&1`
+      teststring=
+      # Add a significant safety factor because C++ compilers can tack on
+      # massive amounts of additional arguments before passing them to the
+      # linker.  It appears as though 1/2 is a usable value.
+      lt_cv_sys_max_cmd_len=`expr $lt_cv_sys_max_cmd_len \/ 2`
+    fi
+    ;;
+  esac
+])
+if test -n $lt_cv_sys_max_cmd_len ; then
+  AC_MSG_RESULT($lt_cv_sys_max_cmd_len)
+else
+  AC_MSG_RESULT(none)
+fi
+max_cmd_len=$lt_cv_sys_max_cmd_len
+_LT_DECL([], [max_cmd_len], [0],
+    [What is the maximum length of a command?])
+])# LT_CMD_MAX_LEN
+
+# Old name:
+AU_ALIAS([AC_LIBTOOL_SYS_MAX_CMD_LEN], [LT_CMD_MAX_LEN])
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([AC_LIBTOOL_SYS_MAX_CMD_LEN], [])
+
+
+# _LT_HEADER_DLFCN
+# ----------------
+m4_defun([_LT_HEADER_DLFCN],
+[AC_CHECK_HEADERS([dlfcn.h], [], [], [AC_INCLUDES_DEFAULT])dnl
+])# _LT_HEADER_DLFCN
+
+
+# _LT_TRY_DLOPEN_SELF (ACTION-IF-TRUE, ACTION-IF-TRUE-W-USCORE,
+#                      ACTION-IF-FALSE, ACTION-IF-CROSS-COMPILING)
+# ----------------------------------------------------------------
+m4_defun([_LT_TRY_DLOPEN_SELF],
+[m4_require([_LT_HEADER_DLFCN])dnl
+if test "$cross_compiling" = yes; then :
+  [$4]
+else
+  lt_dlunknown=0; lt_dlno_uscore=1; lt_dlneed_uscore=2
+  lt_status=$lt_dlunknown
+  cat > conftest.$ac_ext <<_LT_EOF
+[#line __oline__ "configure"
+#include "confdefs.h"
+
+#if HAVE_DLFCN_H
+#include <dlfcn.h>
+#endif
+
+#include <stdio.h>
+
+#ifdef RTLD_GLOBAL
+#  define LT_DLGLOBAL          RTLD_GLOBAL
+#else
+#  ifdef DL_GLOBAL
+#    define LT_DLGLOBAL                DL_GLOBAL
+#  else
+#    define LT_DLGLOBAL                0
+#  endif
+#endif
+
+/* We may have to define LT_DLLAZY_OR_NOW in the command line if we
+   find out it does not work in some platform. */
+#ifndef LT_DLLAZY_OR_NOW
+#  ifdef RTLD_LAZY
+#    define LT_DLLAZY_OR_NOW           RTLD_LAZY
+#  else
+#    ifdef DL_LAZY
+#      define LT_DLLAZY_OR_NOW         DL_LAZY
+#    else
+#      ifdef RTLD_NOW
+#        define LT_DLLAZY_OR_NOW       RTLD_NOW
+#      else
+#        ifdef DL_NOW
+#          define LT_DLLAZY_OR_NOW     DL_NOW
+#        else
+#          define LT_DLLAZY_OR_NOW     0
+#        endif
+#      endif
+#    endif
+#  endif
+#endif
+
+void fnord() { int i=42;}
+int main ()
+{
+  void *self = dlopen (0, LT_DLGLOBAL|LT_DLLAZY_OR_NOW);
+  int status = $lt_dlunknown;
+
+  if (self)
+    {
+      if (dlsym (self,"fnord"))       status = $lt_dlno_uscore;
+      else if (dlsym( self,"_fnord")) status = $lt_dlneed_uscore;
+      /* dlclose (self); */
+    }
+  else
+    puts (dlerror ());
+
+  return status;
+}]
+_LT_EOF
+  if AC_TRY_EVAL(ac_link) && test -s conftest${ac_exeext} 2>/dev/null; then
+    (./conftest; exit; ) >&AS_MESSAGE_LOG_FD 2>/dev/null
+    lt_status=$?
+    case x$lt_status in
+      x$lt_dlno_uscore) $1 ;;
+      x$lt_dlneed_uscore) $2 ;;
+      x$lt_dlunknown|x*) $3 ;;
+    esac
+  else :
+    # compilation failed
+    $3
+  fi
+fi
+rm -fr conftest*
+])# _LT_TRY_DLOPEN_SELF
+
+
+# LT_SYS_DLOPEN_SELF
+# ------------------
+AC_DEFUN([LT_SYS_DLOPEN_SELF],
+[m4_require([_LT_HEADER_DLFCN])dnl
+if test "x$enable_dlopen" != xyes; then
+  enable_dlopen=unknown
+  enable_dlopen_self=unknown
+  enable_dlopen_self_static=unknown
+else
+  lt_cv_dlopen=no
+  lt_cv_dlopen_libs=
+
+  case $host_os in
+  beos*)
+    lt_cv_dlopen="load_add_on"
+    lt_cv_dlopen_libs=
+    lt_cv_dlopen_self=yes
+    ;;
+
+  mingw* | pw32* | cegcc*)
+    lt_cv_dlopen="LoadLibrary"
+    lt_cv_dlopen_libs=
+    ;;
+
+  cygwin*)
+    lt_cv_dlopen="dlopen"
+    lt_cv_dlopen_libs=
+    ;;
+
+  darwin*)
+  # if libdl is installed we need to link against it
+    AC_CHECK_LIB([dl], [dlopen],
+               [lt_cv_dlopen="dlopen" lt_cv_dlopen_libs="-ldl"],[
+    lt_cv_dlopen="dyld"
+    lt_cv_dlopen_libs=
+    lt_cv_dlopen_self=yes
+    ])
+    ;;
+
+  *)
+    AC_CHECK_FUNC([shl_load],
+         [lt_cv_dlopen="shl_load"],
+      [AC_CHECK_LIB([dld], [shl_load],
+           [lt_cv_dlopen="shl_load" lt_cv_dlopen_libs="-ldld"],
+       [AC_CHECK_FUNC([dlopen],
+             [lt_cv_dlopen="dlopen"],
+         [AC_CHECK_LIB([dl], [dlopen],
+               [lt_cv_dlopen="dlopen" lt_cv_dlopen_libs="-ldl"],
+           [AC_CHECK_LIB([svld], [dlopen],
+                 [lt_cv_dlopen="dlopen" lt_cv_dlopen_libs="-lsvld"],
+             [AC_CHECK_LIB([dld], [dld_link],
+                   [lt_cv_dlopen="dld_link" lt_cv_dlopen_libs="-ldld"])
+             ])
+           ])
+         ])
+       ])
+      ])
+    ;;
+  esac
+
+  if test "x$lt_cv_dlopen" != xno; then
+    enable_dlopen=yes
+  else
+    enable_dlopen=no
+  fi
+
+  case $lt_cv_dlopen in
+  dlopen)
+    save_CPPFLAGS="$CPPFLAGS"
+    test "x$ac_cv_header_dlfcn_h" = xyes && CPPFLAGS="$CPPFLAGS -DHAVE_DLFCN_H"
+
+    save_LDFLAGS="$LDFLAGS"
+    wl=$lt_prog_compiler_wl eval LDFLAGS=\"\$LDFLAGS $export_dynamic_flag_spec\"
+
+    save_LIBS="$LIBS"
+    LIBS="$lt_cv_dlopen_libs $LIBS"
+
+    AC_CACHE_CHECK([whether a program can dlopen itself],
+         lt_cv_dlopen_self, [dnl
+         _LT_TRY_DLOPEN_SELF(
+           lt_cv_dlopen_self=yes, lt_cv_dlopen_self=yes,
+           lt_cv_dlopen_self=no, lt_cv_dlopen_self=cross)
+    ])
+
+    if test "x$lt_cv_dlopen_self" = xyes; then
+      wl=$lt_prog_compiler_wl eval LDFLAGS=\"\$LDFLAGS $lt_prog_compiler_static\"
+      AC_CACHE_CHECK([whether a statically linked program can dlopen itself],
+         lt_cv_dlopen_self_static, [dnl
+         _LT_TRY_DLOPEN_SELF(
+           lt_cv_dlopen_self_static=yes, lt_cv_dlopen_self_static=yes,
+           lt_cv_dlopen_self_static=no,  lt_cv_dlopen_self_static=cross)
+      ])
+    fi
+
+    CPPFLAGS="$save_CPPFLAGS"
+    LDFLAGS="$save_LDFLAGS"
+    LIBS="$save_LIBS"
+    ;;
+  esac
+
+  case $lt_cv_dlopen_self in
+  yes|no) enable_dlopen_self=$lt_cv_dlopen_self ;;
+  *) enable_dlopen_self=unknown ;;
+  esac
+
+  case $lt_cv_dlopen_self_static in
+  yes|no) enable_dlopen_self_static=$lt_cv_dlopen_self_static ;;
+  *) enable_dlopen_self_static=unknown ;;
+  esac
+fi
+_LT_DECL([dlopen_support], [enable_dlopen], [0],
+        [Whether dlopen is supported])
+_LT_DECL([dlopen_self], [enable_dlopen_self], [0],
+        [Whether dlopen of programs is supported])
+_LT_DECL([dlopen_self_static], [enable_dlopen_self_static], [0],
+        [Whether dlopen of statically linked programs is supported])
+])# LT_SYS_DLOPEN_SELF
+
+# Old name:
+AU_ALIAS([AC_LIBTOOL_DLOPEN_SELF], [LT_SYS_DLOPEN_SELF])
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([AC_LIBTOOL_DLOPEN_SELF], [])
+
+
+# _LT_COMPILER_C_O([TAGNAME])
+# ---------------------------
+# Check to see if options -c and -o are simultaneously supported by compiler.
+# This macro does not hard code the compiler like AC_PROG_CC_C_O.
+m4_defun([_LT_COMPILER_C_O],
+[m4_require([_LT_DECL_SED])dnl
+m4_require([_LT_FILEUTILS_DEFAULTS])dnl
+m4_require([_LT_TAG_COMPILER])dnl
+AC_CACHE_CHECK([if $compiler supports -c -o file.$ac_objext],
+  [_LT_TAGVAR(lt_cv_prog_compiler_c_o, $1)],
+  [_LT_TAGVAR(lt_cv_prog_compiler_c_o, $1)=no
+   $RM -r conftest 2>/dev/null
+   mkdir conftest
+   cd conftest
+   mkdir out
+   echo "$lt_simple_compile_test_code" > conftest.$ac_ext
+
+   lt_compiler_flag="-o out/conftest2.$ac_objext"
+   # Insert the option either (1) after the last *FLAGS variable, or
+   # (2) before a word containing "conftest.", or (3) at the end.
+   # Note that $ac_compile itself does not contain backslashes and begins
+   # with a dollar sign (not a hyphen), so the echo should work correctly.
+   lt_compile=`echo "$ac_compile" | $SED \
+   -e 's:.*FLAGS}\{0,1\} :&$lt_compiler_flag :; t' \
+   -e 's: [[^ ]]*conftest\.: $lt_compiler_flag&:; t' \
+   -e 's:$: $lt_compiler_flag:'`
+   (eval echo "\"\$as_me:__oline__: $lt_compile\"" >&AS_MESSAGE_LOG_FD)
+   (eval "$lt_compile" 2>out/conftest.err)
+   ac_status=$?
+   cat out/conftest.err >&AS_MESSAGE_LOG_FD
+   echo "$as_me:__oline__: \$? = $ac_status" >&AS_MESSAGE_LOG_FD
+   if (exit $ac_status) && test -s out/conftest2.$ac_objext
+   then
+     # The compiler can only warn and ignore the option if not recognized
+     # So say no if there are warnings
+     $ECHO "X$_lt_compiler_boilerplate" | $Xsed -e '/^$/d' > out/conftest.exp
+     $SED '/^$/d; /^ *+/d' out/conftest.err >out/conftest.er2
+     if test ! -s out/conftest.er2 || diff out/conftest.exp out/conftest.er2 >/dev/null; then
+       _LT_TAGVAR(lt_cv_prog_compiler_c_o, $1)=yes
+     fi
+   fi
+   chmod u+w . 2>&AS_MESSAGE_LOG_FD
+   $RM conftest*
+   # SGI C++ compiler will create directory out/ii_files/ for
+   # template instantiation
+   test -d out/ii_files && $RM out/ii_files/* && rmdir out/ii_files
+   $RM out/* && rmdir out
+   cd ..
+   $RM -r conftest
+   $RM conftest*
+])
+_LT_TAGDECL([compiler_c_o], [lt_cv_prog_compiler_c_o], [1],
+       [Does compiler simultaneously support -c and -o options?])
+])# _LT_COMPILER_C_O
+
+
+# _LT_COMPILER_FILE_LOCKS([TAGNAME])
+# ----------------------------------
+# Check to see if we can do hard links to lock some files if needed
+m4_defun([_LT_COMPILER_FILE_LOCKS],
+[m4_require([_LT_ENABLE_LOCK])dnl
+m4_require([_LT_FILEUTILS_DEFAULTS])dnl
+_LT_COMPILER_C_O([$1])
+
+hard_links="nottested"
+if test "$_LT_TAGVAR(lt_cv_prog_compiler_c_o, $1)" = no && test "$need_locks" != no; then
+  # do not overwrite the value of need_locks provided by the user
+  AC_MSG_CHECKING([if we can lock with hard links])
+  hard_links=yes
+  $RM conftest*
+  ln conftest.a conftest.b 2>/dev/null && hard_links=no
+  touch conftest.a
+  ln conftest.a conftest.b 2>&5 || hard_links=no
+  ln conftest.a conftest.b 2>/dev/null && hard_links=no
+  AC_MSG_RESULT([$hard_links])
+  if test "$hard_links" = no; then
+    AC_MSG_WARN([`$CC' does not support `-c -o', so `make -j' may be unsafe])
+    need_locks=warn
+  fi
+else
+  need_locks=no
+fi
+_LT_DECL([], [need_locks], [1], [Must we lock files when doing compilation?])
+])# _LT_COMPILER_FILE_LOCKS
+
+
+# _LT_CHECK_OBJDIR
+# ----------------
+m4_defun([_LT_CHECK_OBJDIR],
+[AC_CACHE_CHECK([for objdir], [lt_cv_objdir],
+[rm -f .libs 2>/dev/null
+mkdir .libs 2>/dev/null
+if test -d .libs; then
+  lt_cv_objdir=.libs
+else
+  # MS-DOS does not allow filenames that begin with a dot.
+  lt_cv_objdir=_libs
+fi
+rmdir .libs 2>/dev/null])
+objdir=$lt_cv_objdir
+_LT_DECL([], [objdir], [0],
+         [The name of the directory that contains temporary libtool files])dnl
+m4_pattern_allow([LT_OBJDIR])dnl
+AC_DEFINE_UNQUOTED(LT_OBJDIR, "$lt_cv_objdir/",
+  [Define to the sub-directory in which libtool stores uninstalled libraries.])
+])# _LT_CHECK_OBJDIR
+
+
+# _LT_LINKER_HARDCODE_LIBPATH([TAGNAME])
+# --------------------------------------
+# Check hardcoding attributes.
+m4_defun([_LT_LINKER_HARDCODE_LIBPATH],
+[AC_MSG_CHECKING([how to hardcode library paths into programs])
+_LT_TAGVAR(hardcode_action, $1)=
+if test -n "$_LT_TAGVAR(hardcode_libdir_flag_spec, $1)" ||
+   test -n "$_LT_TAGVAR(runpath_var, $1)" ||
+   test "X$_LT_TAGVAR(hardcode_automatic, $1)" = "Xyes" ; then
+
+  # We can hardcode non-existent directories.
+  if test "$_LT_TAGVAR(hardcode_direct, $1)" != no &&
+     # If the only mechanism to avoid hardcoding is shlibpath_var, we
+     # have to relink, otherwise we might link with an installed library
+     # when we should be linking with a yet-to-be-installed one
+     ## test "$_LT_TAGVAR(hardcode_shlibpath_var, $1)" != no &&
+     test "$_LT_TAGVAR(hardcode_minus_L, $1)" != no; then
+    # Linking always hardcodes the temporary library directory.
+    _LT_TAGVAR(hardcode_action, $1)=relink
+  else
+    # We can link without hardcoding, and we can hardcode nonexisting dirs.
+    _LT_TAGVAR(hardcode_action, $1)=immediate
+  fi
+else
+  # We cannot hardcode anything, or else we can only hardcode existing
+  # directories.
+  _LT_TAGVAR(hardcode_action, $1)=unsupported
+fi
+AC_MSG_RESULT([$_LT_TAGVAR(hardcode_action, $1)])
+
+if test "$_LT_TAGVAR(hardcode_action, $1)" = relink ||
+   test "$_LT_TAGVAR(inherit_rpath, $1)" = yes; then
+  # Fast installation is not supported
+  enable_fast_install=no
+elif test "$shlibpath_overrides_runpath" = yes ||
+     test "$enable_shared" = no; then
+  # Fast installation is not necessary
+  enable_fast_install=needless
+fi
+_LT_TAGDECL([], [hardcode_action], [0],
+    [How to hardcode a shared library path into an executable])
+])# _LT_LINKER_HARDCODE_LIBPATH
+
+
+# _LT_CMD_STRIPLIB
+# ----------------
+m4_defun([_LT_CMD_STRIPLIB],
+[m4_require([_LT_DECL_EGREP])
+striplib=
+old_striplib=
+AC_MSG_CHECKING([whether stripping libraries is possible])
+if test -n "$STRIP" && $STRIP -V 2>&1 | $GREP "GNU strip" >/dev/null; then
+  test -z "$old_striplib" && old_striplib="$STRIP --strip-debug"
+  test -z "$striplib" && striplib="$STRIP --strip-unneeded"
+  AC_MSG_RESULT([yes])
+else
+# FIXME - insert some real tests, host_os isn't really good enough
+  case $host_os in
+  darwin*)
+    if test -n "$STRIP" ; then
+      striplib="$STRIP -x"
+      old_striplib="$STRIP -S"
+      AC_MSG_RESULT([yes])
+    else
+      AC_MSG_RESULT([no])
+    fi
+    ;;
+  *)
+    AC_MSG_RESULT([no])
+    ;;
+  esac
+fi
+_LT_DECL([], [old_striplib], [1], [Commands to strip libraries])
+_LT_DECL([], [striplib], [1])
+])# _LT_CMD_STRIPLIB
+
+
+# _LT_SYS_DYNAMIC_LINKER([TAG])
+# -----------------------------
+# PORTME Fill in your ld.so characteristics
+m4_defun([_LT_SYS_DYNAMIC_LINKER],
+[AC_REQUIRE([AC_CANONICAL_HOST])dnl
+m4_require([_LT_DECL_EGREP])dnl
+m4_require([_LT_FILEUTILS_DEFAULTS])dnl
+m4_require([_LT_DECL_OBJDUMP])dnl
+m4_require([_LT_DECL_SED])dnl
+AC_MSG_CHECKING([dynamic linker characteristics])
+m4_if([$1],
+       [], [
+if test "$GCC" = yes; then
+  case $host_os in
+    darwin*) lt_awk_arg="/^libraries:/,/LR/" ;;
+    *) lt_awk_arg="/^libraries:/" ;;
+  esac
+  lt_search_path_spec=`$CC -print-search-dirs | awk $lt_awk_arg | $SED -e "s/^libraries://" -e "s,=/,/,g"`
+  if $ECHO "$lt_search_path_spec" | $GREP ';' >/dev/null ; then
+    # if the path contains ";" then we assume it to be the separator
+    # otherwise default to the standard path separator (i.e. ":") - it is
+    # assumed that no part of a normal pathname contains ";" but that should
+    # okay in the real world where ";" in dirpaths is itself problematic.
+    lt_search_path_spec=`$ECHO "$lt_search_path_spec" | $SED -e 's/;/ /g'`
+  else
+    lt_search_path_spec=`$ECHO "$lt_search_path_spec" | $SED  -e "s/$PATH_SEPARATOR/ /g"`
+  fi
+  # Ok, now we have the path, separated by spaces, we can step through it
+  # and add multilib dir if necessary.
+  lt_tmp_lt_search_path_spec=
+  lt_multi_os_dir=`$CC $CPPFLAGS $CFLAGS $LDFLAGS -print-multi-os-directory 2>/dev/null`
+  for lt_sys_path in $lt_search_path_spec; do
+    if test -d "$lt_sys_path/$lt_multi_os_dir"; then
+      lt_tmp_lt_search_path_spec="$lt_tmp_lt_search_path_spec $lt_sys_path/$lt_multi_os_dir"
+    else
+      test -d "$lt_sys_path" && \
+       lt_tmp_lt_search_path_spec="$lt_tmp_lt_search_path_spec $lt_sys_path"
+    fi
+  done
+  lt_search_path_spec=`$ECHO $lt_tmp_lt_search_path_spec | awk '
+BEGIN {RS=" "; FS="/|\n";} {
+  lt_foo="";
+  lt_count=0;
+  for (lt_i = NF; lt_i > 0; lt_i--) {
+    if ($lt_i != "" && $lt_i != ".") {
+      if ($lt_i == "..") {
+        lt_count++;
+      } else {
+        if (lt_count == 0) {
+          lt_foo="/" $lt_i lt_foo;
+        } else {
+          lt_count--;
+        }
+      }
+    }
+  }
+  if (lt_foo != "") { lt_freq[[lt_foo]]++; }
+  if (lt_freq[[lt_foo]] == 1) { print lt_foo; }
+}'`
+  sys_lib_search_path_spec=`$ECHO $lt_search_path_spec`
+else
+  sys_lib_search_path_spec="/lib /usr/lib /usr/local/lib"
+fi])
+library_names_spec=
+libname_spec='lib$name'
+soname_spec=
+shrext_cmds=".so"
+postinstall_cmds=
+postuninstall_cmds=
+finish_cmds=
+finish_eval=
+shlibpath_var=
+shlibpath_overrides_runpath=unknown
+version_type=none
+dynamic_linker="$host_os ld.so"
+sys_lib_dlsearch_path_spec="/lib /usr/lib"
+need_lib_prefix=unknown
+hardcode_into_libs=no
+
+# when you set need_version to no, make sure it does not cause -set_version
+# flags to be left without arguments
+need_version=unknown
+
+case $host_os in
+aix3*)
+  version_type=linux
+  library_names_spec='${libname}${release}${shared_ext}$versuffix $libname.a'
+  shlibpath_var=LIBPATH
+
+  # AIX 3 has no versioning support, so we append a major version to the name.
+  soname_spec='${libname}${release}${shared_ext}$major'
+  ;;
+
+aix[[4-9]]*)
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  hardcode_into_libs=yes
+  if test "$host_cpu" = ia64; then
+    # AIX 5 supports IA64
+    library_names_spec='${libname}${release}${shared_ext}$major ${libname}${release}${shared_ext}$versuffix $libname${shared_ext}'
+    shlibpath_var=LD_LIBRARY_PATH
+  else
+    # With GCC up to 2.95.x, collect2 would create an import file
+    # for dependence libraries.  The import file would start with
+    # the line `#! .'.  This would cause the generated library to
+    # depend on `.', always an invalid library.  This was fixed in
+    # development snapshots of GCC prior to 3.0.
+    case $host_os in
+      aix4 | aix4.[[01]] | aix4.[[01]].*)
+      if { echo '#if __GNUC__ > 2 || (__GNUC__ == 2 && __GNUC_MINOR__ >= 97)'
+          echo ' yes '
+          echo '#endif'; } | ${CC} -E - | $GREP yes > /dev/null; then
+       :
+      else
+       can_build_shared=no
+      fi
+      ;;
+    esac
+    # AIX (on Power*) has no versioning support, so currently we can not hardcode correct
+    # soname into executable. Probably we can add versioning support to
+    # collect2, so additional links can be useful in future.
+    if test "$aix_use_runtimelinking" = yes; then
+      # If using run time linking (on AIX 4.2 or later) use lib<name>.so
+      # instead of lib<name>.a to let people know that these are not
+      # typical AIX shared libraries.
+      library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+    else
+      # We preserve .a as extension for shared libraries through AIX4.2
+      # and later when we are not doing run time linking.
+      library_names_spec='${libname}${release}.a $libname.a'
+      soname_spec='${libname}${release}${shared_ext}$major'
+    fi
+    shlibpath_var=LIBPATH
+  fi
+  ;;
+
+amigaos*)
+  case $host_cpu in
+  powerpc)
+    # Since July 2007 AmigaOS4 officially supports .so libraries.
+    # When compiling the executable, add -use-dynld -Lsobjs: to the compileline.
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+    ;;
+  m68k)
+    library_names_spec='$libname.ixlibrary $libname.a'
+    # Create ${libname}_ixlibrary.a entries in /sys/libs.
+    finish_eval='for lib in `ls $libdir/*.ixlibrary 2>/dev/null`; do libname=`$ECHO "X$lib" | $Xsed -e '\''s%^.*/\([[^/]]*\)\.ixlibrary$%\1%'\''`; test $RM /sys/libs/${libname}_ixlibrary.a; $show "cd /sys/libs && $LN_S $lib ${libname}_ixlibrary.a"; cd /sys/libs && $LN_S $lib ${libname}_ixlibrary.a || exit 1; done'
+    ;;
+  esac
+  ;;
+
+beos*)
+  library_names_spec='${libname}${shared_ext}'
+  dynamic_linker="$host_os ld.so"
+  shlibpath_var=LIBRARY_PATH
+  ;;
+
+bsdi[[45]]*)
+  version_type=linux
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  finish_cmds='PATH="\$PATH:/sbin" ldconfig $libdir'
+  shlibpath_var=LD_LIBRARY_PATH
+  sys_lib_search_path_spec="/shlib /usr/lib /usr/X11/lib /usr/contrib/lib /lib /usr/local/lib"
+  sys_lib_dlsearch_path_spec="/shlib /usr/lib /usr/local/lib"
+  # the default ld.so.conf also contains /usr/contrib/lib and
+  # /usr/X11R6/lib (/usr/X11 is a link to /usr/X11R6), but let us allow
+  # libtool to hard-code these into programs
+  ;;
+
+cygwin* | mingw* | pw32* | cegcc*)
+  version_type=windows
+  shrext_cmds=".dll"
+  need_version=no
+  need_lib_prefix=no
+
+  case $GCC,$host_os in
+  yes,cygwin* | yes,mingw* | yes,pw32* | yes,cegcc*)
+    library_names_spec='$libname.dll.a'
+    # DLL is installed to $(libdir)/../bin by postinstall_cmds
+    postinstall_cmds='base_file=`basename \${file}`~
+      dlpath=`$SHELL 2>&1 -c '\''. $dir/'\''\${base_file}'\''i; echo \$dlname'\''`~
+      dldir=$destdir/`dirname \$dlpath`~
+      test -d \$dldir || mkdir -p \$dldir~
+      $install_prog $dir/$dlname \$dldir/$dlname~
+      chmod a+x \$dldir/$dlname~
+      if test -n '\''$stripme'\'' && test -n '\''$striplib'\''; then
+        eval '\''$striplib \$dldir/$dlname'\'' || exit \$?;
+      fi'
+    postuninstall_cmds='dldll=`$SHELL 2>&1 -c '\''. $file; echo \$dlname'\''`~
+      dlpath=$dir/\$dldll~
+       $RM \$dlpath'
+    shlibpath_overrides_runpath=yes
+
+    case $host_os in
+    cygwin*)
+      # Cygwin DLLs use 'cyg' prefix rather than 'lib'
+      soname_spec='`echo ${libname} | sed -e 's/^lib/cyg/'``echo ${release} | $SED -e 's/[[.]]/-/g'`${versuffix}${shared_ext}'
+      sys_lib_search_path_spec="/usr/lib /lib/w32api /lib /usr/local/lib"
+      ;;
+    mingw* | cegcc*)
+      # MinGW DLLs use traditional 'lib' prefix
+      soname_spec='${libname}`echo ${release} | $SED -e 's/[[.]]/-/g'`${versuffix}${shared_ext}'
+      sys_lib_search_path_spec=`$CC -print-search-dirs | $GREP "^libraries:" | $SED -e "s/^libraries://" -e "s,=/,/,g"`
+      if $ECHO "$sys_lib_search_path_spec" | [$GREP ';[c-zC-Z]:/' >/dev/null]; then
+        # It is most probably a Windows format PATH printed by
+        # mingw gcc, but we are running on Cygwin. Gcc prints its search
+        # path with ; separators, and with drive letters. We can handle the
+        # drive letters (cygwin fileutils understands them), so leave them,
+        # especially as we might pass files found there to a mingw objdump,
+        # which wouldn't understand a cygwinified path. Ahh.
+        sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | $SED -e 's/;/ /g'`
+      else
+        sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | $SED  -e "s/$PATH_SEPARATOR/ /g"`
+      fi
+      ;;
+    pw32*)
+      # pw32 DLLs use 'pw' prefix rather than 'lib'
+      library_names_spec='`echo ${libname} | sed -e 's/^lib/pw/'``echo ${release} | $SED -e 's/[[.]]/-/g'`${versuffix}${shared_ext}'
+      ;;
+    esac
+    ;;
+
+  *)
+    library_names_spec='${libname}`echo ${release} | $SED -e 's/[[.]]/-/g'`${versuffix}${shared_ext} $libname.lib'
+    ;;
+  esac
+  dynamic_linker='Win32 ld.exe'
+  # FIXME: first we should search . and the directory the executable is in
+  shlibpath_var=PATH
+  ;;
+
+darwin* | rhapsody*)
+  dynamic_linker="$host_os dyld"
+  version_type=darwin
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${major}$shared_ext ${libname}$shared_ext'
+  soname_spec='${libname}${release}${major}$shared_ext'
+  shlibpath_overrides_runpath=yes
+  shlibpath_var=DYLD_LIBRARY_PATH
+  shrext_cmds='`test .$module = .yes && echo .so || echo .dylib`'
+m4_if([$1], [],[
+  sys_lib_search_path_spec="$sys_lib_search_path_spec /usr/local/lib"])
+  sys_lib_dlsearch_path_spec='/usr/local/lib /lib /usr/lib'
+  ;;
+
+dgux*)
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname$shared_ext'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  ;;
+
+freebsd1*)
+  dynamic_linker=no
+  ;;
+
+freebsd* | dragonfly*)
+  # DragonFly does not have aout.  When/if they implement a new
+  # versioning mechanism, adjust this.
+  if test -x /usr/bin/objformat; then
+    objformat=`/usr/bin/objformat`
+  else
+    case $host_os in
+    freebsd[[123]]*) objformat=aout ;;
+    *) objformat=elf ;;
+    esac
+  fi
+  version_type=freebsd-$objformat
+  case $version_type in
+    freebsd-elf*)
+      library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext} $libname${shared_ext}'
+      need_version=no
+      need_lib_prefix=no
+      ;;
+    freebsd-*)
+      library_names_spec='${libname}${release}${shared_ext}$versuffix $libname${shared_ext}$versuffix'
+      need_version=yes
+      ;;
+  esac
+  shlibpath_var=LD_LIBRARY_PATH
+  case $host_os in
+  freebsd2*)
+    shlibpath_overrides_runpath=yes
+    ;;
+  freebsd3.[[01]]* | freebsdelf3.[[01]]*)
+    shlibpath_overrides_runpath=yes
+    hardcode_into_libs=yes
+    ;;
+  freebsd3.[[2-9]]* | freebsdelf3.[[2-9]]* | \
+  freebsd4.[[0-5]] | freebsdelf4.[[0-5]] | freebsd4.1.1 | freebsdelf4.1.1)
+    shlibpath_overrides_runpath=no
+    hardcode_into_libs=yes
+    ;;
+  *) # from 4.6 on, and DragonFly
+    shlibpath_overrides_runpath=yes
+    hardcode_into_libs=yes
+    ;;
+  esac
+  ;;
+
+gnu*)
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}${major} ${libname}${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  hardcode_into_libs=yes
+  ;;
+
+hpux9* | hpux10* | hpux11*)
+  # Give a soname corresponding to the major version so that dld.sl refuses to
+  # link against other versions.
+  version_type=sunos
+  need_lib_prefix=no
+  need_version=no
+  case $host_cpu in
+  ia64*)
+    shrext_cmds='.so'
+    hardcode_into_libs=yes
+    dynamic_linker="$host_os dld.so"
+    shlibpath_var=LD_LIBRARY_PATH
+    shlibpath_overrides_runpath=yes # Unless +noenvvar is specified.
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+    soname_spec='${libname}${release}${shared_ext}$major'
+    if test "X$HPUX_IA64_MODE" = X32; then
+      sys_lib_search_path_spec="/usr/lib/hpux32 /usr/local/lib/hpux32 /usr/local/lib"
+    else
+      sys_lib_search_path_spec="/usr/lib/hpux64 /usr/local/lib/hpux64"
+    fi
+    sys_lib_dlsearch_path_spec=$sys_lib_search_path_spec
+    ;;
+  hppa*64*)
+    shrext_cmds='.sl'
+    hardcode_into_libs=yes
+    dynamic_linker="$host_os dld.sl"
+    shlibpath_var=LD_LIBRARY_PATH # How should we handle SHLIB_PATH
+    shlibpath_overrides_runpath=yes # Unless +noenvvar is specified.
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+    soname_spec='${libname}${release}${shared_ext}$major'
+    sys_lib_search_path_spec="/usr/lib/pa20_64 /usr/ccs/lib/pa20_64"
+    sys_lib_dlsearch_path_spec=$sys_lib_search_path_spec
+    ;;
+  *)
+    shrext_cmds='.sl'
+    dynamic_linker="$host_os dld.sl"
+    shlibpath_var=SHLIB_PATH
+    shlibpath_overrides_runpath=no # +s is required to enable SHLIB_PATH
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+    soname_spec='${libname}${release}${shared_ext}$major'
+    ;;
+  esac
+  # HP-UX runs *really* slowly unless shared libraries are mode 555.
+  postinstall_cmds='chmod 555 $lib'
+  ;;
+
+interix[[3-9]]*)
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major ${libname}${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  dynamic_linker='Interix 3.x ld.so.1 (PE, like ELF)'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=no
+  hardcode_into_libs=yes
+  ;;
+
+irix5* | irix6* | nonstopux*)
+  case $host_os in
+    nonstopux*) version_type=nonstopux ;;
+    *)
+       if test "$lt_cv_prog_gnu_ld" = yes; then
+               version_type=linux
+       else
+               version_type=irix
+       fi ;;
+  esac
+  need_lib_prefix=no
+  need_version=no
+  soname_spec='${libname}${release}${shared_ext}$major'
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major ${libname}${release}${shared_ext} $libname${shared_ext}'
+  case $host_os in
+  irix5* | nonstopux*)
+    libsuff= shlibsuff=
+    ;;
+  *)
+    case $LD in # libtool.m4 will add one of these switches to LD
+    *-32|*"-32 "|*-melf32bsmip|*"-melf32bsmip ")
+      libsuff= shlibsuff= libmagic=32-bit;;
+    *-n32|*"-n32 "|*-melf32bmipn32|*"-melf32bmipn32 ")
+      libsuff=32 shlibsuff=N32 libmagic=N32;;
+    *-64|*"-64 "|*-melf64bmip|*"-melf64bmip ")
+      libsuff=64 shlibsuff=64 libmagic=64-bit;;
+    *) libsuff= shlibsuff= libmagic=never-match;;
+    esac
+    ;;
+  esac
+  shlibpath_var=LD_LIBRARY${shlibsuff}_PATH
+  shlibpath_overrides_runpath=no
+  sys_lib_search_path_spec="/usr/lib${libsuff} /lib${libsuff} /usr/local/lib${libsuff}"
+  sys_lib_dlsearch_path_spec="/usr/lib${libsuff} /lib${libsuff}"
+  hardcode_into_libs=yes
+  ;;
+
+# No shared lib support for Linux oldld, aout, or coff.
+linux*oldld* | linux*aout* | linux*coff*)
+  dynamic_linker=no
+  ;;
+
+# This must be Linux ELF.
+linux* | k*bsd*-gnu)
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  finish_cmds='PATH="\$PATH:/sbin" ldconfig -n $libdir'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=no
+  # Some binutils ld are patched to set DT_RUNPATH
+  save_LDFLAGS=$LDFLAGS
+  save_libdir=$libdir
+  eval "libdir=/foo; wl=\"$_LT_TAGVAR(lt_prog_compiler_wl, $1)\"; \
+       LDFLAGS=\"\$LDFLAGS $_LT_TAGVAR(hardcode_libdir_flag_spec, $1)\""
+  AC_LINK_IFELSE([AC_LANG_PROGRAM([],[])],
+    [AS_IF([ ($OBJDUMP -p conftest$ac_exeext) 2>/dev/null | grep "RUNPATH.*$libdir" >/dev/null],
+       [shlibpath_overrides_runpath=yes])])
+  LDFLAGS=$save_LDFLAGS
+  libdir=$save_libdir
+
+  # This implies no fast_install, which is unacceptable.
+  # Some rework will be needed to allow for fast_install
+  # before this can be enabled.
+  hardcode_into_libs=yes
+
+  # Append ld.so.conf contents to the search path
+  if test -f /etc/ld.so.conf; then
+    lt_ld_extra=`awk '/^include / { system(sprintf("cd /etc; cat %s 2>/dev/null", \[$]2)); skip = 1; } { if (!skip) print \[$]0; skip = 0; }' < /etc/ld.so.conf | $SED -e 's/#.*//;/^[  ]*hwcap[        ]/d;s/[:,      ]/ /g;s/=[^=]*$//;s/=[^= ]* / /g;/^$/d' | tr '\n' ' '`
+    sys_lib_dlsearch_path_spec="/lib /usr/lib $lt_ld_extra"
+  fi
+
+  # We used to test for /lib/ld.so.1 and disable shared libraries on
+  # powerpc, because MkLinux only supported shared libraries with the
+  # GNU dynamic linker.  Since this was broken with cross compilers,
+  # most powerpc-linux boxes support dynamic linking these days and
+  # people can always --disable-shared, the test was removed, and we
+  # assume the GNU/Linux dynamic linker is in use.
+  dynamic_linker='GNU/Linux ld.so'
+  ;;
+
+netbsdelf*-gnu)
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major ${libname}${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=no
+  hardcode_into_libs=yes
+  dynamic_linker='NetBSD ld.elf_so'
+  ;;
+
+netbsd*)
+  version_type=sunos
+  need_lib_prefix=no
+  need_version=no
+  if echo __ELF__ | $CC -E - | $GREP __ELF__ >/dev/null; then
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${shared_ext}$versuffix'
+    finish_cmds='PATH="\$PATH:/sbin" ldconfig -m $libdir'
+    dynamic_linker='NetBSD (a.out) ld.so'
+  else
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major ${libname}${shared_ext}'
+    soname_spec='${libname}${release}${shared_ext}$major'
+    dynamic_linker='NetBSD ld.elf_so'
+  fi
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=yes
+  hardcode_into_libs=yes
+  ;;
+
+newsos6)
+  version_type=linux
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=yes
+  ;;
+
+*nto* | *qnx*)
+  version_type=qnx
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=no
+  hardcode_into_libs=yes
+  dynamic_linker='ldqnx.so'
+  ;;
+
+openbsd*)
+  version_type=sunos
+  sys_lib_dlsearch_path_spec="/usr/lib"
+  need_lib_prefix=no
+  # Some older versions of OpenBSD (3.3 at least) *do* need versioned libs.
+  case $host_os in
+    openbsd3.3 | openbsd3.3.*) need_version=yes ;;
+    *)                         need_version=no  ;;
+  esac
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${shared_ext}$versuffix'
+  finish_cmds='PATH="\$PATH:/sbin" ldconfig -m $libdir'
+  shlibpath_var=LD_LIBRARY_PATH
+  if test -z "`echo __ELF__ | $CC -E - | $GREP __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
+    case $host_os in
+      openbsd2.[[89]] | openbsd2.[[89]].*)
+       shlibpath_overrides_runpath=no
+       ;;
+      *)
+       shlibpath_overrides_runpath=yes
+       ;;
+      esac
+  else
+    shlibpath_overrides_runpath=yes
+  fi
+  ;;
+
+os2*)
+  libname_spec='$name'
+  shrext_cmds=".dll"
+  need_lib_prefix=no
+  library_names_spec='$libname${shared_ext} $libname.a'
+  dynamic_linker='OS/2 ld.exe'
+  shlibpath_var=LIBPATH
+  ;;
+
+osf3* | osf4* | osf5*)
+  version_type=osf
+  need_lib_prefix=no
+  need_version=no
+  soname_spec='${libname}${release}${shared_ext}$major'
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  shlibpath_var=LD_LIBRARY_PATH
+  sys_lib_search_path_spec="/usr/shlib /usr/ccs/lib /usr/lib/cmplrs/cc /usr/lib /usr/local/lib /var/shlib"
+  sys_lib_dlsearch_path_spec="$sys_lib_search_path_spec"
+  ;;
+
+rdos*)
+  dynamic_linker=no
+  ;;
+
+solaris*)
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=yes
+  hardcode_into_libs=yes
+  # ldd complains unless libraries are executable
+  postinstall_cmds='chmod +x $lib'
+  ;;
+
+sunos4*)
+  version_type=sunos
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${shared_ext}$versuffix'
+  finish_cmds='PATH="\$PATH:/usr/etc" ldconfig $libdir'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=yes
+  if test "$with_gnu_ld" = yes; then
+    need_lib_prefix=no
+  fi
+  need_version=yes
+  ;;
+
+sysv4 | sysv4.3*)
+  version_type=linux
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  case $host_vendor in
+    sni)
+      shlibpath_overrides_runpath=no
+      need_lib_prefix=no
+      runpath_var=LD_RUN_PATH
+      ;;
+    siemens)
+      need_lib_prefix=no
+      ;;
+    motorola)
+      need_lib_prefix=no
+      need_version=no
+      shlibpath_overrides_runpath=no
+      sys_lib_search_path_spec='/lib /usr/lib /usr/ccs/lib'
+      ;;
+  esac
+  ;;
+
+sysv4*MP*)
+  if test -d /usr/nec ;then
+    version_type=linux
+    library_names_spec='$libname${shared_ext}.$versuffix $libname${shared_ext}.$major $libname${shared_ext}'
+    soname_spec='$libname${shared_ext}.$major'
+    shlibpath_var=LD_LIBRARY_PATH
+  fi
+  ;;
+
+sysv5* | sco3.2v5* | sco5v6* | unixware* | OpenUNIX* | sysv4*uw2*)
+  version_type=freebsd-elf
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext} $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=yes
+  hardcode_into_libs=yes
+  if test "$with_gnu_ld" = yes; then
+    sys_lib_search_path_spec='/usr/local/lib /usr/gnu/lib /usr/ccs/lib /usr/lib /lib'
+  else
+    sys_lib_search_path_spec='/usr/ccs/lib /usr/lib'
+    case $host_os in
+      sco3.2v5*)
+        sys_lib_search_path_spec="$sys_lib_search_path_spec /lib"
+       ;;
+    esac
+  fi
+  sys_lib_dlsearch_path_spec='/usr/lib'
+  ;;
+
+tpf*)
+  # TPF is a cross-target only.  Preferred cross-host = GNU/Linux.
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=no
+  hardcode_into_libs=yes
+  ;;
+
+uts4*)
+  version_type=linux
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  ;;
+
+*)
+  dynamic_linker=no
+  ;;
+esac
+AC_MSG_RESULT([$dynamic_linker])
+test "$dynamic_linker" = no && can_build_shared=no
+
+variables_saved_for_relink="PATH $shlibpath_var $runpath_var"
+if test "$GCC" = yes; then
+  variables_saved_for_relink="$variables_saved_for_relink GCC_EXEC_PREFIX COMPILER_PATH LIBRARY_PATH"
+fi
+
+if test "${lt_cv_sys_lib_search_path_spec+set}" = set; then
+  sys_lib_search_path_spec="$lt_cv_sys_lib_search_path_spec"
+fi
+if test "${lt_cv_sys_lib_dlsearch_path_spec+set}" = set; then
+  sys_lib_dlsearch_path_spec="$lt_cv_sys_lib_dlsearch_path_spec"
+fi
+
+_LT_DECL([], [variables_saved_for_relink], [1],
+    [Variables whose values should be saved in libtool wrapper scripts and
+    restored at link time])
+_LT_DECL([], [need_lib_prefix], [0],
+    [Do we need the "lib" prefix for modules?])
+_LT_DECL([], [need_version], [0], [Do we need a version for libraries?])
+_LT_DECL([], [version_type], [0], [Library versioning type])
+_LT_DECL([], [runpath_var], [0],  [Shared library runtime path variable])
+_LT_DECL([], [shlibpath_var], [0],[Shared library path variable])
+_LT_DECL([], [shlibpath_overrides_runpath], [0],
+    [Is shlibpath searched before the hard-coded library search path?])
+_LT_DECL([], [libname_spec], [1], [Format of library name prefix])
+_LT_DECL([], [library_names_spec], [1],
+    [[List of archive names.  First name is the real one, the rest are links.
+    The last name is the one that the linker finds with -lNAME]])
+_LT_DECL([], [soname_spec], [1],
+    [[The coded name of the library, if different from the real name]])
+_LT_DECL([], [postinstall_cmds], [2],
+    [Command to use after installation of a shared archive])
+_LT_DECL([], [postuninstall_cmds], [2],
+    [Command to use after uninstallation of a shared archive])
+_LT_DECL([], [finish_cmds], [2],
+    [Commands used to finish a libtool library installation in a directory])
+_LT_DECL([], [finish_eval], [1],
+    [[As "finish_cmds", except a single script fragment to be evaled but
+    not shown]])
+_LT_DECL([], [hardcode_into_libs], [0],
+    [Whether we should hardcode library paths into libraries])
+_LT_DECL([], [sys_lib_search_path_spec], [2],
+    [Compile-time system search path for libraries])
+_LT_DECL([], [sys_lib_dlsearch_path_spec], [2],
+    [Run-time system search path for libraries])
+])# _LT_SYS_DYNAMIC_LINKER
+
+
+# _LT_PATH_TOOL_PREFIX(TOOL)
+# --------------------------
+# find a file program which can recognize shared library
+AC_DEFUN([_LT_PATH_TOOL_PREFIX],
+[m4_require([_LT_DECL_EGREP])dnl
+AC_MSG_CHECKING([for $1])
+AC_CACHE_VAL(lt_cv_path_MAGIC_CMD,
+[case $MAGIC_CMD in
+[[\\/*] |  ?:[\\/]*])
+  lt_cv_path_MAGIC_CMD="$MAGIC_CMD" # Let the user override the test with a path.
+  ;;
+*)
+  lt_save_MAGIC_CMD="$MAGIC_CMD"
+  lt_save_ifs="$IFS"; IFS=$PATH_SEPARATOR
+dnl $ac_dummy forces splitting on constant user-supplied paths.
+dnl POSIX.2 word splitting is done only on the output of word expansions,
+dnl not every word.  This closes a longstanding sh security hole.
+  ac_dummy="m4_if([$2], , $PATH, [$2])"
+  for ac_dir in $ac_dummy; do
+    IFS="$lt_save_ifs"
+    test -z "$ac_dir" && ac_dir=.
+    if test -f $ac_dir/$1; then
+      lt_cv_path_MAGIC_CMD="$ac_dir/$1"
+      if test -n "$file_magic_test_file"; then
+       case $deplibs_check_method in
+       "file_magic "*)
+         file_magic_regex=`expr "$deplibs_check_method" : "file_magic \(.*\)"`
+         MAGIC_CMD="$lt_cv_path_MAGIC_CMD"
+         if eval $file_magic_cmd \$file_magic_test_file 2> /dev/null |
+           $EGREP "$file_magic_regex" > /dev/null; then
+           :
+         else
+           cat <<_LT_EOF 1>&2
+
+*** Warning: the command libtool uses to detect shared libraries,
+*** $file_magic_cmd, produces output that libtool cannot recognize.
+*** The result is that libtool may fail to recognize shared libraries
+*** as such.  This will affect the creation of libtool libraries that
+*** depend on shared libraries, but programs linked with such libtool
+*** libraries will work regardless of this problem.  Nevertheless, you
+*** may want to report the problem to your system manager and/or to
+*** bug-libtool@gnu.org
+
+_LT_EOF
+         fi ;;
+       esac
+      fi
+      break
+    fi
+  done
+  IFS="$lt_save_ifs"
+  MAGIC_CMD="$lt_save_MAGIC_CMD"
+  ;;
+esac])
+MAGIC_CMD="$lt_cv_path_MAGIC_CMD"
+if test -n "$MAGIC_CMD"; then
+  AC_MSG_RESULT($MAGIC_CMD)
+else
+  AC_MSG_RESULT(no)
+fi
+_LT_DECL([], [MAGIC_CMD], [0],
+        [Used to examine libraries when file_magic_cmd begins with "file"])dnl
+])# _LT_PATH_TOOL_PREFIX
+
+# Old name:
+AU_ALIAS([AC_PATH_TOOL_PREFIX], [_LT_PATH_TOOL_PREFIX])
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([AC_PATH_TOOL_PREFIX], [])
+
+
+# _LT_PATH_MAGIC
+# --------------
+# find a file program which can recognize a shared library
+m4_defun([_LT_PATH_MAGIC],
+[_LT_PATH_TOOL_PREFIX(${ac_tool_prefix}file, /usr/bin$PATH_SEPARATOR$PATH)
+if test -z "$lt_cv_path_MAGIC_CMD"; then
+  if test -n "$ac_tool_prefix"; then
+    _LT_PATH_TOOL_PREFIX(file, /usr/bin$PATH_SEPARATOR$PATH)
+  else
+    MAGIC_CMD=:
+  fi
+fi
+])# _LT_PATH_MAGIC
+
+
+# LT_PATH_LD
+# ----------
+# find the pathname to the GNU or non-GNU linker
+AC_DEFUN([LT_PATH_LD],
+[AC_REQUIRE([AC_PROG_CC])dnl
+AC_REQUIRE([AC_CANONICAL_HOST])dnl
+AC_REQUIRE([AC_CANONICAL_BUILD])dnl
+m4_require([_LT_DECL_SED])dnl
+m4_require([_LT_DECL_EGREP])dnl
+
+AC_ARG_WITH([gnu-ld],
+    [AS_HELP_STRING([--with-gnu-ld],
+       [assume the C compiler uses GNU ld @<:@default=no@:>@])],
+    [test "$withval" = no || with_gnu_ld=yes],
+    [with_gnu_ld=no])dnl
+
+ac_prog=ld
+if test "$GCC" = yes; then
+  # Check if gcc -print-prog-name=ld gives a path.
+  AC_MSG_CHECKING([for ld used by $CC])
+  case $host in
+  *-*-mingw*)
+    # gcc leaves a trailing carriage return which upsets mingw
+    ac_prog=`($CC -print-prog-name=ld) 2>&5 | tr -d '\015'` ;;
+  *)
+    ac_prog=`($CC -print-prog-name=ld) 2>&5` ;;
+  esac
+  case $ac_prog in
+    # Accept absolute paths.
+    [[\\/]]* | ?:[[\\/]]*)
+      re_direlt='/[[^/]][[^/]]*/\.\./'
+      # Canonicalize the pathname of ld
+      ac_prog=`$ECHO "$ac_prog"| $SED 's%\\\\%/%g'`
+      while $ECHO "$ac_prog" | $GREP "$re_direlt" > /dev/null 2>&1; do
+       ac_prog=`$ECHO $ac_prog| $SED "s%$re_direlt%/%"`
+      done
+      test -z "$LD" && LD="$ac_prog"
+      ;;
+  "")
+    # If it fails, then pretend we aren't using GCC.
+    ac_prog=ld
+    ;;
+  *)
+    # If it is relative, then search for the first ld in PATH.
+    with_gnu_ld=unknown
+    ;;
+  esac
+elif test "$with_gnu_ld" = yes; then
+  AC_MSG_CHECKING([for GNU ld])
+else
+  AC_MSG_CHECKING([for non-GNU ld])
+fi
+AC_CACHE_VAL(lt_cv_path_LD,
+[if test -z "$LD"; then
+  lt_save_ifs="$IFS"; IFS=$PATH_SEPARATOR
+  for ac_dir in $PATH; do
+    IFS="$lt_save_ifs"
+    test -z "$ac_dir" && ac_dir=.
+    if test -f "$ac_dir/$ac_prog" || test -f "$ac_dir/$ac_prog$ac_exeext"; then
+      lt_cv_path_LD="$ac_dir/$ac_prog"
+      # Check to see if the program is GNU ld.  I'd rather use --version,
+      # but apparently some variants of GNU ld only accept -v.
+      # Break only if it was the GNU/non-GNU ld that we prefer.
+      case `"$lt_cv_path_LD" -v 2>&1 </dev/null` in
+      *GNU* | *'with BFD'*)
+       test "$with_gnu_ld" != no && break
+       ;;
+      *)
+       test "$with_gnu_ld" != yes && break
+       ;;
+      esac
+    fi
+  done
+  IFS="$lt_save_ifs"
+else
+  lt_cv_path_LD="$LD" # Let the user override the test with a path.
+fi])
+LD="$lt_cv_path_LD"
+if test -n "$LD"; then
+  AC_MSG_RESULT($LD)
+else
+  AC_MSG_RESULT(no)
+fi
+test -z "$LD" && AC_MSG_ERROR([no acceptable ld found in \$PATH])
+_LT_PATH_LD_GNU
+AC_SUBST([LD])
+
+_LT_TAGDECL([], [LD], [1], [The linker used to build libraries])
+])# LT_PATH_LD
+
+# Old names:
+AU_ALIAS([AM_PROG_LD], [LT_PATH_LD])
+AU_ALIAS([AC_PROG_LD], [LT_PATH_LD])
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([AM_PROG_LD], [])
+dnl AC_DEFUN([AC_PROG_LD], [])
+
+
+# _LT_PATH_LD_GNU
+#- --------------
+m4_defun([_LT_PATH_LD_GNU],
+[AC_CACHE_CHECK([if the linker ($LD) is GNU ld], lt_cv_prog_gnu_ld,
+[# I'd rather use --version here, but apparently some GNU lds only accept -v.
+case `$LD -v 2>&1 </dev/null` in
+*GNU* | *'with BFD'*)
+  lt_cv_prog_gnu_ld=yes
+  ;;
+*)
+  lt_cv_prog_gnu_ld=no
+  ;;
+esac])
+with_gnu_ld=$lt_cv_prog_gnu_ld
+])# _LT_PATH_LD_GNU
+
+
+# _LT_CMD_RELOAD
+# --------------
+# find reload flag for linker
+#   -- PORTME Some linkers may need a different reload flag.
+m4_defun([_LT_CMD_RELOAD],
+[AC_CACHE_CHECK([for $LD option to reload object files],
+  lt_cv_ld_reload_flag,
+  [lt_cv_ld_reload_flag='-r'])
+reload_flag=$lt_cv_ld_reload_flag
+case $reload_flag in
+"" | " "*) ;;
+*) reload_flag=" $reload_flag" ;;
+esac
+reload_cmds='$LD$reload_flag -o $output$reload_objs'
+case $host_os in
+  darwin*)
+    if test "$GCC" = yes; then
+      reload_cmds='$LTCC $LTCFLAGS -nostdlib ${wl}-r -o $output$reload_objs'
+    else
+      reload_cmds='$LD$reload_flag -o $output$reload_objs'
+    fi
+    ;;
+esac
+_LT_DECL([], [reload_flag], [1], [How to create reloadable object files])dnl
+_LT_DECL([], [reload_cmds], [2])dnl
+])# _LT_CMD_RELOAD
+
+
+# _LT_CHECK_MAGIC_METHOD
+# ----------------------
+# how to check for library dependencies
+#  -- PORTME fill in with the dynamic library characteristics
+m4_defun([_LT_CHECK_MAGIC_METHOD],
+[m4_require([_LT_DECL_EGREP])
+m4_require([_LT_DECL_OBJDUMP])
+AC_CACHE_CHECK([how to recognize dependent libraries],
+lt_cv_deplibs_check_method,
+[lt_cv_file_magic_cmd='$MAGIC_CMD'
+lt_cv_file_magic_test_file=
+lt_cv_deplibs_check_method='unknown'
+# Need to set the preceding variable on all platforms that support
+# interlibrary dependencies.
+# 'none' -- dependencies not supported.
+# `unknown' -- same as none, but documents that we really don't know.
+# 'pass_all' -- all dependencies passed with no checks.
+# 'test_compile' -- check by making test program.
+# 'file_magic [[regex]]' -- check by looking for files in library path
+# which responds to the $file_magic_cmd with a given extended regex.
+# If you have `file' or equivalent on your system and you're not sure
+# whether `pass_all' will *always* work, you probably want this one.
+
+case $host_os in
+aix[[4-9]]*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+beos*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+bsdi[[45]]*)
+  lt_cv_deplibs_check_method='file_magic ELF [[0-9]][[0-9]]*-bit [[ML]]SB (shared object|dynamic lib)'
+  lt_cv_file_magic_cmd='/usr/bin/file -L'
+  lt_cv_file_magic_test_file=/shlib/libc.so
+  ;;
+
+cygwin*)
+  # func_win32_libid is a shell function defined in ltmain.sh
+  lt_cv_deplibs_check_method='file_magic ^x86 archive import|^x86 DLL'
+  lt_cv_file_magic_cmd='func_win32_libid'
+  ;;
+
+mingw* | pw32*)
+  # Base MSYS/MinGW do not provide the 'file' command needed by
+  # func_win32_libid shell function, so use a weaker test based on 'objdump',
+  # unless we find 'file', for example because we are cross-compiling.
+  if ( file / ) >/dev/null 2>&1; then
+    lt_cv_deplibs_check_method='file_magic ^x86 archive import|^x86 DLL'
+    lt_cv_file_magic_cmd='func_win32_libid'
+  else
+    lt_cv_deplibs_check_method='file_magic file format pei*-i386(.*architecture: i386)?'
+    lt_cv_file_magic_cmd='$OBJDUMP -f'
+  fi
+  ;;
+
+cegcc)
+  # use the weaker test based on 'objdump'. See mingw*.
+  lt_cv_deplibs_check_method='file_magic file format pe-arm-.*little(.*architecture: arm)?'
+  lt_cv_file_magic_cmd='$OBJDUMP -f'
+  ;;
+
+darwin* | rhapsody*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+freebsd* | dragonfly*)
+  if echo __ELF__ | $CC -E - | $GREP __ELF__ > /dev/null; then
+    case $host_cpu in
+    i*86 )
+      # Not sure whether the presence of OpenBSD here was a mistake.
+      # Let's accept both of them until this is cleared up.
+      lt_cv_deplibs_check_method='file_magic (FreeBSD|OpenBSD|DragonFly)/i[[3-9]]86 (compact )?demand paged shared library'
+      lt_cv_file_magic_cmd=/usr/bin/file
+      lt_cv_file_magic_test_file=`echo /usr/lib/libc.so.*`
+      ;;
+    esac
+  else
+    lt_cv_deplibs_check_method=pass_all
+  fi
+  ;;
+
+gnu*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+hpux10.20* | hpux11*)
+  lt_cv_file_magic_cmd=/usr/bin/file
+  case $host_cpu in
+  ia64*)
+    lt_cv_deplibs_check_method='file_magic (s[[0-9]][[0-9]][[0-9]]|ELF-[[0-9]][[0-9]]) shared object file - IA64'
+    lt_cv_file_magic_test_file=/usr/lib/hpux32/libc.so
+    ;;
+  hppa*64*)
+    [lt_cv_deplibs_check_method='file_magic (s[0-9][0-9][0-9]|ELF-[0-9][0-9]) shared object file - PA-RISC [0-9].[0-9]']
+    lt_cv_file_magic_test_file=/usr/lib/pa20_64/libc.sl
+    ;;
+  *)
+    lt_cv_deplibs_check_method='file_magic (s[[0-9]][[0-9]][[0-9]]|PA-RISC[[0-9]].[[0-9]]) shared library'
+    lt_cv_file_magic_test_file=/usr/lib/libc.sl
+    ;;
+  esac
+  ;;
+
+interix[[3-9]]*)
+  # PIC code is broken on Interix 3.x, that's why |\.a not |_pic\.a here
+  lt_cv_deplibs_check_method='match_pattern /lib[[^/]]+(\.so|\.a)$'
+  ;;
+
+irix5* | irix6* | nonstopux*)
+  case $LD in
+  *-32|*"-32 ") libmagic=32-bit;;
+  *-n32|*"-n32 ") libmagic=N32;;
+  *-64|*"-64 ") libmagic=64-bit;;
+  *) libmagic=never-match;;
+  esac
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+# This must be Linux ELF.
+linux* | k*bsd*-gnu)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+netbsd* | netbsdelf*-gnu)
+  if echo __ELF__ | $CC -E - | $GREP __ELF__ > /dev/null; then
+    lt_cv_deplibs_check_method='match_pattern /lib[[^/]]+(\.so\.[[0-9]]+\.[[0-9]]+|_pic\.a)$'
+  else
+    lt_cv_deplibs_check_method='match_pattern /lib[[^/]]+(\.so|_pic\.a)$'
+  fi
+  ;;
+
+newos6*)
+  lt_cv_deplibs_check_method='file_magic ELF [[0-9]][[0-9]]*-bit [[ML]]SB (executable|dynamic lib)'
+  lt_cv_file_magic_cmd=/usr/bin/file
+  lt_cv_file_magic_test_file=/usr/lib/libnls.so
+  ;;
+
+*nto* | *qnx*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+openbsd*)
+  if test -z "`echo __ELF__ | $CC -E - | $GREP __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
+    lt_cv_deplibs_check_method='match_pattern /lib[[^/]]+(\.so\.[[0-9]]+\.[[0-9]]+|\.so|_pic\.a)$'
+  else
+    lt_cv_deplibs_check_method='match_pattern /lib[[^/]]+(\.so\.[[0-9]]+\.[[0-9]]+|_pic\.a)$'
+  fi
+  ;;
+
+osf3* | osf4* | osf5*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+rdos*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+solaris*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+sysv5* | sco3.2v5* | sco5v6* | unixware* | OpenUNIX* | sysv4*uw2*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+sysv4 | sysv4.3*)
+  case $host_vendor in
+  motorola)
+    lt_cv_deplibs_check_method='file_magic ELF [[0-9]][[0-9]]*-bit [[ML]]SB (shared object|dynamic lib) M[[0-9]][[0-9]]* Version [[0-9]]'
+    lt_cv_file_magic_test_file=`echo /usr/lib/libc.so*`
+    ;;
+  ncr)
+    lt_cv_deplibs_check_method=pass_all
+    ;;
+  sequent)
+    lt_cv_file_magic_cmd='/bin/file'
+    lt_cv_deplibs_check_method='file_magic ELF [[0-9]][[0-9]]*-bit [[LM]]SB (shared object|dynamic lib )'
+    ;;
+  sni)
+    lt_cv_file_magic_cmd='/bin/file'
+    lt_cv_deplibs_check_method="file_magic ELF [[0-9]][[0-9]]*-bit [[LM]]SB dynamic lib"
+    lt_cv_file_magic_test_file=/lib/libc.so
+    ;;
+  siemens)
+    lt_cv_deplibs_check_method=pass_all
+    ;;
+  pc)
+    lt_cv_deplibs_check_method=pass_all
+    ;;
+  esac
+  ;;
+
+tpf*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+esac
+])
+file_magic_cmd=$lt_cv_file_magic_cmd
+deplibs_check_method=$lt_cv_deplibs_check_method
+test -z "$deplibs_check_method" && deplibs_check_method=unknown
+
+_LT_DECL([], [deplibs_check_method], [1],
+    [Method to check whether dependent libraries are shared objects])
+_LT_DECL([], [file_magic_cmd], [1],
+    [Command to use when deplibs_check_method == "file_magic"])
+])# _LT_CHECK_MAGIC_METHOD
+
+
+# LT_PATH_NM
+# ----------
+# find the pathname to a BSD- or MS-compatible name lister
+AC_DEFUN([LT_PATH_NM],
+[AC_REQUIRE([AC_PROG_CC])dnl
+AC_CACHE_CHECK([for BSD- or MS-compatible name lister (nm)], lt_cv_path_NM,
+[if test -n "$NM"; then
+  # Let the user override the test.
+  lt_cv_path_NM="$NM"
+else
+  lt_nm_to_check="${ac_tool_prefix}nm"
+  if test -n "$ac_tool_prefix" && test "$build" = "$host"; then
+    lt_nm_to_check="$lt_nm_to_check nm"
+  fi
+  for lt_tmp_nm in $lt_nm_to_check; do
+    lt_save_ifs="$IFS"; IFS=$PATH_SEPARATOR
+    for ac_dir in $PATH /usr/ccs/bin/elf /usr/ccs/bin /usr/ucb /bin; do
+      IFS="$lt_save_ifs"
+      test -z "$ac_dir" && ac_dir=.
+      tmp_nm="$ac_dir/$lt_tmp_nm"
+      if test -f "$tmp_nm" || test -f "$tmp_nm$ac_exeext" ; then
+       # Check to see if the nm accepts a BSD-compat flag.
+       # Adding the `sed 1q' prevents false positives on HP-UX, which says:
+       #   nm: unknown option "B" ignored
+       # Tru64's nm complains that /dev/null is an invalid object file
+       case `"$tmp_nm" -B /dev/null 2>&1 | sed '1q'` in
+       */dev/null* | *'Invalid file or object type'*)
+         lt_cv_path_NM="$tmp_nm -B"
+         break
+         ;;
+       *)
+         case `"$tmp_nm" -p /dev/null 2>&1 | sed '1q'` in
+         */dev/null*)
+           lt_cv_path_NM="$tmp_nm -p"
+           break
+           ;;
+         *)
+           lt_cv_path_NM=${lt_cv_path_NM="$tmp_nm"} # keep the first match, but
+           continue # so that we can try to find one that supports BSD flags
+           ;;
+         esac
+         ;;
+       esac
+      fi
+    done
+    IFS="$lt_save_ifs"
+  done
+  : ${lt_cv_path_NM=no}
+fi])
+if test "$lt_cv_path_NM" != "no"; then
+  NM="$lt_cv_path_NM"
+else
+  # Didn't find any BSD compatible name lister, look for dumpbin.
+  AC_CHECK_TOOLS(DUMPBIN, ["dumpbin -symbols" "link -dump -symbols"], :)
+  AC_SUBST([DUMPBIN])
+  if test "$DUMPBIN" != ":"; then
+    NM="$DUMPBIN"
+  fi
+fi
+test -z "$NM" && NM=nm
+AC_SUBST([NM])
+_LT_DECL([], [NM], [1], [A BSD- or MS-compatible name lister])dnl
+
+AC_CACHE_CHECK([the name lister ($NM) interface], [lt_cv_nm_interface],
+  [lt_cv_nm_interface="BSD nm"
+  echo "int some_variable = 0;" > conftest.$ac_ext
+  (eval echo "\"\$as_me:__oline__: $ac_compile\"" >&AS_MESSAGE_LOG_FD)
+  (eval "$ac_compile" 2>conftest.err)
+  cat conftest.err >&AS_MESSAGE_LOG_FD
+  (eval echo "\"\$as_me:__oline__: $NM \\\"conftest.$ac_objext\\\"\"" >&AS_MESSAGE_LOG_FD)
+  (eval "$NM \"conftest.$ac_objext\"" 2>conftest.err > conftest.out)
+  cat conftest.err >&AS_MESSAGE_LOG_FD
+  (eval echo "\"\$as_me:__oline__: output\"" >&AS_MESSAGE_LOG_FD)
+  cat conftest.out >&AS_MESSAGE_LOG_FD
+  if $GREP 'External.*some_variable' conftest.out > /dev/null; then
+    lt_cv_nm_interface="MS dumpbin"
+  fi
+  rm -f conftest*])
+])# LT_PATH_NM
+
+# Old names:
+AU_ALIAS([AM_PROG_NM], [LT_PATH_NM])
+AU_ALIAS([AC_PROG_NM], [LT_PATH_NM])
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([AM_PROG_NM], [])
+dnl AC_DEFUN([AC_PROG_NM], [])
+
+
+# LT_LIB_M
+# --------
+# check for math library
+AC_DEFUN([LT_LIB_M],
+[AC_REQUIRE([AC_CANONICAL_HOST])dnl
+LIBM=
+case $host in
+*-*-beos* | *-*-cygwin* | *-*-pw32* | *-*-darwin*)
+  # These system don't have libm, or don't need it
+  ;;
+*-ncr-sysv4.3*)
+  AC_CHECK_LIB(mw, _mwvalidcheckl, LIBM="-lmw")
+  AC_CHECK_LIB(m, cos, LIBM="$LIBM -lm")
+  ;;
+*)
+  AC_CHECK_LIB(m, cos, LIBM="-lm")
+  ;;
+esac
+AC_SUBST([LIBM])
+])# LT_LIB_M
+
+# Old name:
+AU_ALIAS([AC_CHECK_LIBM], [LT_LIB_M])
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([AC_CHECK_LIBM], [])
+
+
+# _LT_COMPILER_NO_RTTI([TAGNAME])
+# -------------------------------
+m4_defun([_LT_COMPILER_NO_RTTI],
+[m4_require([_LT_TAG_COMPILER])dnl
+
+_LT_TAGVAR(lt_prog_compiler_no_builtin_flag, $1)=
+
+if test "$GCC" = yes; then
+  _LT_TAGVAR(lt_prog_compiler_no_builtin_flag, $1)=' -fno-builtin'
+
+  _LT_COMPILER_OPTION([if $compiler supports -fno-rtti -fno-exceptions],
+    lt_cv_prog_compiler_rtti_exceptions,
+    [-fno-rtti -fno-exceptions], [],
+    [_LT_TAGVAR(lt_prog_compiler_no_builtin_flag, $1)="$_LT_TAGVAR(lt_prog_compiler_no_builtin_flag, $1) -fno-rtti -fno-exceptions"])
+fi
+_LT_TAGDECL([no_builtin_flag], [lt_prog_compiler_no_builtin_flag], [1],
+       [Compiler flag to turn off builtin functions])
+])# _LT_COMPILER_NO_RTTI
+
+
+# _LT_CMD_GLOBAL_SYMBOLS
+# ----------------------
+m4_defun([_LT_CMD_GLOBAL_SYMBOLS],
+[AC_REQUIRE([AC_CANONICAL_HOST])dnl
+AC_REQUIRE([AC_PROG_CC])dnl
+AC_REQUIRE([LT_PATH_NM])dnl
+AC_REQUIRE([LT_PATH_LD])dnl
+m4_require([_LT_DECL_SED])dnl
+m4_require([_LT_DECL_EGREP])dnl
+m4_require([_LT_TAG_COMPILER])dnl
+
+# Check for command to grab the raw symbol name followed by C symbol from nm.
+AC_MSG_CHECKING([command to parse $NM output from $compiler object])
+AC_CACHE_VAL([lt_cv_sys_global_symbol_pipe],
+[
+# These are sane defaults that work on at least a few old systems.
+# [They come from Ultrix.  What could be older than Ultrix?!! ;)]
+
+# Character class describing NM global symbol codes.
+symcode='[[BCDEGRST]]'
+
+# Regexp to match symbols that can be accessed directly from C.
+sympat='\([[_A-Za-z]][[_A-Za-z0-9]]*\)'
+
+# Define system-specific variables.
+case $host_os in
+aix*)
+  symcode='[[BCDT]]'
+  ;;
+cygwin* | mingw* | pw32* | cegcc*)
+  symcode='[[ABCDGISTW]]'
+  ;;
+hpux*)
+  if test "$host_cpu" = ia64; then
+    symcode='[[ABCDEGRST]]'
+  fi
+  ;;
+irix* | nonstopux*)
+  symcode='[[BCDEGRST]]'
+  ;;
+osf*)
+  symcode='[[BCDEGQRST]]'
+  ;;
+solaris*)
+  symcode='[[BDRT]]'
+  ;;
+sco3.2v5*)
+  symcode='[[DT]]'
+  ;;
+sysv4.2uw2*)
+  symcode='[[DT]]'
+  ;;
+sysv5* | sco5v6* | unixware* | OpenUNIX*)
+  symcode='[[ABDT]]'
+  ;;
+sysv4)
+  symcode='[[DFNSTU]]'
+  ;;
+esac
+
+# If we're using GNU nm, then use its standard symbol codes.
+case `$NM -V 2>&1` in
+*GNU* | *'with BFD'*)
+  symcode='[[ABCDGIRSTW]]' ;;
+esac
+
+# Transform an extracted symbol line into a proper C declaration.
+# Some systems (esp. on ia64) link data and code symbols differently,
+# so use this general approach.
+lt_cv_sys_global_symbol_to_cdecl="sed -n -e 's/^T .* \(.*\)$/extern int \1();/p' -e 's/^$symcode* .* \(.*\)$/extern char \1;/p'"
+
+# Transform an extracted symbol line into symbol name and symbol address
+lt_cv_sys_global_symbol_to_c_name_address="sed -n -e 's/^: \([[^ ]]*\) $/  {\\\"\1\\\", (void *) 0},/p' -e 's/^$symcode* \([[^ ]]*\) \([[^ ]]*\)$/  {\"\2\", (void *) \&\2},/p'"
+lt_cv_sys_global_symbol_to_c_name_address_lib_prefix="sed -n -e 's/^: \([[^ ]]*\) $/  {\\\"\1\\\", (void *) 0},/p' -e 's/^$symcode* \([[^ ]]*\) \(lib[[^ ]]*\)$/  {\"\2\", (void *) \&\2},/p' -e 's/^$symcode* \([[^ ]]*\) \([[^ ]]*\)$/  {\"lib\2\", (void *) \&\2},/p'"
+
+# Handle CRLF in mingw tool chain
+opt_cr=
+case $build_os in
+mingw*)
+  opt_cr=`$ECHO 'x\{0,1\}' | tr x '\015'` # option cr in regexp
+  ;;
+esac
+
+# Try without a prefix underscore, then with it.
+for ac_symprfx in "" "_"; do
+
+  # Transform symcode, sympat, and symprfx into a raw symbol and a C symbol.
+  symxfrm="\\1 $ac_symprfx\\2 \\2"
+
+  # Write the raw and C identifiers.
+  if test "$lt_cv_nm_interface" = "MS dumpbin"; then
+    # Fake it for dumpbin and say T for any non-static function
+    # and D for any global variable.
+    # Also find C++ and __fastcall symbols from MSVC++,
+    # which start with @ or ?.
+    lt_cv_sys_global_symbol_pipe="$AWK ['"\
+"     {last_section=section; section=\$ 3};"\
+"     /Section length .*#relocs.*(pick any)/{hide[last_section]=1};"\
+"     \$ 0!~/External *\|/{next};"\
+"     / 0+ UNDEF /{next}; / UNDEF \([^|]\)*()/{next};"\
+"     {if(hide[section]) next};"\
+"     {f=0}; \$ 0~/\(\).*\|/{f=1}; {printf f ? \"T \" : \"D \"};"\
+"     {split(\$ 0, a, /\||\r/); split(a[2], s)};"\
+"     s[1]~/^[@?]/{print s[1], s[1]; next};"\
+"     s[1]~prfx {split(s[1],t,\"@\"); print t[1], substr(t[1],length(prfx))}"\
+"     ' prfx=^$ac_symprfx]"
+  else
+    lt_cv_sys_global_symbol_pipe="sed -n -e 's/^.*[[    ]]\($symcode$symcode*\)[[       ]][[    ]]*$ac_symprfx$sympat$opt_cr$/$symxfrm/p'"
+  fi
+
+  # Check to see that the pipe works correctly.
+  pipe_works=no
+
+  rm -f conftest*
+  cat > conftest.$ac_ext <<_LT_EOF
+#ifdef __cplusplus
+extern "C" {
+#endif
+char nm_test_var;
+void nm_test_func(void);
+void nm_test_func(void){}
+#ifdef __cplusplus
+}
+#endif
+int main(){nm_test_var='a';nm_test_func();return(0);}
+_LT_EOF
+
+  if AC_TRY_EVAL(ac_compile); then
+    # Now try to grab the symbols.
+    nlist=conftest.nm
+    if AC_TRY_EVAL(NM conftest.$ac_objext \| $lt_cv_sys_global_symbol_pipe \> $nlist) && test -s "$nlist"; then
+      # Try sorting and uniquifying the output.
+      if sort "$nlist" | uniq > "$nlist"T; then
+       mv -f "$nlist"T "$nlist"
+      else
+       rm -f "$nlist"T
+      fi
+
+      # Make sure that we snagged all the symbols we need.
+      if $GREP ' nm_test_var$' "$nlist" >/dev/null; then
+       if $GREP ' nm_test_func$' "$nlist" >/dev/null; then
+         cat <<_LT_EOF > conftest.$ac_ext
+#ifdef __cplusplus
+extern "C" {
+#endif
+
+_LT_EOF
+         # Now generate the symbol file.
+         eval "$lt_cv_sys_global_symbol_to_cdecl"' < "$nlist" | $GREP -v main >> conftest.$ac_ext'
+
+         cat <<_LT_EOF >> conftest.$ac_ext
+
+/* The mapping between symbol names and symbols.  */
+const struct {
+  const char *name;
+  void       *address;
+}
+lt__PROGRAM__LTX_preloaded_symbols[[]] =
+{
+  { "@PROGRAM@", (void *) 0 },
+_LT_EOF
+         $SED "s/^$symcode$symcode* \(.*\) \(.*\)$/  {\"\2\", (void *) \&\2},/" < "$nlist" | $GREP -v main >> conftest.$ac_ext
+         cat <<\_LT_EOF >> conftest.$ac_ext
+  {0, (void *) 0}
+};
+
+/* This works around a problem in FreeBSD linker */
+#ifdef FREEBSD_WORKAROUND
+static const void *lt_preloaded_setup() {
+  return lt__PROGRAM__LTX_preloaded_symbols;
+}
+#endif
+
+#ifdef __cplusplus
+}
+#endif
+_LT_EOF
+         # Now try linking the two files.
+         mv conftest.$ac_objext conftstm.$ac_objext
+         lt_save_LIBS="$LIBS"
+         lt_save_CFLAGS="$CFLAGS"
+         LIBS="conftstm.$ac_objext"
+         CFLAGS="$CFLAGS$_LT_TAGVAR(lt_prog_compiler_no_builtin_flag, $1)"
+         if AC_TRY_EVAL(ac_link) && test -s conftest${ac_exeext}; then
+           pipe_works=yes
+         fi
+         LIBS="$lt_save_LIBS"
+         CFLAGS="$lt_save_CFLAGS"
+       else
+         echo "cannot find nm_test_func in $nlist" >&AS_MESSAGE_LOG_FD
+       fi
+      else
+       echo "cannot find nm_test_var in $nlist" >&AS_MESSAGE_LOG_FD
+      fi
+    else
+      echo "cannot run $lt_cv_sys_global_symbol_pipe" >&AS_MESSAGE_LOG_FD
+    fi
+  else
+    echo "$progname: failed program was:" >&AS_MESSAGE_LOG_FD
+    cat conftest.$ac_ext >&5
+  fi
+  rm -rf conftest* conftst*
+
+  # Do not use the global_symbol_pipe unless it works.
+  if test "$pipe_works" = yes; then
+    break
+  else
+    lt_cv_sys_global_symbol_pipe=
+  fi
+done
+])
+if test -z "$lt_cv_sys_global_symbol_pipe"; then
+  lt_cv_sys_global_symbol_to_cdecl=
+fi
+if test -z "$lt_cv_sys_global_symbol_pipe$lt_cv_sys_global_symbol_to_cdecl"; then
+  AC_MSG_RESULT(failed)
+else
+  AC_MSG_RESULT(ok)
+fi
+
+_LT_DECL([global_symbol_pipe], [lt_cv_sys_global_symbol_pipe], [1],
+    [Take the output of nm and produce a listing of raw symbols and C names])
+_LT_DECL([global_symbol_to_cdecl], [lt_cv_sys_global_symbol_to_cdecl], [1],
+    [Transform the output of nm in a proper C declaration])
+_LT_DECL([global_symbol_to_c_name_address],
+    [lt_cv_sys_global_symbol_to_c_name_address], [1],
+    [Transform the output of nm in a C name address pair])
+_LT_DECL([global_symbol_to_c_name_address_lib_prefix],
+    [lt_cv_sys_global_symbol_to_c_name_address_lib_prefix], [1],
+    [Transform the output of nm in a C name address pair when lib prefix is needed])
+]) # _LT_CMD_GLOBAL_SYMBOLS
+
+
+# _LT_COMPILER_PIC([TAGNAME])
+# ---------------------------
+m4_defun([_LT_COMPILER_PIC],
+[m4_require([_LT_TAG_COMPILER])dnl
+_LT_TAGVAR(lt_prog_compiler_wl, $1)=
+_LT_TAGVAR(lt_prog_compiler_pic, $1)=
+_LT_TAGVAR(lt_prog_compiler_static, $1)=
+
+AC_MSG_CHECKING([for $compiler option to produce PIC])
+m4_if([$1], [CXX], [
+  # C++ specific cases for pic, static, wl, etc.
+  if test "$GXX" = yes; then
+    _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+    _LT_TAGVAR(lt_prog_compiler_static, $1)='-static'
+
+    case $host_os in
+    aix*)
+      # All AIX code is PIC.
+      if test "$host_cpu" = ia64; then
+       # AIX 5 now supports IA64 processor
+       _LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+      fi
+      ;;
+
+    amigaos*)
+      case $host_cpu in
+      powerpc)
+            # see comment about AmigaOS4 .so support
+            _LT_TAGVAR(lt_prog_compiler_pic, $1)='-fPIC'
+        ;;
+      m68k)
+            # FIXME: we need at least 68020 code to build shared libraries, but
+            # adding the `-m68020' flag to GCC prevents building anything better,
+            # like `-m68040'.
+            _LT_TAGVAR(lt_prog_compiler_pic, $1)='-m68020 -resident32 -malways-restore-a4'
+        ;;
+      esac
+      ;;
+
+    beos* | irix5* | irix6* | nonstopux* | osf3* | osf4* | osf5*)
+      # PIC is the default for these OSes.
+      ;;
+    mingw* | cygwin* | os2* | pw32* | cegcc*)
+      # This hack is so that the source file can tell whether it is being
+      # built for inclusion in a dll (and should export symbols for example).
+      # Although the cygwin gcc ignores -fPIC, still need this for old-style
+      # (--disable-auto-import) libraries
+      m4_if([$1], [GCJ], [],
+       [_LT_TAGVAR(lt_prog_compiler_pic, $1)='-DDLL_EXPORT'])
+      ;;
+    darwin* | rhapsody*)
+      # PIC is the default on this platform
+      # Common symbols not allowed in MH_DYLIB files
+      _LT_TAGVAR(lt_prog_compiler_pic, $1)='-fno-common'
+      ;;
+    *djgpp*)
+      # DJGPP does not support shared libraries at all
+      _LT_TAGVAR(lt_prog_compiler_pic, $1)=
+      ;;
+    interix[[3-9]]*)
+      # Interix 3.x gcc -fpic/-fPIC options generate broken code.
+      # Instead, we relocate shared libraries at runtime.
+      ;;
+    sysv4*MP*)
+      if test -d /usr/nec; then
+       _LT_TAGVAR(lt_prog_compiler_pic, $1)=-Kconform_pic
+      fi
+      ;;
+    hpux*)
+      # PIC is the default for 64-bit PA HP-UX, but not for 32-bit
+      # PA HP-UX.  On IA64 HP-UX, PIC is the default but the pic flag
+      # sets the default TLS model and affects inlining.
+      case $host_cpu in
+      hppa*64*)
+       ;;
+      *)
+       _LT_TAGVAR(lt_prog_compiler_pic, $1)='-fPIC'
+       ;;
+      esac
+      ;;
+    *qnx* | *nto*)
+      # QNX uses GNU C++, but need to define -shared option too, otherwise
+      # it will coredump.
+      _LT_TAGVAR(lt_prog_compiler_pic, $1)='-fPIC -shared'
+      ;;
+    *)
+      _LT_TAGVAR(lt_prog_compiler_pic, $1)='-fPIC'
+      ;;
+    esac
+  else
+    case $host_os in
+      aix[[4-9]]*)
+       # All AIX code is PIC.
+       if test "$host_cpu" = ia64; then
+         # AIX 5 now supports IA64 processor
+         _LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+       else
+         _LT_TAGVAR(lt_prog_compiler_static, $1)='-bnso -bI:/lib/syscalls.exp'
+       fi
+       ;;
+      chorus*)
+       case $cc_basename in
+       cxch68*)
+         # Green Hills C++ Compiler
+         # _LT_TAGVAR(lt_prog_compiler_static, $1)="--no_auto_instantiation -u __main -u __premain -u _abort -r $COOL_DIR/lib/libOrb.a $MVME_DIR/lib/CC/libC.a $MVME_DIR/lib/classix/libcx.s.a"
+         ;;
+       esac
+       ;;
+      dgux*)
+       case $cc_basename in
+         ec++*)
+           _LT_TAGVAR(lt_prog_compiler_pic, $1)='-KPIC'
+           ;;
+         ghcx*)
+           # Green Hills C++ Compiler
+           _LT_TAGVAR(lt_prog_compiler_pic, $1)='-pic'
+           ;;
+         *)
+           ;;
+       esac
+       ;;
+      freebsd* | dragonfly*)
+       # FreeBSD uses GNU C++
+       ;;
+      hpux9* | hpux10* | hpux11*)
+       case $cc_basename in
+         CC*)
+           _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+           _LT_TAGVAR(lt_prog_compiler_static, $1)='${wl}-a ${wl}archive'
+           if test "$host_cpu" != ia64; then
+             _LT_TAGVAR(lt_prog_compiler_pic, $1)='+Z'
+           fi
+           ;;
+         aCC*)
+           _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+           _LT_TAGVAR(lt_prog_compiler_static, $1)='${wl}-a ${wl}archive'
+           case $host_cpu in
+           hppa*64*|ia64*)
+             # +Z the default
+             ;;
+           *)
+             _LT_TAGVAR(lt_prog_compiler_pic, $1)='+Z'
+             ;;
+           esac
+           ;;
+         *)
+           ;;
+       esac
+       ;;
+      interix*)
+       # This is c89, which is MS Visual C++ (no shared libs)
+       # Anyone wants to do a port?
+       ;;
+      irix5* | irix6* | nonstopux*)
+       case $cc_basename in
+         CC*)
+           _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+           _LT_TAGVAR(lt_prog_compiler_static, $1)='-non_shared'
+           # CC pic flag -KPIC is the default.
+           ;;
+         *)
+           ;;
+       esac
+       ;;
+      linux* | k*bsd*-gnu)
+       case $cc_basename in
+         KCC*)
+           # KAI C++ Compiler
+           _LT_TAGVAR(lt_prog_compiler_wl, $1)='--backend -Wl,'
+           _LT_TAGVAR(lt_prog_compiler_pic, $1)='-fPIC'
+           ;;
+         ecpc* )
+           # old Intel C++ for x86_64 which still supported -KPIC.
+           _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+           _LT_TAGVAR(lt_prog_compiler_pic, $1)='-KPIC'
+           _LT_TAGVAR(lt_prog_compiler_static, $1)='-static'
+           ;;
+         icpc* )
+           # Intel C++, used to be incompatible with GCC.
+           # ICC 10 doesn't accept -KPIC any more.
+           _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+           _LT_TAGVAR(lt_prog_compiler_pic, $1)='-fPIC'
+           _LT_TAGVAR(lt_prog_compiler_static, $1)='-static'
+           ;;
+         pgCC* | pgcpp*)
+           # Portland Group C++ compiler
+           _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+           _LT_TAGVAR(lt_prog_compiler_pic, $1)='-fpic'
+           _LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+           ;;
+         cxx*)
+           # Compaq C++
+           # Make sure the PIC flag is empty.  It appears that all Alpha
+           # Linux and Compaq Tru64 Unix objects are PIC.
+           _LT_TAGVAR(lt_prog_compiler_pic, $1)=
+           _LT_TAGVAR(lt_prog_compiler_static, $1)='-non_shared'
+           ;;
+         xlc* | xlC*)
+           # IBM XL 8.0 on PPC
+           _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+           _LT_TAGVAR(lt_prog_compiler_pic, $1)='-qpic'
+           _LT_TAGVAR(lt_prog_compiler_static, $1)='-qstaticlink'
+           ;;
+         *)
+           case `$CC -V 2>&1 | sed 5q` in
+           *Sun\ C*)
+             # Sun C++ 5.9
+             _LT_TAGVAR(lt_prog_compiler_pic, $1)='-KPIC'
+             _LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+             _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Qoption ld '
+             ;;
+           esac
+           ;;
+       esac
+       ;;
+      lynxos*)
+       ;;
+      m88k*)
+       ;;
+      mvs*)
+       case $cc_basename in
+         cxx*)
+           _LT_TAGVAR(lt_prog_compiler_pic, $1)='-W c,exportall'
+           ;;
+         *)
+           ;;
+       esac
+       ;;
+      netbsd* | netbsdelf*-gnu)
+       ;;
+      *qnx* | *nto*)
+        # QNX uses GNU C++, but need to define -shared option too, otherwise
+        # it will coredump.
+        _LT_TAGVAR(lt_prog_compiler_pic, $1)='-fPIC -shared'
+        ;;
+      osf3* | osf4* | osf5*)
+       case $cc_basename in
+         KCC*)
+           _LT_TAGVAR(lt_prog_compiler_wl, $1)='--backend -Wl,'
+           ;;
+         RCC*)
+           # Rational C++ 2.4.1
+           _LT_TAGVAR(lt_prog_compiler_pic, $1)='-pic'
+           ;;
+         cxx*)
+           # Digital/Compaq C++
+           _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+           # Make sure the PIC flag is empty.  It appears that all Alpha
+           # Linux and Compaq Tru64 Unix objects are PIC.
+           _LT_TAGVAR(lt_prog_compiler_pic, $1)=
+           _LT_TAGVAR(lt_prog_compiler_static, $1)='-non_shared'
+           ;;
+         *)
+           ;;
+       esac
+       ;;
+      psos*)
+       ;;
+      solaris*)
+       case $cc_basename in
+         CC*)
+           # Sun C++ 4.2, 5.x and Centerline C++
+           _LT_TAGVAR(lt_prog_compiler_pic, $1)='-KPIC'
+           _LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+           _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Qoption ld '
+           ;;
+         gcx*)
+           # Green Hills C++ Compiler
+           _LT_TAGVAR(lt_prog_compiler_pic, $1)='-PIC'
+           ;;
+         *)
+           ;;
+       esac
+       ;;
+      sunos4*)
+       case $cc_basename in
+         CC*)
+           # Sun C++ 4.x
+           _LT_TAGVAR(lt_prog_compiler_pic, $1)='-pic'
+           _LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+           ;;
+         lcc*)
+           # Lucid
+           _LT_TAGVAR(lt_prog_compiler_pic, $1)='-pic'
+           ;;
+         *)
+           ;;
+       esac
+       ;;
+      sysv5* | unixware* | sco3.2v5* | sco5v6* | OpenUNIX*)
+       case $cc_basename in
+         CC*)
+           _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+           _LT_TAGVAR(lt_prog_compiler_pic, $1)='-KPIC'
+           _LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+           ;;
+       esac
+       ;;
+      tandem*)
+       case $cc_basename in
+         NCC*)
+           # NonStop-UX NCC 3.20
+           _LT_TAGVAR(lt_prog_compiler_pic, $1)='-KPIC'
+           ;;
+         *)
+           ;;
+       esac
+       ;;
+      vxworks*)
+       ;;
+      *)
+       _LT_TAGVAR(lt_prog_compiler_can_build_shared, $1)=no
+       ;;
+    esac
+  fi
+],
+[
+  if test "$GCC" = yes; then
+    _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+    _LT_TAGVAR(lt_prog_compiler_static, $1)='-static'
+
+    case $host_os in
+      aix*)
+      # All AIX code is PIC.
+      if test "$host_cpu" = ia64; then
+       # AIX 5 now supports IA64 processor
+       _LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+      fi
+      ;;
+
+    amigaos*)
+      case $host_cpu in
+      powerpc)
+            # see comment about AmigaOS4 .so support
+            _LT_TAGVAR(lt_prog_compiler_pic, $1)='-fPIC'
+        ;;
+      m68k)
+            # FIXME: we need at least 68020 code to build shared libraries, but
+            # adding the `-m68020' flag to GCC prevents building anything better,
+            # like `-m68040'.
+            _LT_TAGVAR(lt_prog_compiler_pic, $1)='-m68020 -resident32 -malways-restore-a4'
+        ;;
+      esac
+      ;;
+
+    beos* | irix5* | irix6* | nonstopux* | osf3* | osf4* | osf5*)
+      # PIC is the default for these OSes.
+      ;;
+
+    mingw* | cygwin* | pw32* | os2* | cegcc*)
+      # This hack is so that the source file can tell whether it is being
+      # built for inclusion in a dll (and should export symbols for example).
+      # Although the cygwin gcc ignores -fPIC, still need this for old-style
+      # (--disable-auto-import) libraries
+      m4_if([$1], [GCJ], [],
+       [_LT_TAGVAR(lt_prog_compiler_pic, $1)='-DDLL_EXPORT'])
+      ;;
+
+    darwin* | rhapsody*)
+      # PIC is the default on this platform
+      # Common symbols not allowed in MH_DYLIB files
+      _LT_TAGVAR(lt_prog_compiler_pic, $1)='-fno-common'
+      ;;
+
+    hpux*)
+      # PIC is the default for 64-bit PA HP-UX, but not for 32-bit
+      # PA HP-UX.  On IA64 HP-UX, PIC is the default but the pic flag
+      # sets the default TLS model and affects inlining.
+      case $host_cpu in
+      hppa*64*)
+       # +Z the default
+       ;;
+      *)
+       _LT_TAGVAR(lt_prog_compiler_pic, $1)='-fPIC'
+       ;;
+      esac
+      ;;
+
+    interix[[3-9]]*)
+      # Interix 3.x gcc -fpic/-fPIC options generate broken code.
+      # Instead, we relocate shared libraries at runtime.
+      ;;
+
+    msdosdjgpp*)
+      # Just because we use GCC doesn't mean we suddenly get shared libraries
+      # on systems that don't support them.
+      _LT_TAGVAR(lt_prog_compiler_can_build_shared, $1)=no
+      enable_shared=no
+      ;;
+
+    *nto* | *qnx*)
+      # QNX uses GNU C++, but need to define -shared option too, otherwise
+      # it will coredump.
+      _LT_TAGVAR(lt_prog_compiler_pic, $1)='-fPIC -shared'
+      ;;
+
+    sysv4*MP*)
+      if test -d /usr/nec; then
+       _LT_TAGVAR(lt_prog_compiler_pic, $1)=-Kconform_pic
+      fi
+      ;;
+
+    *)
+      _LT_TAGVAR(lt_prog_compiler_pic, $1)='-fPIC'
+      ;;
+    esac
+  else
+    # PORTME Check for flag to pass linker flags through the system compiler.
+    case $host_os in
+    aix*)
+      _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+      if test "$host_cpu" = ia64; then
+       # AIX 5 now supports IA64 processor
+       _LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+      else
+       _LT_TAGVAR(lt_prog_compiler_static, $1)='-bnso -bI:/lib/syscalls.exp'
+      fi
+      ;;
+
+    mingw* | cygwin* | pw32* | os2* | cegcc*)
+      # This hack is so that the source file can tell whether it is being
+      # built for inclusion in a dll (and should export symbols for example).
+      m4_if([$1], [GCJ], [],
+       [_LT_TAGVAR(lt_prog_compiler_pic, $1)='-DDLL_EXPORT'])
+      ;;
+
+    hpux9* | hpux10* | hpux11*)
+      _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+      # PIC is the default for IA64 HP-UX and 64-bit HP-UX, but
+      # not for PA HP-UX.
+      case $host_cpu in
+      hppa*64*|ia64*)
+       # +Z the default
+       ;;
+      *)
+       _LT_TAGVAR(lt_prog_compiler_pic, $1)='+Z'
+       ;;
+      esac
+      # Is there a better lt_prog_compiler_static that works with the bundled CC?
+      _LT_TAGVAR(lt_prog_compiler_static, $1)='${wl}-a ${wl}archive'
+      ;;
+
+    irix5* | irix6* | nonstopux*)
+      _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+      # PIC (with -KPIC) is the default.
+      _LT_TAGVAR(lt_prog_compiler_static, $1)='-non_shared'
+      ;;
+
+    linux* | k*bsd*-gnu)
+      case $cc_basename in
+      # old Intel for x86_64 which still supported -KPIC.
+      ecc*)
+       _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+       _LT_TAGVAR(lt_prog_compiler_pic, $1)='-KPIC'
+       _LT_TAGVAR(lt_prog_compiler_static, $1)='-static'
+        ;;
+      # icc used to be incompatible with GCC.
+      # ICC 10 doesn't accept -KPIC any more.
+      icc* | ifort*)
+       _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+       _LT_TAGVAR(lt_prog_compiler_pic, $1)='-fPIC'
+       _LT_TAGVAR(lt_prog_compiler_static, $1)='-static'
+        ;;
+      # Lahey Fortran 8.1.
+      lf95*)
+       _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+       _LT_TAGVAR(lt_prog_compiler_pic, $1)='--shared'
+       _LT_TAGVAR(lt_prog_compiler_static, $1)='--static'
+       ;;
+      pgcc* | pgf77* | pgf90* | pgf95*)
+        # Portland Group compilers (*not* the Pentium gcc compiler,
+       # which looks to be a dead project)
+       _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+       _LT_TAGVAR(lt_prog_compiler_pic, $1)='-fpic'
+       _LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+        ;;
+      ccc*)
+        _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+        # All Alpha code is PIC.
+        _LT_TAGVAR(lt_prog_compiler_static, $1)='-non_shared'
+        ;;
+      xl*)
+       # IBM XL C 8.0/Fortran 10.1 on PPC
+       _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+       _LT_TAGVAR(lt_prog_compiler_pic, $1)='-qpic'
+       _LT_TAGVAR(lt_prog_compiler_static, $1)='-qstaticlink'
+       ;;
+      *)
+       case `$CC -V 2>&1 | sed 5q` in
+       *Sun\ C*)
+         # Sun C 5.9
+         _LT_TAGVAR(lt_prog_compiler_pic, $1)='-KPIC'
+         _LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+         _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+         ;;
+       *Sun\ F*)
+         # Sun Fortran 8.3 passes all unrecognized flags to the linker
+         _LT_TAGVAR(lt_prog_compiler_pic, $1)='-KPIC'
+         _LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+         _LT_TAGVAR(lt_prog_compiler_wl, $1)=''
+         ;;
+       esac
+       ;;
+      esac
+      ;;
+
+    newsos6)
+      _LT_TAGVAR(lt_prog_compiler_pic, $1)='-KPIC'
+      _LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+      ;;
+
+    *nto* | *qnx*)
+      # QNX uses GNU C++, but need to define -shared option too, otherwise
+      # it will coredump.
+      _LT_TAGVAR(lt_prog_compiler_pic, $1)='-fPIC -shared'
+      ;;
+
+    osf3* | osf4* | osf5*)
+      _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+      # All OSF/1 code is PIC.
+      _LT_TAGVAR(lt_prog_compiler_static, $1)='-non_shared'
+      ;;
+
+    rdos*)
+      _LT_TAGVAR(lt_prog_compiler_static, $1)='-non_shared'
+      ;;
+
+    solaris*)
+      _LT_TAGVAR(lt_prog_compiler_pic, $1)='-KPIC'
+      _LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+      case $cc_basename in
+      f77* | f90* | f95*)
+       _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Qoption ld ';;
+      *)
+       _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,';;
+      esac
+      ;;
+
+    sunos4*)
+      _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Qoption ld '
+      _LT_TAGVAR(lt_prog_compiler_pic, $1)='-PIC'
+      _LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+      ;;
+
+    sysv4 | sysv4.2uw2* | sysv4.3*)
+      _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+      _LT_TAGVAR(lt_prog_compiler_pic, $1)='-KPIC'
+      _LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+      ;;
+
+    sysv4*MP*)
+      if test -d /usr/nec ;then
+       _LT_TAGVAR(lt_prog_compiler_pic, $1)='-Kconform_pic'
+       _LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+      fi
+      ;;
+
+    sysv5* | unixware* | sco3.2v5* | sco5v6* | OpenUNIX*)
+      _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+      _LT_TAGVAR(lt_prog_compiler_pic, $1)='-KPIC'
+      _LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+      ;;
+
+    unicos*)
+      _LT_TAGVAR(lt_prog_compiler_wl, $1)='-Wl,'
+      _LT_TAGVAR(lt_prog_compiler_can_build_shared, $1)=no
+      ;;
+
+    uts4*)
+      _LT_TAGVAR(lt_prog_compiler_pic, $1)='-pic'
+      _LT_TAGVAR(lt_prog_compiler_static, $1)='-Bstatic'
+      ;;
+
+    *)
+      _LT_TAGVAR(lt_prog_compiler_can_build_shared, $1)=no
+      ;;
+    esac
+  fi
+])
+case $host_os in
+  # For platforms which do not support PIC, -DPIC is meaningless:
+  *djgpp*)
+    _LT_TAGVAR(lt_prog_compiler_pic, $1)=
+    ;;
+  *)
+    _LT_TAGVAR(lt_prog_compiler_pic, $1)="$_LT_TAGVAR(lt_prog_compiler_pic, $1)@&t@m4_if([$1],[],[ -DPIC],[m4_if([$1],[CXX],[ -DPIC],[])])"
+    ;;
+esac
+AC_MSG_RESULT([$_LT_TAGVAR(lt_prog_compiler_pic, $1)])
+_LT_TAGDECL([wl], [lt_prog_compiler_wl], [1],
+       [How to pass a linker flag through the compiler])
+
+#
+# Check to make sure the PIC flag actually works.
+#
+if test -n "$_LT_TAGVAR(lt_prog_compiler_pic, $1)"; then
+  _LT_COMPILER_OPTION([if $compiler PIC flag $_LT_TAGVAR(lt_prog_compiler_pic, $1) works],
+    [_LT_TAGVAR(lt_cv_prog_compiler_pic_works, $1)],
+    [$_LT_TAGVAR(lt_prog_compiler_pic, $1)@&t@m4_if([$1],[],[ -DPIC],[m4_if([$1],[CXX],[ -DPIC],[])])], [],
+    [case $_LT_TAGVAR(lt_prog_compiler_pic, $1) in
+     "" | " "*) ;;
+     *) _LT_TAGVAR(lt_prog_compiler_pic, $1)=" $_LT_TAGVAR(lt_prog_compiler_pic, $1)" ;;
+     esac],
+    [_LT_TAGVAR(lt_prog_compiler_pic, $1)=
+     _LT_TAGVAR(lt_prog_compiler_can_build_shared, $1)=no])
+fi
+_LT_TAGDECL([pic_flag], [lt_prog_compiler_pic], [1],
+       [Additional compiler flags for building library objects])
+
+#
+# Check to make sure the static flag actually works.
+#
+wl=$_LT_TAGVAR(lt_prog_compiler_wl, $1) eval lt_tmp_static_flag=\"$_LT_TAGVAR(lt_prog_compiler_static, $1)\"
+_LT_LINKER_OPTION([if $compiler static flag $lt_tmp_static_flag works],
+  _LT_TAGVAR(lt_cv_prog_compiler_static_works, $1),
+  $lt_tmp_static_flag,
+  [],
+  [_LT_TAGVAR(lt_prog_compiler_static, $1)=])
+_LT_TAGDECL([link_static_flag], [lt_prog_compiler_static], [1],
+       [Compiler flag to prevent dynamic linking])
+])# _LT_COMPILER_PIC
+
+
+# _LT_LINKER_SHLIBS([TAGNAME])
+# ----------------------------
+# See if the linker supports building shared libraries.
+m4_defun([_LT_LINKER_SHLIBS],
+[AC_REQUIRE([LT_PATH_LD])dnl
+AC_REQUIRE([LT_PATH_NM])dnl
+m4_require([_LT_FILEUTILS_DEFAULTS])dnl
+m4_require([_LT_DECL_EGREP])dnl
+m4_require([_LT_DECL_SED])dnl
+m4_require([_LT_CMD_GLOBAL_SYMBOLS])dnl
+m4_require([_LT_TAG_COMPILER])dnl
+AC_MSG_CHECKING([whether the $compiler linker ($LD) supports shared libraries])
+m4_if([$1], [CXX], [
+  _LT_TAGVAR(export_symbols_cmds, $1)='$NM $libobjs $convenience | $global_symbol_pipe | $SED '\''s/.* //'\'' | sort | uniq > $export_symbols'
+  case $host_os in
+  aix[[4-9]]*)
+    # If we're using GNU nm, then we don't want the "-C" option.
+    # -C means demangle to AIX nm, but means don't demangle with GNU nm
+    if $NM -V 2>&1 | $GREP 'GNU' > /dev/null; then
+      _LT_TAGVAR(export_symbols_cmds, $1)='$NM -Bpg $libobjs $convenience | awk '\''{ if (((\$ 2 == "T") || (\$ 2 == "D") || (\$ 2 == "B")) && ([substr](\$ 3,1,1) != ".")) { print \$ 3 } }'\'' | sort -u > $export_symbols'
+    else
+      _LT_TAGVAR(export_symbols_cmds, $1)='$NM -BCpg $libobjs $convenience | awk '\''{ if (((\$ 2 == "T") || (\$ 2 == "D") || (\$ 2 == "B")) && ([substr](\$ 3,1,1) != ".")) { print \$ 3 } }'\'' | sort -u > $export_symbols'
+    fi
+    ;;
+  pw32*)
+    _LT_TAGVAR(export_symbols_cmds, $1)="$ltdll_cmds"
+  ;;
+  cygwin* | mingw* | cegcc*)
+    _LT_TAGVAR(export_symbols_cmds, $1)='$NM $libobjs $convenience | $global_symbol_pipe | $SED -e '\''/^[[BCDGRS]][[ ]]/s/.*[[ ]]\([[^ ]]*\)/\1 DATA/;/^.*[[ ]]__nm__/s/^.*[[ ]]__nm__\([[^ ]]*\)[[ ]][[^ ]]*/\1 DATA/;/^I[[ ]]/d;/^[[AITW]][[ ]]/s/.* //'\'' | sort | uniq > $export_symbols'
+  ;;
+  linux* | k*bsd*-gnu)
+    _LT_TAGVAR(link_all_deplibs, $1)=no
+  ;;
+  *)
+    _LT_TAGVAR(export_symbols_cmds, $1)='$NM $libobjs $convenience | $global_symbol_pipe | $SED '\''s/.* //'\'' | sort | uniq > $export_symbols'
+  ;;
+  esac
+  _LT_TAGVAR(exclude_expsyms, $1)=['_GLOBAL_OFFSET_TABLE_|_GLOBAL__F[ID]_.*']
+], [
+  runpath_var=
+  _LT_TAGVAR(allow_undefined_flag, $1)=
+  _LT_TAGVAR(always_export_symbols, $1)=no
+  _LT_TAGVAR(archive_cmds, $1)=
+  _LT_TAGVAR(archive_expsym_cmds, $1)=
+  _LT_TAGVAR(compiler_needs_object, $1)=no
+  _LT_TAGVAR(enable_shared_with_static_runtimes, $1)=no
+  _LT_TAGVAR(export_dynamic_flag_spec, $1)=
+  _LT_TAGVAR(export_symbols_cmds, $1)='$NM $libobjs $convenience | $global_symbol_pipe | $SED '\''s/.* //'\'' | sort | uniq > $export_symbols'
+  _LT_TAGVAR(hardcode_automatic, $1)=no
+  _LT_TAGVAR(hardcode_direct, $1)=no
+  _LT_TAGVAR(hardcode_direct_absolute, $1)=no
+  _LT_TAGVAR(hardcode_libdir_flag_spec, $1)=
+  _LT_TAGVAR(hardcode_libdir_flag_spec_ld, $1)=
+  _LT_TAGVAR(hardcode_libdir_separator, $1)=
+  _LT_TAGVAR(hardcode_minus_L, $1)=no
+  _LT_TAGVAR(hardcode_shlibpath_var, $1)=unsupported
+  _LT_TAGVAR(inherit_rpath, $1)=no
+  _LT_TAGVAR(link_all_deplibs, $1)=unknown
+  _LT_TAGVAR(module_cmds, $1)=
+  _LT_TAGVAR(module_expsym_cmds, $1)=
+  _LT_TAGVAR(old_archive_from_new_cmds, $1)=
+  _LT_TAGVAR(old_archive_from_expsyms_cmds, $1)=
+  _LT_TAGVAR(thread_safe_flag_spec, $1)=
+  _LT_TAGVAR(whole_archive_flag_spec, $1)=
+  # include_expsyms should be a list of space-separated symbols to be *always*
+  # included in the symbol list
+  _LT_TAGVAR(include_expsyms, $1)=
+  # exclude_expsyms can be an extended regexp of symbols to exclude
+  # it will be wrapped by ` (' and `)$', so one must not match beginning or
+  # end of line.  Example: `a|bc|.*d.*' will exclude the symbols `a' and `bc',
+  # as well as any symbol that contains `d'.
+  _LT_TAGVAR(exclude_expsyms, $1)=['_GLOBAL_OFFSET_TABLE_|_GLOBAL__F[ID]_.*']
+  # Although _GLOBAL_OFFSET_TABLE_ is a valid symbol C name, most a.out
+  # platforms (ab)use it in PIC code, but their linkers get confused if
+  # the symbol is explicitly referenced.  Since portable code cannot
+  # rely on this symbol name, it's probably fine to never include it in
+  # preloaded symbol tables.
+  # Exclude shared library initialization/finalization symbols.
+dnl Note also adjust exclude_expsyms for C++ above.
+  extract_expsyms_cmds=
+
+  case $host_os in
+  cygwin* | mingw* | pw32* | cegcc*)
+    # FIXME: the MSVC++ port hasn't been tested in a loooong time
+    # When not using gcc, we currently assume that we are using
+    # Microsoft Visual C++.
+    if test "$GCC" != yes; then
+      with_gnu_ld=no
+    fi
+    ;;
+  interix*)
+    # we just hope/assume this is gcc and not c89 (= MSVC++)
+    with_gnu_ld=yes
+    ;;
+  openbsd*)
+    with_gnu_ld=no
+    ;;
+  esac
+
+  _LT_TAGVAR(ld_shlibs, $1)=yes
+  if test "$with_gnu_ld" = yes; then
+    # If archive_cmds runs LD, not CC, wlarc should be empty
+    wlarc='${wl}'
+
+    # Set some defaults for GNU ld with shared library support. These
+    # are reset later if shared libraries are not supported. Putting them
+    # here allows them to be overridden if necessary.
+    runpath_var=LD_RUN_PATH
+    _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath ${wl}$libdir'
+    _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}--export-dynamic'
+    # ancient GNU ld didn't support --whole-archive et. al.
+    if $LD --help 2>&1 | $GREP 'no-whole-archive' > /dev/null; then
+      _LT_TAGVAR(whole_archive_flag_spec, $1)="$wlarc"'--whole-archive$convenience '"$wlarc"'--no-whole-archive'
+    else
+      _LT_TAGVAR(whole_archive_flag_spec, $1)=
+    fi
+    supports_anon_versioning=no
+    case `$LD -v 2>&1` in
+      *\ [[01]].* | *\ 2.[[0-9]].* | *\ 2.10.*) ;; # catch versions < 2.11
+      *\ 2.11.93.0.2\ *) supports_anon_versioning=yes ;; # RH7.3 ...
+      *\ 2.11.92.0.12\ *) supports_anon_versioning=yes ;; # Mandrake 8.2 ...
+      *\ 2.11.*) ;; # other 2.11 versions
+      *) supports_anon_versioning=yes ;;
+    esac
+
+    # See if GNU ld supports shared libraries.
+    case $host_os in
+    aix[[3-9]]*)
+      # On AIX/PPC, the GNU linker is very broken
+      if test "$host_cpu" != ia64; then
+       _LT_TAGVAR(ld_shlibs, $1)=no
+       cat <<_LT_EOF 1>&2
+
+*** Warning: the GNU linker, at least up to release 2.9.1, is reported
+*** to be unable to reliably create shared libraries on AIX.
+*** Therefore, libtool is disabling shared libraries support.  If you
+*** really care for shared libraries, you may want to modify your PATH
+*** so that a non-GNU linker is found, and then restart.
+
+_LT_EOF
+      fi
+      ;;
+
+    amigaos*)
+      case $host_cpu in
+      powerpc)
+            # see comment about AmigaOS4 .so support
+            _LT_TAGVAR(archive_cmds, $1)='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+            _LT_TAGVAR(archive_expsym_cmds, $1)=''
+        ;;
+      m68k)
+            _LT_TAGVAR(archive_cmds, $1)='$RM $output_objdir/a2ixlibrary.data~$ECHO "#define NAME $libname" > $output_objdir/a2ixlibrary.data~$ECHO "#define LIBRARY_ID 1" >> $output_objdir/a2ixlibrary.data~$ECHO "#define VERSION $major" >> $output_objdir/a2ixlibrary.data~$ECHO "#define REVISION $revision" >> $output_objdir/a2ixlibrary.data~$AR $AR_FLAGS $lib $libobjs~$RANLIB $lib~(cd $output_objdir && a2ixlibrary -32)'
+            _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-L$libdir'
+            _LT_TAGVAR(hardcode_minus_L, $1)=yes
+        ;;
+      esac
+      ;;
+
+    beos*)
+      if $LD --help 2>&1 | $GREP ': supported targets:.* elf' > /dev/null; then
+       _LT_TAGVAR(allow_undefined_flag, $1)=unsupported
+       # Joseph Beckenbach <jrb3@best.com> says some releases of gcc
+       # support --undefined.  This deserves some investigation.  FIXME
+       _LT_TAGVAR(archive_cmds, $1)='$CC -nostart $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+      else
+       _LT_TAGVAR(ld_shlibs, $1)=no
+      fi
+      ;;
+
+    cygwin* | mingw* | pw32* | cegcc*)
+      # _LT_TAGVAR(hardcode_libdir_flag_spec, $1) is actually meaningless,
+      # as there is no search path for DLLs.
+      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-L$libdir'
+      _LT_TAGVAR(allow_undefined_flag, $1)=unsupported
+      _LT_TAGVAR(always_export_symbols, $1)=no
+      _LT_TAGVAR(enable_shared_with_static_runtimes, $1)=yes
+      _LT_TAGVAR(export_symbols_cmds, $1)='$NM $libobjs $convenience | $global_symbol_pipe | $SED -e '\''/^[[BCDGRS]][[ ]]/s/.*[[ ]]\([[^ ]]*\)/\1 DATA/'\'' | $SED -e '\''/^[[AITW]][[ ]]/s/.*[[ ]]//'\'' | sort | uniq > $export_symbols'
+
+      if $LD --help 2>&1 | $GREP 'auto-import' > /dev/null; then
+        _LT_TAGVAR(archive_cmds, $1)='$CC -shared $libobjs $deplibs $compiler_flags -o $output_objdir/$soname ${wl}--enable-auto-image-base -Xlinker --out-implib -Xlinker $lib'
+       # If the export-symbols file already is a .def file (1st line
+       # is EXPORTS), use it as is; otherwise, prepend...
+       _LT_TAGVAR(archive_expsym_cmds, $1)='if test "x`$SED 1q $export_symbols`" = xEXPORTS; then
+         cp $export_symbols $output_objdir/$soname.def;
+       else
+         echo EXPORTS > $output_objdir/$soname.def;
+         cat $export_symbols >> $output_objdir/$soname.def;
+       fi~
+       $CC -shared $output_objdir/$soname.def $libobjs $deplibs $compiler_flags -o $output_objdir/$soname ${wl}--enable-auto-image-base -Xlinker --out-implib -Xlinker $lib'
+      else
+       _LT_TAGVAR(ld_shlibs, $1)=no
+      fi
+      ;;
+
+    interix[[3-9]]*)
+      _LT_TAGVAR(hardcode_direct, $1)=no
+      _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath,$libdir'
+      _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}-E'
+      # Hack: On Interix 3.x, we cannot compile PIC because of a broken gcc.
+      # Instead, shared libraries are loaded at an image base (0x10000000 by
+      # default) and relocated if they conflict, which is a slow very memory
+      # consuming and fragmenting process.  To avoid this, we pick a random,
+      # 256 KiB-aligned image base between 0x50000000 and 0x6FFC0000 at link
+      # time.  Moving up from 0x10000000 also allows more sbrk(2) space.
+      _LT_TAGVAR(archive_cmds, $1)='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-h,$soname ${wl}--image-base,`expr ${RANDOM-$$} % 4096 / 2 \* 262144 + 1342177280` -o $lib'
+      _LT_TAGVAR(archive_expsym_cmds, $1)='sed "s,^,_," $export_symbols >$output_objdir/$soname.expsym~$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-h,$soname ${wl}--retain-symbols-file,$output_objdir/$soname.expsym ${wl}--image-base,`expr ${RANDOM-$$} % 4096 / 2 \* 262144 + 1342177280` -o $lib'
+      ;;
+
+    gnu* | linux* | tpf* | k*bsd*-gnu)
+      tmp_diet=no
+      if test "$host_os" = linux-dietlibc; then
+       case $cc_basename in
+         diet\ *) tmp_diet=yes;;       # linux-dietlibc with static linking (!diet-dyn)
+       esac
+      fi
+      if $LD --help 2>&1 | $EGREP ': supported targets:.* elf' > /dev/null \
+        && test "$tmp_diet" = no
+      then
+       tmp_addflag=
+       tmp_sharedflag='-shared'
+       case $cc_basename,$host_cpu in
+        pgcc*)                         # Portland Group C compiler
+         _LT_TAGVAR(whole_archive_flag_spec, $1)='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; $ECHO \"$new_convenience\"` ${wl}--no-whole-archive'
+         tmp_addflag=' $pic_flag'
+         ;;
+       pgf77* | pgf90* | pgf95*)       # Portland Group f77 and f90 compilers
+         _LT_TAGVAR(whole_archive_flag_spec, $1)='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; $ECHO \"$new_convenience\"` ${wl}--no-whole-archive'
+         tmp_addflag=' $pic_flag -Mnomain' ;;
+       ecc*,ia64* | icc*,ia64*)        # Intel C compiler on ia64
+         tmp_addflag=' -i_dynamic' ;;
+       efc*,ia64* | ifort*,ia64*)      # Intel Fortran compiler on ia64
+         tmp_addflag=' -i_dynamic -nofor_main' ;;
+       ifc* | ifort*)                  # Intel Fortran compiler
+         tmp_addflag=' -nofor_main' ;;
+       lf95*)                          # Lahey Fortran 8.1
+         _LT_TAGVAR(whole_archive_flag_spec, $1)=
+         tmp_sharedflag='--shared' ;;
+       xl[[cC]]*)                      # IBM XL C 8.0 on PPC (deal with xlf below)
+         tmp_sharedflag='-qmkshrobj'
+         tmp_addflag= ;;
+       esac
+       case `$CC -V 2>&1 | sed 5q` in
+       *Sun\ C*)                       # Sun C 5.9
+         _LT_TAGVAR(whole_archive_flag_spec, $1)='${wl}--whole-archive`new_convenience=; for conv in $convenience\"\"; do test -z \"$conv\" || new_convenience=\"$new_convenience,$conv\"; done; $ECHO \"$new_convenience\"` ${wl}--no-whole-archive'
+         _LT_TAGVAR(compiler_needs_object, $1)=yes
+         tmp_sharedflag='-G' ;;
+       *Sun\ F*)                       # Sun Fortran 8.3
+         tmp_sharedflag='-G' ;;
+       esac
+       _LT_TAGVAR(archive_cmds, $1)='$CC '"$tmp_sharedflag""$tmp_addflag"' $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+
+        if test "x$supports_anon_versioning" = xyes; then
+          _LT_TAGVAR(archive_expsym_cmds, $1)='echo "{ global:" > $output_objdir/$libname.ver~
+           cat $export_symbols | sed -e "s/\(.*\)/\1;/" >> $output_objdir/$libname.ver~
+           echo "local: *; };" >> $output_objdir/$libname.ver~
+           $CC '"$tmp_sharedflag""$tmp_addflag"' $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname ${wl}-version-script ${wl}$output_objdir/$libname.ver -o $lib'
+        fi
+
+       case $cc_basename in
+       xlf*)
+         # IBM XL Fortran 10.1 on PPC cannot create shared libs itself
+         _LT_TAGVAR(whole_archive_flag_spec, $1)='--whole-archive$convenience --no-whole-archive'
+         _LT_TAGVAR(hardcode_libdir_flag_spec, $1)=
+         _LT_TAGVAR(hardcode_libdir_flag_spec_ld, $1)='-rpath $libdir'
+         _LT_TAGVAR(archive_cmds, $1)='$LD -shared $libobjs $deplibs $compiler_flags -soname $soname -o $lib'
+         if test "x$supports_anon_versioning" = xyes; then
+           _LT_TAGVAR(archive_expsym_cmds, $1)='echo "{ global:" > $output_objdir/$libname.ver~
+             cat $export_symbols | sed -e "s/\(.*\)/\1;/" >> $output_objdir/$libname.ver~
+             echo "local: *; };" >> $output_objdir/$libname.ver~
+             $LD -shared $libobjs $deplibs $compiler_flags -soname $soname -version-script $output_objdir/$libname.ver -o $lib'
+         fi
+         ;;
+       esac
+      else
+        _LT_TAGVAR(ld_shlibs, $1)=no
+      fi
+      ;;
+
+    netbsd* | netbsdelf*-gnu)
+      if echo __ELF__ | $CC -E - | $GREP __ELF__ >/dev/null; then
+       _LT_TAGVAR(archive_cmds, $1)='$LD -Bshareable $libobjs $deplibs $linker_flags -o $lib'
+       wlarc=
+      else
+       _LT_TAGVAR(archive_cmds, $1)='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+       _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname ${wl}-retain-symbols-file $wl$export_symbols -o $lib'
+      fi
+      ;;
+
+    solaris*)
+      if $LD -v 2>&1 | $GREP 'BFD 2\.8' > /dev/null; then
+       _LT_TAGVAR(ld_shlibs, $1)=no
+       cat <<_LT_EOF 1>&2
+
+*** Warning: The releases 2.8.* of the GNU linker cannot reliably
+*** create shared libraries on Solaris systems.  Therefore, libtool
+*** is disabling shared libraries support.  We urge you to upgrade GNU
+*** binutils to release 2.9.1 or newer.  Another option is to modify
+*** your PATH or compiler configuration so that the native linker is
+*** used, and then restart.
+
+_LT_EOF
+      elif $LD --help 2>&1 | $GREP ': supported targets:.* elf' > /dev/null; then
+       _LT_TAGVAR(archive_cmds, $1)='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+       _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname ${wl}-retain-symbols-file $wl$export_symbols -o $lib'
+      else
+       _LT_TAGVAR(ld_shlibs, $1)=no
+      fi
+      ;;
+
+    sysv5* | sco3.2v5* | sco5v6* | unixware* | OpenUNIX*)
+      case `$LD -v 2>&1` in
+        *\ [[01]].* | *\ 2.[[0-9]].* | *\ 2.1[[0-5]].*)
+       _LT_TAGVAR(ld_shlibs, $1)=no
+       cat <<_LT_EOF 1>&2
+
+*** Warning: Releases of the GNU linker prior to 2.16.91.0.3 can not
+*** reliably create shared libraries on SCO systems.  Therefore, libtool
+*** is disabling shared libraries support.  We urge you to upgrade GNU
+*** binutils to release 2.16.91.0.3 or newer.  Another option is to modify
+*** your PATH or compiler configuration so that the native linker is
+*** used, and then restart.
+
+_LT_EOF
+       ;;
+       *)
+         # For security reasons, it is highly recommended that you always
+         # use absolute paths for naming shared libraries, and exclude the
+         # DT_RUNPATH tag from executables and libraries.  But doing so
+         # requires that you compile everything twice, which is a pain.
+         if $LD --help 2>&1 | $GREP ': supported targets:.* elf' > /dev/null; then
+           _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath ${wl}$libdir'
+           _LT_TAGVAR(archive_cmds, $1)='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+           _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname ${wl}-retain-symbols-file $wl$export_symbols -o $lib'
+         else
+           _LT_TAGVAR(ld_shlibs, $1)=no
+         fi
+       ;;
+      esac
+      ;;
+
+    sunos4*)
+      _LT_TAGVAR(archive_cmds, $1)='$LD -assert pure-text -Bshareable -o $lib $libobjs $deplibs $linker_flags'
+      wlarc=
+      _LT_TAGVAR(hardcode_direct, $1)=yes
+      _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+      ;;
+
+    *)
+      if $LD --help 2>&1 | $GREP ': supported targets:.* elf' > /dev/null; then
+       _LT_TAGVAR(archive_cmds, $1)='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+       _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname ${wl}-retain-symbols-file $wl$export_symbols -o $lib'
+      else
+       _LT_TAGVAR(ld_shlibs, $1)=no
+      fi
+      ;;
+    esac
+
+    if test "$_LT_TAGVAR(ld_shlibs, $1)" = no; then
+      runpath_var=
+      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)=
+      _LT_TAGVAR(export_dynamic_flag_spec, $1)=
+      _LT_TAGVAR(whole_archive_flag_spec, $1)=
+    fi
+  else
+    # PORTME fill in a description of your system's linker (not GNU ld)
+    case $host_os in
+    aix3*)
+      _LT_TAGVAR(allow_undefined_flag, $1)=unsupported
+      _LT_TAGVAR(always_export_symbols, $1)=yes
+      _LT_TAGVAR(archive_expsym_cmds, $1)='$LD -o $output_objdir/$soname $libobjs $deplibs $linker_flags -bE:$export_symbols -T512 -H512 -bM:SRE~$AR $AR_FLAGS $lib $output_objdir/$soname'
+      # Note: this linker hardcodes the directories in LIBPATH if there
+      # are no directories specified by -L.
+      _LT_TAGVAR(hardcode_minus_L, $1)=yes
+      if test "$GCC" = yes && test -z "$lt_prog_compiler_static"; then
+       # Neither direct hardcoding nor static linking is supported with a
+       # broken collect2.
+       _LT_TAGVAR(hardcode_direct, $1)=unsupported
+      fi
+      ;;
+
+    aix[[4-9]]*)
+      if test "$host_cpu" = ia64; then
+       # On IA64, the linker does run time linking by default, so we don't
+       # have to do anything special.
+       aix_use_runtimelinking=no
+       exp_sym_flag='-Bexport'
+       no_entry_flag=""
+      else
+       # If we're using GNU nm, then we don't want the "-C" option.
+       # -C means demangle to AIX nm, but means don't demangle with GNU nm
+       if $NM -V 2>&1 | $GREP 'GNU' > /dev/null; then
+         _LT_TAGVAR(export_symbols_cmds, $1)='$NM -Bpg $libobjs $convenience | awk '\''{ if (((\$ 2 == "T") || (\$ 2 == "D") || (\$ 2 == "B")) && ([substr](\$ 3,1,1) != ".")) { print \$ 3 } }'\'' | sort -u > $export_symbols'
+       else
+         _LT_TAGVAR(export_symbols_cmds, $1)='$NM -BCpg $libobjs $convenience | awk '\''{ if (((\$ 2 == "T") || (\$ 2 == "D") || (\$ 2 == "B")) && ([substr](\$ 3,1,1) != ".")) { print \$ 3 } }'\'' | sort -u > $export_symbols'
+       fi
+       aix_use_runtimelinking=no
+
+       # Test if we are trying to use run time linking or normal
+       # AIX style linking. If -brtl is somewhere in LDFLAGS, we
+       # need to do runtime linking.
+       case $host_os in aix4.[[23]]|aix4.[[23]].*|aix[[5-9]]*)
+         for ld_flag in $LDFLAGS; do
+         if (test $ld_flag = "-brtl" || test $ld_flag = "-Wl,-brtl"); then
+           aix_use_runtimelinking=yes
+           break
+         fi
+         done
+         ;;
+       esac
+
+       exp_sym_flag='-bexport'
+       no_entry_flag='-bnoentry'
+      fi
+
+      # When large executables or shared objects are built, AIX ld can
+      # have problems creating the table of contents.  If linking a library
+      # or program results in "error TOC overflow" add -mminimal-toc to
+      # CXXFLAGS/CFLAGS for g++/gcc.  In the cases where that is not
+      # enough to fix the problem, add -Wl,-bbigtoc to LDFLAGS.
+
+      _LT_TAGVAR(archive_cmds, $1)=''
+      _LT_TAGVAR(hardcode_direct, $1)=yes
+      _LT_TAGVAR(hardcode_direct_absolute, $1)=yes
+      _LT_TAGVAR(hardcode_libdir_separator, $1)=':'
+      _LT_TAGVAR(link_all_deplibs, $1)=yes
+      _LT_TAGVAR(file_list_spec, $1)='${wl}-f,'
+
+      if test "$GCC" = yes; then
+       case $host_os in aix4.[[012]]|aix4.[[012]].*)
+       # We only want to do this on AIX 4.2 and lower, the check
+       # below for broken collect2 doesn't work under 4.3+
+         collect2name=`${CC} -print-prog-name=collect2`
+         if test -f "$collect2name" &&
+          strings "$collect2name" | $GREP resolve_lib_name >/dev/null
+         then
+         # We have reworked collect2
+         :
+         else
+         # We have old collect2
+         _LT_TAGVAR(hardcode_direct, $1)=unsupported
+         # It fails to find uninstalled libraries when the uninstalled
+         # path is not listed in the libpath.  Setting hardcode_minus_L
+         # to unsupported forces relinking
+         _LT_TAGVAR(hardcode_minus_L, $1)=yes
+         _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-L$libdir'
+         _LT_TAGVAR(hardcode_libdir_separator, $1)=
+         fi
+         ;;
+       esac
+       shared_flag='-shared'
+       if test "$aix_use_runtimelinking" = yes; then
+         shared_flag="$shared_flag "'${wl}-G'
+       fi
+       _LT_TAGVAR(link_all_deplibs, $1)=no
+      else
+       # not using gcc
+       if test "$host_cpu" = ia64; then
+       # VisualAge C++, Version 5.5 for AIX 5L for IA-64, Beta 3 Release
+       # chokes on -Wl,-G. The following line is correct:
+         shared_flag='-G'
+       else
+         if test "$aix_use_runtimelinking" = yes; then
+           shared_flag='${wl}-G'
+         else
+           shared_flag='${wl}-bM:SRE'
+         fi
+       fi
+      fi
+
+      _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}-bexpall'
+      # It seems that -bexpall does not export symbols beginning with
+      # underscore (_), so it is better to generate a list of symbols to export.
+      _LT_TAGVAR(always_export_symbols, $1)=yes
+      if test "$aix_use_runtimelinking" = yes; then
+       # Warning - without using the other runtime loading flags (-brtl),
+       # -berok will link without error, but may produce a broken library.
+       _LT_TAGVAR(allow_undefined_flag, $1)='-berok'
+        # Determine the default libpath from the value encoded in an
+        # empty executable.
+        _LT_SYS_MODULE_PATH_AIX
+        _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-blibpath:$libdir:'"$aix_libpath"
+        _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -o $output_objdir/$soname $libobjs $deplibs '"\${wl}$no_entry_flag"' $compiler_flags `if test "x${allow_undefined_flag}" != "x"; then $ECHO "X${wl}${allow_undefined_flag}" | $Xsed; else :; fi` '"\${wl}$exp_sym_flag:\$export_symbols $shared_flag"
+      else
+       if test "$host_cpu" = ia64; then
+         _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-R $libdir:/usr/lib:/lib'
+         _LT_TAGVAR(allow_undefined_flag, $1)="-z nodefs"
+         _LT_TAGVAR(archive_expsym_cmds, $1)="\$CC $shared_flag"' -o $output_objdir/$soname $libobjs $deplibs '"\${wl}$no_entry_flag"' $compiler_flags ${wl}${allow_undefined_flag} '"\${wl}$exp_sym_flag:\$export_symbols"
+       else
+        # Determine the default libpath from the value encoded in an
+        # empty executable.
+        _LT_SYS_MODULE_PATH_AIX
+        _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-blibpath:$libdir:'"$aix_libpath"
+         # Warning - without using the other run time loading flags,
+         # -berok will link without error, but may produce a broken library.
+         _LT_TAGVAR(no_undefined_flag, $1)=' ${wl}-bernotok'
+         _LT_TAGVAR(allow_undefined_flag, $1)=' ${wl}-berok'
+         # Exported symbols can be pulled into shared objects from archives
+         _LT_TAGVAR(whole_archive_flag_spec, $1)='$convenience'
+         _LT_TAGVAR(archive_cmds_need_lc, $1)=yes
+         # This is similar to how AIX traditionally builds its shared libraries.
+         _LT_TAGVAR(archive_expsym_cmds, $1)="\$CC $shared_flag"' -o $output_objdir/$soname $libobjs $deplibs ${wl}-bnoentry $compiler_flags ${wl}-bE:$export_symbols${allow_undefined_flag}~$AR $AR_FLAGS $output_objdir/$libname$release.a $output_objdir/$soname'
+       fi
+      fi
+      ;;
+
+    amigaos*)
+      case $host_cpu in
+      powerpc)
+            # see comment about AmigaOS4 .so support
+            _LT_TAGVAR(archive_cmds, $1)='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+            _LT_TAGVAR(archive_expsym_cmds, $1)=''
+        ;;
+      m68k)
+            _LT_TAGVAR(archive_cmds, $1)='$RM $output_objdir/a2ixlibrary.data~$ECHO "#define NAME $libname" > $output_objdir/a2ixlibrary.data~$ECHO "#define LIBRARY_ID 1" >> $output_objdir/a2ixlibrary.data~$ECHO "#define VERSION $major" >> $output_objdir/a2ixlibrary.data~$ECHO "#define REVISION $revision" >> $output_objdir/a2ixlibrary.data~$AR $AR_FLAGS $lib $libobjs~$RANLIB $lib~(cd $output_objdir && a2ixlibrary -32)'
+            _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-L$libdir'
+            _LT_TAGVAR(hardcode_minus_L, $1)=yes
+        ;;
+      esac
+      ;;
+
+    bsdi[[45]]*)
+      _LT_TAGVAR(export_dynamic_flag_spec, $1)=-rdynamic
+      ;;
+
+    cygwin* | mingw* | pw32* | cegcc*)
+      # When not using gcc, we currently assume that we are using
+      # Microsoft Visual C++.
+      # hardcode_libdir_flag_spec is actually meaningless, as there is
+      # no search path for DLLs.
+      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)=' '
+      _LT_TAGVAR(allow_undefined_flag, $1)=unsupported
+      # Tell ltmain to make .lib files, not .a files.
+      libext=lib
+      # Tell ltmain to make .dll files, not .so files.
+      shrext_cmds=".dll"
+      # FIXME: Setting linknames here is a bad hack.
+      _LT_TAGVAR(archive_cmds, $1)='$CC -o $lib $libobjs $compiler_flags `$ECHO "X$deplibs" | $Xsed -e '\''s/ -lc$//'\''` -link -dll~linknames='
+      # The linker will automatically build a .lib file if we build a DLL.
+      _LT_TAGVAR(old_archive_from_new_cmds, $1)='true'
+      # FIXME: Should let the user specify the lib program.
+      _LT_TAGVAR(old_archive_cmds, $1)='lib -OUT:$oldlib$oldobjs$old_deplibs'
+      _LT_TAGVAR(fix_srcfile_path, $1)='`cygpath -w "$srcfile"`'
+      _LT_TAGVAR(enable_shared_with_static_runtimes, $1)=yes
+      ;;
+
+    darwin* | rhapsody*)
+      _LT_DARWIN_LINKER_FEATURES($1)
+      ;;
+
+    dgux*)
+      _LT_TAGVAR(archive_cmds, $1)='$LD -G -h $soname -o $lib $libobjs $deplibs $linker_flags'
+      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-L$libdir'
+      _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+      ;;
+
+    freebsd1*)
+      _LT_TAGVAR(ld_shlibs, $1)=no
+      ;;
+
+    # FreeBSD 2.2.[012] allows us to include c++rt0.o to get C++ constructor
+    # support.  Future versions do this automatically, but an explicit c++rt0.o
+    # does not break anything, and helps significantly (at the cost of a little
+    # extra space).
+    freebsd2.2*)
+      _LT_TAGVAR(archive_cmds, $1)='$LD -Bshareable -o $lib $libobjs $deplibs $linker_flags /usr/lib/c++rt0.o'
+      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-R$libdir'
+      _LT_TAGVAR(hardcode_direct, $1)=yes
+      _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+      ;;
+
+    # Unfortunately, older versions of FreeBSD 2 do not have this feature.
+    freebsd2*)
+      _LT_TAGVAR(archive_cmds, $1)='$LD -Bshareable -o $lib $libobjs $deplibs $linker_flags'
+      _LT_TAGVAR(hardcode_direct, $1)=yes
+      _LT_TAGVAR(hardcode_minus_L, $1)=yes
+      _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+      ;;
+
+    # FreeBSD 3 and greater uses gcc -shared to do shared libraries.
+    freebsd* | dragonfly*)
+      _LT_TAGVAR(archive_cmds, $1)='$CC -shared -o $lib $libobjs $deplibs $compiler_flags'
+      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-R$libdir'
+      _LT_TAGVAR(hardcode_direct, $1)=yes
+      _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+      ;;
+
+    hpux9*)
+      if test "$GCC" = yes; then
+       _LT_TAGVAR(archive_cmds, $1)='$RM $output_objdir/$soname~$CC -shared -fPIC ${wl}+b ${wl}$install_libdir -o $output_objdir/$soname $libobjs $deplibs $compiler_flags~test $output_objdir/$soname = $lib || mv $output_objdir/$soname $lib'
+      else
+       _LT_TAGVAR(archive_cmds, $1)='$RM $output_objdir/$soname~$LD -b +b $install_libdir -o $output_objdir/$soname $libobjs $deplibs $linker_flags~test $output_objdir/$soname = $lib || mv $output_objdir/$soname $lib'
+      fi
+      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}+b ${wl}$libdir'
+      _LT_TAGVAR(hardcode_libdir_separator, $1)=:
+      _LT_TAGVAR(hardcode_direct, $1)=yes
+
+      # hardcode_minus_L: Not really in the search PATH,
+      # but as the default location of the library.
+      _LT_TAGVAR(hardcode_minus_L, $1)=yes
+      _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}-E'
+      ;;
+
+    hpux10*)
+      if test "$GCC" = yes -a "$with_gnu_ld" = no; then
+       _LT_TAGVAR(archive_cmds, $1)='$CC -shared -fPIC ${wl}+h ${wl}$soname ${wl}+b ${wl}$install_libdir -o $lib $libobjs $deplibs $compiler_flags'
+      else
+       _LT_TAGVAR(archive_cmds, $1)='$LD -b +h $soname +b $install_libdir -o $lib $libobjs $deplibs $linker_flags'
+      fi
+      if test "$with_gnu_ld" = no; then
+       _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}+b ${wl}$libdir'
+       _LT_TAGVAR(hardcode_libdir_flag_spec_ld, $1)='+b $libdir'
+       _LT_TAGVAR(hardcode_libdir_separator, $1)=:
+       _LT_TAGVAR(hardcode_direct, $1)=yes
+       _LT_TAGVAR(hardcode_direct_absolute, $1)=yes
+       _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}-E'
+       # hardcode_minus_L: Not really in the search PATH,
+       # but as the default location of the library.
+       _LT_TAGVAR(hardcode_minus_L, $1)=yes
+      fi
+      ;;
+
+    hpux11*)
+      if test "$GCC" = yes -a "$with_gnu_ld" = no; then
+       case $host_cpu in
+       hppa*64*)
+         _LT_TAGVAR(archive_cmds, $1)='$CC -shared ${wl}+h ${wl}$soname -o $lib $libobjs $deplibs $compiler_flags'
+         ;;
+       ia64*)
+         _LT_TAGVAR(archive_cmds, $1)='$CC -shared -fPIC ${wl}+h ${wl}$soname ${wl}+nodefaultrpath -o $lib $libobjs $deplibs $compiler_flags'
+         ;;
+       *)
+         _LT_TAGVAR(archive_cmds, $1)='$CC -shared -fPIC ${wl}+h ${wl}$soname ${wl}+b ${wl}$install_libdir -o $lib $libobjs $deplibs $compiler_flags'
+         ;;
+       esac
+      else
+       case $host_cpu in
+       hppa*64*)
+         _LT_TAGVAR(archive_cmds, $1)='$CC -b ${wl}+h ${wl}$soname -o $lib $libobjs $deplibs $compiler_flags'
+         ;;
+       ia64*)
+         _LT_TAGVAR(archive_cmds, $1)='$CC -b ${wl}+h ${wl}$soname ${wl}+nodefaultrpath -o $lib $libobjs $deplibs $compiler_flags'
+         ;;
+       *)
+         _LT_TAGVAR(archive_cmds, $1)='$CC -b ${wl}+h ${wl}$soname ${wl}+b ${wl}$install_libdir -o $lib $libobjs $deplibs $compiler_flags'
+         ;;
+       esac
+      fi
+      if test "$with_gnu_ld" = no; then
+       _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}+b ${wl}$libdir'
+       _LT_TAGVAR(hardcode_libdir_separator, $1)=:
+
+       case $host_cpu in
+       hppa*64*|ia64*)
+         _LT_TAGVAR(hardcode_direct, $1)=no
+         _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+         ;;
+       *)
+         _LT_TAGVAR(hardcode_direct, $1)=yes
+         _LT_TAGVAR(hardcode_direct_absolute, $1)=yes
+         _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}-E'
+
+         # hardcode_minus_L: Not really in the search PATH,
+         # but as the default location of the library.
+         _LT_TAGVAR(hardcode_minus_L, $1)=yes
+         ;;
+       esac
+      fi
+      ;;
+
+    irix5* | irix6* | nonstopux*)
+      if test "$GCC" = yes; then
+       _LT_TAGVAR(archive_cmds, $1)='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && $ECHO "X${wl}-set_version ${wl}$verstring" | $Xsed` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
+       # Try to use the -exported_symbol ld option, if it does not
+       # work, assume that -exports_file does not work either and
+       # implicitly export all symbols.
+        save_LDFLAGS="$LDFLAGS"
+        LDFLAGS="$LDFLAGS -shared ${wl}-exported_symbol ${wl}foo ${wl}-update_registry ${wl}/dev/null"
+        AC_LINK_IFELSE(int foo(void) {},
+          _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && $ECHO "X${wl}-set_version ${wl}$verstring" | $Xsed` ${wl}-update_registry ${wl}${output_objdir}/so_locations ${wl}-exports_file ${wl}$export_symbols -o $lib'
+        )
+        LDFLAGS="$save_LDFLAGS"
+      else
+       _LT_TAGVAR(archive_cmds, $1)='$CC -shared $libobjs $deplibs $compiler_flags -soname $soname `test -n "$verstring" && $ECHO "X-set_version $verstring" | $Xsed` -update_registry ${output_objdir}/so_locations -o $lib'
+       _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -shared $libobjs $deplibs $compiler_flags -soname $soname `test -n "$verstring" && $ECHO "X-set_version $verstring" | $Xsed` -update_registry ${output_objdir}/so_locations -exports_file $export_symbols -o $lib'
+      fi
+      _LT_TAGVAR(archive_cmds_need_lc, $1)='no'
+      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath ${wl}$libdir'
+      _LT_TAGVAR(hardcode_libdir_separator, $1)=:
+      _LT_TAGVAR(inherit_rpath, $1)=yes
+      _LT_TAGVAR(link_all_deplibs, $1)=yes
+      ;;
+
+    netbsd* | netbsdelf*-gnu)
+      if echo __ELF__ | $CC -E - | $GREP __ELF__ >/dev/null; then
+       _LT_TAGVAR(archive_cmds, $1)='$LD -Bshareable -o $lib $libobjs $deplibs $linker_flags'  # a.out
+      else
+       _LT_TAGVAR(archive_cmds, $1)='$LD -shared -o $lib $libobjs $deplibs $linker_flags'      # ELF
+      fi
+      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-R$libdir'
+      _LT_TAGVAR(hardcode_direct, $1)=yes
+      _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+      ;;
+
+    newsos6)
+      _LT_TAGVAR(archive_cmds, $1)='$LD -G -h $soname -o $lib $libobjs $deplibs $linker_flags'
+      _LT_TAGVAR(hardcode_direct, $1)=yes
+      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath ${wl}$libdir'
+      _LT_TAGVAR(hardcode_libdir_separator, $1)=:
+      _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+      ;;
+
+    *nto* | *qnx*)
+      ;;
+
+    openbsd*)
+      if test -f /usr/libexec/ld.so; then
+       _LT_TAGVAR(hardcode_direct, $1)=yes
+       _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+       _LT_TAGVAR(hardcode_direct_absolute, $1)=yes
+       if test -z "`echo __ELF__ | $CC -E - | $GREP __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
+         _LT_TAGVAR(archive_cmds, $1)='$CC -shared $pic_flag -o $lib $libobjs $deplibs $compiler_flags'
+         _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -shared $pic_flag -o $lib $libobjs $deplibs $compiler_flags ${wl}-retain-symbols-file,$export_symbols'
+         _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath,$libdir'
+         _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}-E'
+       else
+         case $host_os in
+          openbsd[[01]].* | openbsd2.[[0-7]] | openbsd2.[[0-7]].*)
+            _LT_TAGVAR(archive_cmds, $1)='$LD -Bshareable -o $lib $libobjs $deplibs $linker_flags'
+            _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-R$libdir'
+            ;;
+          *)
+            _LT_TAGVAR(archive_cmds, $1)='$CC -shared $pic_flag -o $lib $libobjs $deplibs $compiler_flags'
+            _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath,$libdir'
+            ;;
+         esac
+       fi
+      else
+       _LT_TAGVAR(ld_shlibs, $1)=no
+      fi
+      ;;
+
+    os2*)
+      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-L$libdir'
+      _LT_TAGVAR(hardcode_minus_L, $1)=yes
+      _LT_TAGVAR(allow_undefined_flag, $1)=unsupported
+      _LT_TAGVAR(archive_cmds, $1)='$ECHO "LIBRARY $libname INITINSTANCE" > $output_objdir/$libname.def~$ECHO "DESCRIPTION \"$libname\"" >> $output_objdir/$libname.def~$ECHO DATA >> $output_objdir/$libname.def~$ECHO " SINGLE NONSHARED" >> $output_objdir/$libname.def~$ECHO EXPORTS >> $output_objdir/$libname.def~emxexp $libobjs >> $output_objdir/$libname.def~$CC -Zdll -Zcrtdll -o $lib $libobjs $deplibs $compiler_flags $output_objdir/$libname.def'
+      _LT_TAGVAR(old_archive_from_new_cmds, $1)='emximp -o $output_objdir/$libname.a $output_objdir/$libname.def'
+      ;;
+
+    osf3*)
+      if test "$GCC" = yes; then
+       _LT_TAGVAR(allow_undefined_flag, $1)=' ${wl}-expect_unresolved ${wl}\*'
+       _LT_TAGVAR(archive_cmds, $1)='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && $ECHO "X${wl}-set_version ${wl}$verstring" | $Xsed` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
+      else
+       _LT_TAGVAR(allow_undefined_flag, $1)=' -expect_unresolved \*'
+       _LT_TAGVAR(archive_cmds, $1)='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags -soname $soname `test -n "$verstring" && $ECHO "X-set_version $verstring" | $Xsed` -update_registry ${output_objdir}/so_locations -o $lib'
+      fi
+      _LT_TAGVAR(archive_cmds_need_lc, $1)='no'
+      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath ${wl}$libdir'
+      _LT_TAGVAR(hardcode_libdir_separator, $1)=:
+      ;;
+
+    osf4* | osf5*)     # as osf3* with the addition of -msym flag
+      if test "$GCC" = yes; then
+       _LT_TAGVAR(allow_undefined_flag, $1)=' ${wl}-expect_unresolved ${wl}\*'
+       _LT_TAGVAR(archive_cmds, $1)='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags ${wl}-msym ${wl}-soname ${wl}$soname `test -n "$verstring" && $ECHO "X${wl}-set_version ${wl}$verstring" | $Xsed` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
+       _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath ${wl}$libdir'
+      else
+       _LT_TAGVAR(allow_undefined_flag, $1)=' -expect_unresolved \*'
+       _LT_TAGVAR(archive_cmds, $1)='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags -msym -soname $soname `test -n "$verstring" && $ECHO "X-set_version $verstring" | $Xsed` -update_registry ${output_objdir}/so_locations -o $lib'
+       _LT_TAGVAR(archive_expsym_cmds, $1)='for i in `cat $export_symbols`; do printf "%s %s\\n" -exported_symbol "\$i" >> $lib.exp; done; printf "%s\\n" "-hidden">> $lib.exp~
+       $CC -shared${allow_undefined_flag} ${wl}-input ${wl}$lib.exp $compiler_flags $libobjs $deplibs -soname $soname `test -n "$verstring" && $ECHO "X-set_version $verstring" | $Xsed` -update_registry ${output_objdir}/so_locations -o $lib~$RM $lib.exp'
+
+       # Both c and cxx compiler support -rpath directly
+       _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-rpath $libdir'
+      fi
+      _LT_TAGVAR(archive_cmds_need_lc, $1)='no'
+      _LT_TAGVAR(hardcode_libdir_separator, $1)=:
+      ;;
+
+    solaris*)
+      _LT_TAGVAR(no_undefined_flag, $1)=' -z defs'
+      if test "$GCC" = yes; then
+       wlarc='${wl}'
+       _LT_TAGVAR(archive_cmds, $1)='$CC -shared ${wl}-z ${wl}text ${wl}-h ${wl}$soname -o $lib $libobjs $deplibs $compiler_flags'
+       _LT_TAGVAR(archive_expsym_cmds, $1)='echo "{ global:" > $lib.exp~cat $export_symbols | $SED -e "s/\(.*\)/\1;/" >> $lib.exp~echo "local: *; };" >> $lib.exp~
+         $CC -shared ${wl}-z ${wl}text ${wl}-M ${wl}$lib.exp ${wl}-h ${wl}$soname -o $lib $libobjs $deplibs $compiler_flags~$RM $lib.exp'
+      else
+       case `$CC -V 2>&1` in
+       *"Compilers 5.0"*)
+         wlarc=''
+         _LT_TAGVAR(archive_cmds, $1)='$LD -G${allow_undefined_flag} -h $soname -o $lib $libobjs $deplibs $linker_flags'
+         _LT_TAGVAR(archive_expsym_cmds, $1)='echo "{ global:" > $lib.exp~cat $export_symbols | $SED -e "s/\(.*\)/\1;/" >> $lib.exp~echo "local: *; };" >> $lib.exp~
+         $LD -G${allow_undefined_flag} -M $lib.exp -h $soname -o $lib $libobjs $deplibs $linker_flags~$RM $lib.exp'
+         ;;
+       *)
+         wlarc='${wl}'
+         _LT_TAGVAR(archive_cmds, $1)='$CC -G${allow_undefined_flag} -h $soname -o $lib $libobjs $deplibs $compiler_flags'
+         _LT_TAGVAR(archive_expsym_cmds, $1)='echo "{ global:" > $lib.exp~cat $export_symbols | $SED -e "s/\(.*\)/\1;/" >> $lib.exp~echo "local: *; };" >> $lib.exp~
+         $CC -G${allow_undefined_flag} -M $lib.exp -h $soname -o $lib $libobjs $deplibs $compiler_flags~$RM $lib.exp'
+         ;;
+       esac
+      fi
+      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-R$libdir'
+      _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+      case $host_os in
+      solaris2.[[0-5]] | solaris2.[[0-5]].*) ;;
+      *)
+       # The compiler driver will combine and reorder linker options,
+       # but understands `-z linker_flag'.  GCC discards it without `$wl',
+       # but is careful enough not to reorder.
+       # Supported since Solaris 2.6 (maybe 2.5.1?)
+       if test "$GCC" = yes; then
+         _LT_TAGVAR(whole_archive_flag_spec, $1)='${wl}-z ${wl}allextract$convenience ${wl}-z ${wl}defaultextract'
+       else
+         _LT_TAGVAR(whole_archive_flag_spec, $1)='-z allextract$convenience -z defaultextract'
+       fi
+       ;;
+      esac
+      _LT_TAGVAR(link_all_deplibs, $1)=yes
+      ;;
+
+    sunos4*)
+      if test "x$host_vendor" = xsequent; then
+       # Use $CC to link under sequent, because it throws in some extra .o
+       # files that make .init and .fini sections work.
+       _LT_TAGVAR(archive_cmds, $1)='$CC -G ${wl}-h $soname -o $lib $libobjs $deplibs $compiler_flags'
+      else
+       _LT_TAGVAR(archive_cmds, $1)='$LD -assert pure-text -Bstatic -o $lib $libobjs $deplibs $linker_flags'
+      fi
+      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-L$libdir'
+      _LT_TAGVAR(hardcode_direct, $1)=yes
+      _LT_TAGVAR(hardcode_minus_L, $1)=yes
+      _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+      ;;
+
+    sysv4)
+      case $host_vendor in
+       sni)
+         _LT_TAGVAR(archive_cmds, $1)='$LD -G -h $soname -o $lib $libobjs $deplibs $linker_flags'
+         _LT_TAGVAR(hardcode_direct, $1)=yes # is this really true???
+       ;;
+       siemens)
+         ## LD is ld it makes a PLAMLIB
+         ## CC just makes a GrossModule.
+         _LT_TAGVAR(archive_cmds, $1)='$LD -G -o $lib $libobjs $deplibs $linker_flags'
+         _LT_TAGVAR(reload_cmds, $1)='$CC -r -o $output$reload_objs'
+         _LT_TAGVAR(hardcode_direct, $1)=no
+        ;;
+       motorola)
+         _LT_TAGVAR(archive_cmds, $1)='$LD -G -h $soname -o $lib $libobjs $deplibs $linker_flags'
+         _LT_TAGVAR(hardcode_direct, $1)=no #Motorola manual says yes, but my tests say they lie
+       ;;
+      esac
+      runpath_var='LD_RUN_PATH'
+      _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+      ;;
+
+    sysv4.3*)
+      _LT_TAGVAR(archive_cmds, $1)='$LD -G -h $soname -o $lib $libobjs $deplibs $linker_flags'
+      _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+      _LT_TAGVAR(export_dynamic_flag_spec, $1)='-Bexport'
+      ;;
+
+    sysv4*MP*)
+      if test -d /usr/nec; then
+       _LT_TAGVAR(archive_cmds, $1)='$LD -G -h $soname -o $lib $libobjs $deplibs $linker_flags'
+       _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+       runpath_var=LD_RUN_PATH
+       hardcode_runpath_var=yes
+       _LT_TAGVAR(ld_shlibs, $1)=yes
+      fi
+      ;;
+
+    sysv4*uw2* | sysv5OpenUNIX* | sysv5UnixWare7.[[01]].[[10]]* | unixware7* | sco3.2v5.0.[[024]]*)
+      _LT_TAGVAR(no_undefined_flag, $1)='${wl}-z,text'
+      _LT_TAGVAR(archive_cmds_need_lc, $1)=no
+      _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+      runpath_var='LD_RUN_PATH'
+
+      if test "$GCC" = yes; then
+       _LT_TAGVAR(archive_cmds, $1)='$CC -shared ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+       _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -shared ${wl}-Bexport:$export_symbols ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+      else
+       _LT_TAGVAR(archive_cmds, $1)='$CC -G ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+       _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -G ${wl}-Bexport:$export_symbols ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+      fi
+      ;;
+
+    sysv5* | sco3.2v5* | sco5v6*)
+      # Note: We can NOT use -z defs as we might desire, because we do not
+      # link with -lc, and that would cause any symbols used from libc to
+      # always be unresolved, which means just about no library would
+      # ever link correctly.  If we're not using GNU ld we use -z text
+      # though, which does catch some bad symbols but isn't as heavy-handed
+      # as -z defs.
+      _LT_TAGVAR(no_undefined_flag, $1)='${wl}-z,text'
+      _LT_TAGVAR(allow_undefined_flag, $1)='${wl}-z,nodefs'
+      _LT_TAGVAR(archive_cmds_need_lc, $1)=no
+      _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-R,$libdir'
+      _LT_TAGVAR(hardcode_libdir_separator, $1)=':'
+      _LT_TAGVAR(link_all_deplibs, $1)=yes
+      _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}-Bexport'
+      runpath_var='LD_RUN_PATH'
+
+      if test "$GCC" = yes; then
+       _LT_TAGVAR(archive_cmds, $1)='$CC -shared ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+       _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -shared ${wl}-Bexport:$export_symbols ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+      else
+       _LT_TAGVAR(archive_cmds, $1)='$CC -G ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+       _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -G ${wl}-Bexport:$export_symbols ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+      fi
+      ;;
+
+    uts4*)
+      _LT_TAGVAR(archive_cmds, $1)='$LD -G -h $soname -o $lib $libobjs $deplibs $linker_flags'
+      _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-L$libdir'
+      _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+      ;;
+
+    *)
+      _LT_TAGVAR(ld_shlibs, $1)=no
+      ;;
+    esac
+
+    if test x$host_vendor = xsni; then
+      case $host in
+      sysv4 | sysv4.2uw2* | sysv4.3* | sysv5*)
+       _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}-Blargedynsym'
+       ;;
+      esac
+    fi
+  fi
+])
+AC_MSG_RESULT([$_LT_TAGVAR(ld_shlibs, $1)])
+test "$_LT_TAGVAR(ld_shlibs, $1)" = no && can_build_shared=no
+
+_LT_TAGVAR(with_gnu_ld, $1)=$with_gnu_ld
+
+_LT_DECL([], [libext], [0], [Old archive suffix (normally "a")])dnl
+_LT_DECL([], [shrext_cmds], [1], [Shared library suffix (normally ".so")])dnl
+_LT_DECL([], [extract_expsyms_cmds], [2],
+    [The commands to extract the exported symbol list from a shared archive])
+
+#
+# Do we need to explicitly link libc?
+#
+case "x$_LT_TAGVAR(archive_cmds_need_lc, $1)" in
+x|xyes)
+  # Assume -lc should be added
+  _LT_TAGVAR(archive_cmds_need_lc, $1)=yes
+
+  if test "$enable_shared" = yes && test "$GCC" = yes; then
+    case $_LT_TAGVAR(archive_cmds, $1) in
+    *'~'*)
+      # FIXME: we may have to deal with multi-command sequences.
+      ;;
+    '$CC '*)
+      # Test whether the compiler implicitly links with -lc since on some
+      # systems, -lgcc has to come before -lc. If gcc already passes -lc
+      # to ld, don't add -lc before -lgcc.
+      AC_MSG_CHECKING([whether -lc should be explicitly linked in])
+      $RM conftest*
+      echo "$lt_simple_compile_test_code" > conftest.$ac_ext
+
+      if AC_TRY_EVAL(ac_compile) 2>conftest.err; then
+        soname=conftest
+        lib=conftest
+        libobjs=conftest.$ac_objext
+        deplibs=
+        wl=$_LT_TAGVAR(lt_prog_compiler_wl, $1)
+       pic_flag=$_LT_TAGVAR(lt_prog_compiler_pic, $1)
+        compiler_flags=-v
+        linker_flags=-v
+        verstring=
+        output_objdir=.
+        libname=conftest
+        lt_save_allow_undefined_flag=$_LT_TAGVAR(allow_undefined_flag, $1)
+        _LT_TAGVAR(allow_undefined_flag, $1)=
+        if AC_TRY_EVAL(_LT_TAGVAR(archive_cmds, $1) 2\>\&1 \| $GREP \" -lc \" \>/dev/null 2\>\&1)
+        then
+         _LT_TAGVAR(archive_cmds_need_lc, $1)=no
+        else
+         _LT_TAGVAR(archive_cmds_need_lc, $1)=yes
+        fi
+        _LT_TAGVAR(allow_undefined_flag, $1)=$lt_save_allow_undefined_flag
+      else
+        cat conftest.err 1>&5
+      fi
+      $RM conftest*
+      AC_MSG_RESULT([$_LT_TAGVAR(archive_cmds_need_lc, $1)])
+      ;;
+    esac
+  fi
+  ;;
+esac
+
+_LT_TAGDECL([build_libtool_need_lc], [archive_cmds_need_lc], [0],
+    [Whether or not to add -lc for building shared libraries])
+_LT_TAGDECL([allow_libtool_libs_with_static_runtimes],
+    [enable_shared_with_static_runtimes], [0],
+    [Whether or not to disallow shared libs when runtime libs are static])
+_LT_TAGDECL([], [export_dynamic_flag_spec], [1],
+    [Compiler flag to allow reflexive dlopens])
+_LT_TAGDECL([], [whole_archive_flag_spec], [1],
+    [Compiler flag to generate shared objects directly from archives])
+_LT_TAGDECL([], [compiler_needs_object], [1],
+    [Whether the compiler copes with passing no objects directly])
+_LT_TAGDECL([], [old_archive_from_new_cmds], [2],
+    [Create an old-style archive from a shared archive])
+_LT_TAGDECL([], [old_archive_from_expsyms_cmds], [2],
+    [Create a temporary old-style archive to link instead of a shared archive])
+_LT_TAGDECL([], [archive_cmds], [2], [Commands used to build a shared archive])
+_LT_TAGDECL([], [archive_expsym_cmds], [2])
+_LT_TAGDECL([], [module_cmds], [2],
+    [Commands used to build a loadable module if different from building
+    a shared archive.])
+_LT_TAGDECL([], [module_expsym_cmds], [2])
+_LT_TAGDECL([], [with_gnu_ld], [1],
+    [Whether we are building with GNU ld or not])
+_LT_TAGDECL([], [allow_undefined_flag], [1],
+    [Flag that allows shared libraries with undefined symbols to be built])
+_LT_TAGDECL([], [no_undefined_flag], [1],
+    [Flag that enforces no undefined symbols])
+_LT_TAGDECL([], [hardcode_libdir_flag_spec], [1],
+    [Flag to hardcode $libdir into a binary during linking.
+    This must work even if $libdir does not exist])
+_LT_TAGDECL([], [hardcode_libdir_flag_spec_ld], [1],
+    [[If ld is used when linking, flag to hardcode $libdir into a binary
+    during linking.  This must work even if $libdir does not exist]])
+_LT_TAGDECL([], [hardcode_libdir_separator], [1],
+    [Whether we need a single "-rpath" flag with a separated argument])
+_LT_TAGDECL([], [hardcode_direct], [0],
+    [Set to "yes" if using DIR/libNAME${shared_ext} during linking hardcodes
+    DIR into the resulting binary])
+_LT_TAGDECL([], [hardcode_direct_absolute], [0],
+    [Set to "yes" if using DIR/libNAME${shared_ext} during linking hardcodes
+    DIR into the resulting binary and the resulting library dependency is
+    "absolute", i.e impossible to change by setting ${shlibpath_var} if the
+    library is relocated])
+_LT_TAGDECL([], [hardcode_minus_L], [0],
+    [Set to "yes" if using the -LDIR flag during linking hardcodes DIR
+    into the resulting binary])
+_LT_TAGDECL([], [hardcode_shlibpath_var], [0],
+    [Set to "yes" if using SHLIBPATH_VAR=DIR during linking hardcodes DIR
+    into the resulting binary])
+_LT_TAGDECL([], [hardcode_automatic], [0],
+    [Set to "yes" if building a shared library automatically hardcodes DIR
+    into the library and all subsequent libraries and executables linked
+    against it])
+_LT_TAGDECL([], [inherit_rpath], [0],
+    [Set to yes if linker adds runtime paths of dependent libraries
+    to runtime path list])
+_LT_TAGDECL([], [link_all_deplibs], [0],
+    [Whether libtool must link a program against all its dependency libraries])
+_LT_TAGDECL([], [fix_srcfile_path], [1],
+    [Fix the shell variable $srcfile for the compiler])
+_LT_TAGDECL([], [always_export_symbols], [0],
+    [Set to "yes" if exported symbols are required])
+_LT_TAGDECL([], [export_symbols_cmds], [2],
+    [The commands to list exported symbols])
+_LT_TAGDECL([], [exclude_expsyms], [1],
+    [Symbols that should not be listed in the preloaded symbols])
+_LT_TAGDECL([], [include_expsyms], [1],
+    [Symbols that must always be exported])
+_LT_TAGDECL([], [prelink_cmds], [2],
+    [Commands necessary for linking programs (against libraries) with templates])
+_LT_TAGDECL([], [file_list_spec], [1],
+    [Specify filename containing input files])
+dnl FIXME: Not yet implemented
+dnl _LT_TAGDECL([], [thread_safe_flag_spec], [1],
+dnl    [Compiler flag to generate thread safe objects])
+])# _LT_LINKER_SHLIBS
+
+
+# _LT_LANG_C_CONFIG([TAG])
+# ------------------------
+# Ensure that the configuration variables for a C compiler are suitably
+# defined.  These variables are subsequently used by _LT_CONFIG to write
+# the compiler configuration to `libtool'.
+m4_defun([_LT_LANG_C_CONFIG],
+[m4_require([_LT_DECL_EGREP])dnl
+lt_save_CC="$CC"
+AC_LANG_PUSH(C)
+
+# Source file extension for C test sources.
+ac_ext=c
+
+# Object file extension for compiled C test sources.
+objext=o
+_LT_TAGVAR(objext, $1)=$objext
+
+# Code to be used in simple compile tests
+lt_simple_compile_test_code="int some_variable = 0;"
+
+# Code to be used in simple link tests
+lt_simple_link_test_code='int main(){return(0);}'
+
+_LT_TAG_COMPILER
+# Save the default compiler, since it gets overwritten when the other
+# tags are being tested, and _LT_TAGVAR(compiler, []) is a NOP.
+compiler_DEFAULT=$CC
+
+# save warnings/boilerplate of simple test code
+_LT_COMPILER_BOILERPLATE
+_LT_LINKER_BOILERPLATE
+
+## CAVEAT EMPTOR:
+## There is no encapsulation within the following macros, do not change
+## the running order or otherwise move them around unless you know exactly
+## what you are doing...
+if test -n "$compiler"; then
+  _LT_COMPILER_NO_RTTI($1)
+  _LT_COMPILER_PIC($1)
+  _LT_COMPILER_C_O($1)
+  _LT_COMPILER_FILE_LOCKS($1)
+  _LT_LINKER_SHLIBS($1)
+  _LT_SYS_DYNAMIC_LINKER($1)
+  _LT_LINKER_HARDCODE_LIBPATH($1)
+  LT_SYS_DLOPEN_SELF
+  _LT_CMD_STRIPLIB
+
+  # Report which library types will actually be built
+  AC_MSG_CHECKING([if libtool supports shared libraries])
+  AC_MSG_RESULT([$can_build_shared])
+
+  AC_MSG_CHECKING([whether to build shared libraries])
+  test "$can_build_shared" = "no" && enable_shared=no
+
+  # On AIX, shared libraries and static libraries use the same namespace, and
+  # are all built from PIC.
+  case $host_os in
+  aix3*)
+    test "$enable_shared" = yes && enable_static=no
+    if test -n "$RANLIB"; then
+      archive_cmds="$archive_cmds~\$RANLIB \$lib"
+      postinstall_cmds='$RANLIB $lib'
+    fi
+    ;;
+
+  aix[[4-9]]*)
+    if test "$host_cpu" != ia64 && test "$aix_use_runtimelinking" = no ; then
+      test "$enable_shared" = yes && enable_static=no
+    fi
+    ;;
+  esac
+  AC_MSG_RESULT([$enable_shared])
+
+  AC_MSG_CHECKING([whether to build static libraries])
+  # Make sure either enable_shared or enable_static is yes.
+  test "$enable_shared" = yes || enable_static=yes
+  AC_MSG_RESULT([$enable_static])
+
+  _LT_CONFIG($1)
+fi
+AC_LANG_POP
+CC="$lt_save_CC"
+])# _LT_LANG_C_CONFIG
+
+
+# _LT_PROG_CXX
+# ------------
+# Since AC_PROG_CXX is broken, in that it returns g++ if there is no c++
+# compiler, we have our own version here.
+m4_defun([_LT_PROG_CXX],
+[
+pushdef([AC_MSG_ERROR], [_lt_caught_CXX_error=yes])
+AC_PROG_CXX
+if test -n "$CXX" && ( test "X$CXX" != "Xno" &&
+    ( (test "X$CXX" = "Xg++" && `g++ -v >/dev/null 2>&1` ) ||
+    (test "X$CXX" != "Xg++"))) ; then
+  AC_PROG_CXXCPP
+else
+  _lt_caught_CXX_error=yes
+fi
+popdef([AC_MSG_ERROR])
+])# _LT_PROG_CXX
+
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([_LT_PROG_CXX], [])
+
+
+# _LT_LANG_CXX_CONFIG([TAG])
+# --------------------------
+# Ensure that the configuration variables for a C++ compiler are suitably
+# defined.  These variables are subsequently used by _LT_CONFIG to write
+# the compiler configuration to `libtool'.
+m4_defun([_LT_LANG_CXX_CONFIG],
+[AC_REQUIRE([_LT_PROG_CXX])dnl
+m4_require([_LT_FILEUTILS_DEFAULTS])dnl
+m4_require([_LT_DECL_EGREP])dnl
+
+AC_LANG_PUSH(C++)
+_LT_TAGVAR(archive_cmds_need_lc, $1)=no
+_LT_TAGVAR(allow_undefined_flag, $1)=
+_LT_TAGVAR(always_export_symbols, $1)=no
+_LT_TAGVAR(archive_expsym_cmds, $1)=
+_LT_TAGVAR(compiler_needs_object, $1)=no
+_LT_TAGVAR(export_dynamic_flag_spec, $1)=
+_LT_TAGVAR(hardcode_direct, $1)=no
+_LT_TAGVAR(hardcode_direct_absolute, $1)=no
+_LT_TAGVAR(hardcode_libdir_flag_spec, $1)=
+_LT_TAGVAR(hardcode_libdir_flag_spec_ld, $1)=
+_LT_TAGVAR(hardcode_libdir_separator, $1)=
+_LT_TAGVAR(hardcode_minus_L, $1)=no
+_LT_TAGVAR(hardcode_shlibpath_var, $1)=unsupported
+_LT_TAGVAR(hardcode_automatic, $1)=no
+_LT_TAGVAR(inherit_rpath, $1)=no
+_LT_TAGVAR(module_cmds, $1)=
+_LT_TAGVAR(module_expsym_cmds, $1)=
+_LT_TAGVAR(link_all_deplibs, $1)=unknown
+_LT_TAGVAR(old_archive_cmds, $1)=$old_archive_cmds
+_LT_TAGVAR(no_undefined_flag, $1)=
+_LT_TAGVAR(whole_archive_flag_spec, $1)=
+_LT_TAGVAR(enable_shared_with_static_runtimes, $1)=no
+
+# Source file extension for C++ test sources.
+ac_ext=cpp
+
+# Object file extension for compiled C++ test sources.
+objext=o
+_LT_TAGVAR(objext, $1)=$objext
+
+# No sense in running all these tests if we already determined that
+# the CXX compiler isn't working.  Some variables (like enable_shared)
+# are currently assumed to apply to all compilers on this platform,
+# and will be corrupted by setting them based on a non-working compiler.
+if test "$_lt_caught_CXX_error" != yes; then
+  # Code to be used in simple compile tests
+  lt_simple_compile_test_code="int some_variable = 0;"
+
+  # Code to be used in simple link tests
+  lt_simple_link_test_code='int main(int, char *[[]]) { return(0); }'
+
+  # ltmain only uses $CC for tagged configurations so make sure $CC is set.
+  _LT_TAG_COMPILER
+
+  # save warnings/boilerplate of simple test code
+  _LT_COMPILER_BOILERPLATE
+  _LT_LINKER_BOILERPLATE
+
+  # Allow CC to be a program name with arguments.
+  lt_save_CC=$CC
+  lt_save_LD=$LD
+  lt_save_GCC=$GCC
+  GCC=$GXX
+  lt_save_with_gnu_ld=$with_gnu_ld
+  lt_save_path_LD=$lt_cv_path_LD
+  if test -n "${lt_cv_prog_gnu_ldcxx+set}"; then
+    lt_cv_prog_gnu_ld=$lt_cv_prog_gnu_ldcxx
+  else
+    $as_unset lt_cv_prog_gnu_ld
+  fi
+  if test -n "${lt_cv_path_LDCXX+set}"; then
+    lt_cv_path_LD=$lt_cv_path_LDCXX
+  else
+    $as_unset lt_cv_path_LD
+  fi
+  test -z "${LDCXX+set}" || LD=$LDCXX
+  CC=${CXX-"c++"}
+  compiler=$CC
+  _LT_TAGVAR(compiler, $1)=$CC
+  _LT_CC_BASENAME([$compiler])
+
+  if test -n "$compiler"; then
+    # We don't want -fno-exception when compiling C++ code, so set the
+    # no_builtin_flag separately
+    if test "$GXX" = yes; then
+      _LT_TAGVAR(lt_prog_compiler_no_builtin_flag, $1)=' -fno-builtin'
+    else
+      _LT_TAGVAR(lt_prog_compiler_no_builtin_flag, $1)=
+    fi
+
+    if test "$GXX" = yes; then
+      # Set up default GNU C++ configuration
+
+      LT_PATH_LD
+
+      # Check if GNU C++ uses GNU ld as the underlying linker, since the
+      # archiving commands below assume that GNU ld is being used.
+      if test "$with_gnu_ld" = yes; then
+        _LT_TAGVAR(archive_cmds, $1)='$CC -shared -nostdlib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname $wl$soname -o $lib'
+        _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -shared -nostdlib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname $wl$soname ${wl}-retain-symbols-file $wl$export_symbols -o $lib'
+
+        _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath ${wl}$libdir'
+        _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}--export-dynamic'
+
+        # If archive_cmds runs LD, not CC, wlarc should be empty
+        # XXX I think wlarc can be eliminated in ltcf-cxx, but I need to
+        #     investigate it a little bit more. (MM)
+        wlarc='${wl}'
+
+        # ancient GNU ld didn't support --whole-archive et. al.
+        if eval "`$CC -print-prog-name=ld` --help 2>&1" |
+         $GREP 'no-whole-archive' > /dev/null; then
+          _LT_TAGVAR(whole_archive_flag_spec, $1)="$wlarc"'--whole-archive$convenience '"$wlarc"'--no-whole-archive'
+        else
+          _LT_TAGVAR(whole_archive_flag_spec, $1)=
+        fi
+      else
+        with_gnu_ld=no
+        wlarc=
+
+        # A generic and very simple default shared library creation
+        # command for GNU C++ for the case where it uses the native
+        # linker, instead of GNU ld.  If possible, this setting should
+        # overridden to take advantage of the native linker features on
+        # the platform it is being used on.
+        _LT_TAGVAR(archive_cmds, $1)='$CC -shared -nostdlib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags -o $lib'
+      fi
+
+      # Commands to make compiler produce verbose output that lists
+      # what "hidden" libraries, object files and flags are used when
+      # linking a shared library.
+      output_verbose_link_cmd='$CC -shared $CFLAGS -v conftest.$objext 2>&1 | $GREP "\-L"'
+
+    else
+      GXX=no
+      with_gnu_ld=no
+      wlarc=
+    fi
+
+    # PORTME: fill in a description of your system's C++ link characteristics
+    AC_MSG_CHECKING([whether the $compiler linker ($LD) supports shared libraries])
+    _LT_TAGVAR(ld_shlibs, $1)=yes
+    case $host_os in
+      aix3*)
+        # FIXME: insert proper C++ library support
+        _LT_TAGVAR(ld_shlibs, $1)=no
+        ;;
+      aix[[4-9]]*)
+        if test "$host_cpu" = ia64; then
+          # On IA64, the linker does run time linking by default, so we don't
+          # have to do anything special.
+          aix_use_runtimelinking=no
+          exp_sym_flag='-Bexport'
+          no_entry_flag=""
+        else
+          aix_use_runtimelinking=no
+
+          # Test if we are trying to use run time linking or normal
+          # AIX style linking. If -brtl is somewhere in LDFLAGS, we
+          # need to do runtime linking.
+          case $host_os in aix4.[[23]]|aix4.[[23]].*|aix[[5-9]]*)
+           for ld_flag in $LDFLAGS; do
+             case $ld_flag in
+             *-brtl*)
+               aix_use_runtimelinking=yes
+               break
+               ;;
+             esac
+           done
+           ;;
+          esac
+
+          exp_sym_flag='-bexport'
+          no_entry_flag='-bnoentry'
+        fi
+
+        # When large executables or shared objects are built, AIX ld can
+        # have problems creating the table of contents.  If linking a library
+        # or program results in "error TOC overflow" add -mminimal-toc to
+        # CXXFLAGS/CFLAGS for g++/gcc.  In the cases where that is not
+        # enough to fix the problem, add -Wl,-bbigtoc to LDFLAGS.
+
+        _LT_TAGVAR(archive_cmds, $1)=''
+        _LT_TAGVAR(hardcode_direct, $1)=yes
+        _LT_TAGVAR(hardcode_direct_absolute, $1)=yes
+        _LT_TAGVAR(hardcode_libdir_separator, $1)=':'
+        _LT_TAGVAR(link_all_deplibs, $1)=yes
+        _LT_TAGVAR(file_list_spec, $1)='${wl}-f,'
+
+        if test "$GXX" = yes; then
+          case $host_os in aix4.[[012]]|aix4.[[012]].*)
+          # We only want to do this on AIX 4.2 and lower, the check
+          # below for broken collect2 doesn't work under 4.3+
+         collect2name=`${CC} -print-prog-name=collect2`
+         if test -f "$collect2name" &&
+            strings "$collect2name" | $GREP resolve_lib_name >/dev/null
+         then
+           # We have reworked collect2
+           :
+         else
+           # We have old collect2
+           _LT_TAGVAR(hardcode_direct, $1)=unsupported
+           # It fails to find uninstalled libraries when the uninstalled
+           # path is not listed in the libpath.  Setting hardcode_minus_L
+           # to unsupported forces relinking
+           _LT_TAGVAR(hardcode_minus_L, $1)=yes
+           _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-L$libdir'
+           _LT_TAGVAR(hardcode_libdir_separator, $1)=
+         fi
+          esac
+          shared_flag='-shared'
+         if test "$aix_use_runtimelinking" = yes; then
+           shared_flag="$shared_flag "'${wl}-G'
+         fi
+        else
+          # not using gcc
+          if test "$host_cpu" = ia64; then
+         # VisualAge C++, Version 5.5 for AIX 5L for IA-64, Beta 3 Release
+         # chokes on -Wl,-G. The following line is correct:
+         shared_flag='-G'
+          else
+           if test "$aix_use_runtimelinking" = yes; then
+             shared_flag='${wl}-G'
+           else
+             shared_flag='${wl}-bM:SRE'
+           fi
+          fi
+        fi
+
+        _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}-bexpall'
+        # It seems that -bexpall does not export symbols beginning with
+        # underscore (_), so it is better to generate a list of symbols to
+       # export.
+        _LT_TAGVAR(always_export_symbols, $1)=yes
+        if test "$aix_use_runtimelinking" = yes; then
+          # Warning - without using the other runtime loading flags (-brtl),
+          # -berok will link without error, but may produce a broken library.
+          _LT_TAGVAR(allow_undefined_flag, $1)='-berok'
+          # Determine the default libpath from the value encoded in an empty
+          # executable.
+          _LT_SYS_MODULE_PATH_AIX
+          _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-blibpath:$libdir:'"$aix_libpath"
+
+          _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -o $output_objdir/$soname $libobjs $deplibs '"\${wl}$no_entry_flag"' $compiler_flags `if test "x${allow_undefined_flag}" != "x"; then $ECHO "X${wl}${allow_undefined_flag}" | $Xsed; else :; fi` '"\${wl}$exp_sym_flag:\$export_symbols $shared_flag"
+        else
+          if test "$host_cpu" = ia64; then
+           _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-R $libdir:/usr/lib:/lib'
+           _LT_TAGVAR(allow_undefined_flag, $1)="-z nodefs"
+           _LT_TAGVAR(archive_expsym_cmds, $1)="\$CC $shared_flag"' -o $output_objdir/$soname $libobjs $deplibs '"\${wl}$no_entry_flag"' $compiler_flags ${wl}${allow_undefined_flag} '"\${wl}$exp_sym_flag:\$export_symbols"
+          else
+           # Determine the default libpath from the value encoded in an
+           # empty executable.
+           _LT_SYS_MODULE_PATH_AIX
+           _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-blibpath:$libdir:'"$aix_libpath"
+           # Warning - without using the other run time loading flags,
+           # -berok will link without error, but may produce a broken library.
+           _LT_TAGVAR(no_undefined_flag, $1)=' ${wl}-bernotok'
+           _LT_TAGVAR(allow_undefined_flag, $1)=' ${wl}-berok'
+           # Exported symbols can be pulled into shared objects from archives
+           _LT_TAGVAR(whole_archive_flag_spec, $1)='$convenience'
+           _LT_TAGVAR(archive_cmds_need_lc, $1)=yes
+           # This is similar to how AIX traditionally builds its shared
+           # libraries.
+           _LT_TAGVAR(archive_expsym_cmds, $1)="\$CC $shared_flag"' -o $output_objdir/$soname $libobjs $deplibs ${wl}-bnoentry $compiler_flags ${wl}-bE:$export_symbols${allow_undefined_flag}~$AR $AR_FLAGS $output_objdir/$libname$release.a $output_objdir/$soname'
+          fi
+        fi
+        ;;
+
+      beos*)
+       if $LD --help 2>&1 | $GREP ': supported targets:.* elf' > /dev/null; then
+         _LT_TAGVAR(allow_undefined_flag, $1)=unsupported
+         # Joseph Beckenbach <jrb3@best.com> says some releases of gcc
+         # support --undefined.  This deserves some investigation.  FIXME
+         _LT_TAGVAR(archive_cmds, $1)='$CC -nostart $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+       else
+         _LT_TAGVAR(ld_shlibs, $1)=no
+       fi
+       ;;
+
+      chorus*)
+        case $cc_basename in
+          *)
+         # FIXME: insert proper C++ library support
+         _LT_TAGVAR(ld_shlibs, $1)=no
+         ;;
+        esac
+        ;;
+
+      cygwin* | mingw* | pw32* | cegcc*)
+        # _LT_TAGVAR(hardcode_libdir_flag_spec, $1) is actually meaningless,
+        # as there is no search path for DLLs.
+        _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-L$libdir'
+        _LT_TAGVAR(allow_undefined_flag, $1)=unsupported
+        _LT_TAGVAR(always_export_symbols, $1)=no
+        _LT_TAGVAR(enable_shared_with_static_runtimes, $1)=yes
+
+        if $LD --help 2>&1 | $GREP 'auto-import' > /dev/null; then
+          _LT_TAGVAR(archive_cmds, $1)='$CC -shared -nostdlib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags -o $output_objdir/$soname ${wl}--enable-auto-image-base -Xlinker --out-implib -Xlinker $lib'
+          # If the export-symbols file already is a .def file (1st line
+          # is EXPORTS), use it as is; otherwise, prepend...
+          _LT_TAGVAR(archive_expsym_cmds, $1)='if test "x`$SED 1q $export_symbols`" = xEXPORTS; then
+           cp $export_symbols $output_objdir/$soname.def;
+          else
+           echo EXPORTS > $output_objdir/$soname.def;
+           cat $export_symbols >> $output_objdir/$soname.def;
+          fi~
+          $CC -shared -nostdlib $output_objdir/$soname.def $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags -o $output_objdir/$soname ${wl}--enable-auto-image-base -Xlinker --out-implib -Xlinker $lib'
+        else
+          _LT_TAGVAR(ld_shlibs, $1)=no
+        fi
+        ;;
+      darwin* | rhapsody*)
+        _LT_DARWIN_LINKER_FEATURES($1)
+       ;;
+
+      dgux*)
+        case $cc_basename in
+          ec++*)
+           # FIXME: insert proper C++ library support
+           _LT_TAGVAR(ld_shlibs, $1)=no
+           ;;
+          ghcx*)
+           # Green Hills C++ Compiler
+           # FIXME: insert proper C++ library support
+           _LT_TAGVAR(ld_shlibs, $1)=no
+           ;;
+          *)
+           # FIXME: insert proper C++ library support
+           _LT_TAGVAR(ld_shlibs, $1)=no
+           ;;
+        esac
+        ;;
+
+      freebsd[[12]]*)
+        # C++ shared libraries reported to be fairly broken before
+       # switch to ELF
+        _LT_TAGVAR(ld_shlibs, $1)=no
+        ;;
+
+      freebsd-elf*)
+        _LT_TAGVAR(archive_cmds_need_lc, $1)=no
+        ;;
+
+      freebsd* | dragonfly*)
+        # FreeBSD 3 and later use GNU C++ and GNU ld with standard ELF
+        # conventions
+        _LT_TAGVAR(ld_shlibs, $1)=yes
+        ;;
+
+      gnu*)
+        ;;
+
+      hpux9*)
+        _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}+b ${wl}$libdir'
+        _LT_TAGVAR(hardcode_libdir_separator, $1)=:
+        _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}-E'
+        _LT_TAGVAR(hardcode_direct, $1)=yes
+        _LT_TAGVAR(hardcode_minus_L, $1)=yes # Not in the search PATH,
+                                            # but as the default
+                                            # location of the library.
+
+        case $cc_basename in
+          CC*)
+            # FIXME: insert proper C++ library support
+            _LT_TAGVAR(ld_shlibs, $1)=no
+            ;;
+          aCC*)
+            _LT_TAGVAR(archive_cmds, $1)='$RM $output_objdir/$soname~$CC -b ${wl}+b ${wl}$install_libdir -o $output_objdir/$soname $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags~test $output_objdir/$soname = $lib || mv $output_objdir/$soname $lib'
+            # Commands to make compiler produce verbose output that lists
+            # what "hidden" libraries, object files and flags are used when
+            # linking a shared library.
+            #
+            # There doesn't appear to be a way to prevent this compiler from
+            # explicitly linking system object files so we need to strip them
+            # from the output so that they don't get included in the library
+            # dependencies.
+            output_verbose_link_cmd='templist=`($CC -b $CFLAGS -v conftest.$objext 2>&1) | $EGREP "\-L"`; list=""; for z in $templist; do case $z in conftest.$objext) list="$list $z";; *.$objext);; *) list="$list $z";;esac; done; $ECHO "X$list" | $Xsed'
+            ;;
+          *)
+            if test "$GXX" = yes; then
+              _LT_TAGVAR(archive_cmds, $1)='$RM $output_objdir/$soname~$CC -shared -nostdlib -fPIC ${wl}+b ${wl}$install_libdir -o $output_objdir/$soname $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags~test $output_objdir/$soname = $lib || mv $output_objdir/$soname $lib'
+            else
+              # FIXME: insert proper C++ library support
+              _LT_TAGVAR(ld_shlibs, $1)=no
+            fi
+            ;;
+        esac
+        ;;
+
+      hpux10*|hpux11*)
+        if test $with_gnu_ld = no; then
+         _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}+b ${wl}$libdir'
+         _LT_TAGVAR(hardcode_libdir_separator, $1)=:
+
+          case $host_cpu in
+            hppa*64*|ia64*)
+              ;;
+            *)
+             _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}-E'
+              ;;
+          esac
+        fi
+        case $host_cpu in
+          hppa*64*|ia64*)
+            _LT_TAGVAR(hardcode_direct, $1)=no
+            _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+            ;;
+          *)
+            _LT_TAGVAR(hardcode_direct, $1)=yes
+            _LT_TAGVAR(hardcode_direct_absolute, $1)=yes
+            _LT_TAGVAR(hardcode_minus_L, $1)=yes # Not in the search PATH,
+                                                # but as the default
+                                                # location of the library.
+            ;;
+        esac
+
+        case $cc_basename in
+          CC*)
+           # FIXME: insert proper C++ library support
+           _LT_TAGVAR(ld_shlibs, $1)=no
+           ;;
+          aCC*)
+           case $host_cpu in
+             hppa*64*)
+               _LT_TAGVAR(archive_cmds, $1)='$CC -b ${wl}+h ${wl}$soname -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags'
+               ;;
+             ia64*)
+               _LT_TAGVAR(archive_cmds, $1)='$CC -b ${wl}+h ${wl}$soname ${wl}+nodefaultrpath -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags'
+               ;;
+             *)
+               _LT_TAGVAR(archive_cmds, $1)='$CC -b ${wl}+h ${wl}$soname ${wl}+b ${wl}$install_libdir -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags'
+               ;;
+           esac
+           # Commands to make compiler produce verbose output that lists
+           # what "hidden" libraries, object files and flags are used when
+           # linking a shared library.
+           #
+           # There doesn't appear to be a way to prevent this compiler from
+           # explicitly linking system object files so we need to strip them
+           # from the output so that they don't get included in the library
+           # dependencies.
+           output_verbose_link_cmd='templist=`($CC -b $CFLAGS -v conftest.$objext 2>&1) | $GREP "\-L"`; list=""; for z in $templist; do case $z in conftest.$objext) list="$list $z";; *.$objext);; *) list="$list $z";;esac; done; $ECHO "X$list" | $Xsed'
+           ;;
+          *)
+           if test "$GXX" = yes; then
+             if test $with_gnu_ld = no; then
+               case $host_cpu in
+                 hppa*64*)
+                   _LT_TAGVAR(archive_cmds, $1)='$CC -shared -nostdlib -fPIC ${wl}+h ${wl}$soname -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags'
+                   ;;
+                 ia64*)
+                   _LT_TAGVAR(archive_cmds, $1)='$CC -shared -nostdlib -fPIC ${wl}+h ${wl}$soname ${wl}+nodefaultrpath -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags'
+                   ;;
+                 *)
+                   _LT_TAGVAR(archive_cmds, $1)='$CC -shared -nostdlib -fPIC ${wl}+h ${wl}$soname ${wl}+b ${wl}$install_libdir -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags'
+                   ;;
+               esac
+             fi
+           else
+             # FIXME: insert proper C++ library support
+             _LT_TAGVAR(ld_shlibs, $1)=no
+           fi
+           ;;
+        esac
+        ;;
+
+      interix[[3-9]]*)
+       _LT_TAGVAR(hardcode_direct, $1)=no
+       _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+       _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath,$libdir'
+       _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}-E'
+       # Hack: On Interix 3.x, we cannot compile PIC because of a broken gcc.
+       # Instead, shared libraries are loaded at an image base (0x10000000 by
+       # default) and relocated if they conflict, which is a slow very memory
+       # consuming and fragmenting process.  To avoid this, we pick a random,
+       # 256 KiB-aligned image base between 0x50000000 and 0x6FFC0000 at link
+       # time.  Moving up from 0x10000000 also allows more sbrk(2) space.
+       _LT_TAGVAR(archive_cmds, $1)='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-h,$soname ${wl}--image-base,`expr ${RANDOM-$$} % 4096 / 2 \* 262144 + 1342177280` -o $lib'
+       _LT_TAGVAR(archive_expsym_cmds, $1)='sed "s,^,_," $export_symbols >$output_objdir/$soname.expsym~$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-h,$soname ${wl}--retain-symbols-file,$output_objdir/$soname.expsym ${wl}--image-base,`expr ${RANDOM-$$} % 4096 / 2 \* 262144 + 1342177280` -o $lib'
+       ;;
+      irix5* | irix6*)
+        case $cc_basename in
+          CC*)
+           # SGI C++
+           _LT_TAGVAR(archive_cmds, $1)='$CC -shared -all -multigot $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags -soname $soname `test -n "$verstring" && $ECHO "X-set_version $verstring" | $Xsed` -update_registry ${output_objdir}/so_locations -o $lib'
+
+           # Archives containing C++ object files must be created using
+           # "CC -ar", where "CC" is the IRIX C++ compiler.  This is
+           # necessary to make sure instantiated templates are included
+           # in the archive.
+           _LT_TAGVAR(old_archive_cmds, $1)='$CC -ar -WR,-u -o $oldlib $oldobjs'
+           ;;
+          *)
+           if test "$GXX" = yes; then
+             if test "$with_gnu_ld" = no; then
+               _LT_TAGVAR(archive_cmds, $1)='$CC -shared -nostdlib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && $ECHO "X${wl}-set_version ${wl}$verstring" | $Xsed` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
+             else
+               _LT_TAGVAR(archive_cmds, $1)='$CC -shared -nostdlib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && $ECHO "X${wl}-set_version ${wl}$verstring" | $Xsed` -o $lib'
+             fi
+           fi
+           _LT_TAGVAR(link_all_deplibs, $1)=yes
+           ;;
+        esac
+        _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath ${wl}$libdir'
+        _LT_TAGVAR(hardcode_libdir_separator, $1)=:
+        _LT_TAGVAR(inherit_rpath, $1)=yes
+        ;;
+
+      linux* | k*bsd*-gnu)
+        case $cc_basename in
+          KCC*)
+           # Kuck and Associates, Inc. (KAI) C++ Compiler
+
+           # KCC will only create a shared library if the output file
+           # ends with ".so" (or ".sl" for HP-UX), so rename the library
+           # to its proper name (with version) after linking.
+           _LT_TAGVAR(archive_cmds, $1)='tempext=`echo $shared_ext | $SED -e '\''s/\([[^()0-9A-Za-z{}]]\)/\\\\\1/g'\''`; templib=`echo $lib | $SED -e "s/\${tempext}\..*/.so/"`; $CC $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags --soname $soname -o \$templib; mv \$templib $lib'
+           _LT_TAGVAR(archive_expsym_cmds, $1)='tempext=`echo $shared_ext | $SED -e '\''s/\([[^()0-9A-Za-z{}]]\)/\\\\\1/g'\''`; templib=`echo $lib | $SED -e "s/\${tempext}\..*/.so/"`; $CC $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags --soname $soname -o \$templib ${wl}-retain-symbols-file,$export_symbols; mv \$templib $lib'
+           # Commands to make compiler produce verbose output that lists
+           # what "hidden" libraries, object files and flags are used when
+           # linking a shared library.
+           #
+           # There doesn't appear to be a way to prevent this compiler from
+           # explicitly linking system object files so we need to strip them
+           # from the output so that they don't get included in the library
+           # dependencies.
+           output_verbose_link_cmd='templist=`$CC $CFLAGS -v conftest.$objext -o libconftest$shared_ext 2>&1 | $GREP "ld"`; rm -f libconftest$shared_ext; list=""; for z in $templist; do case $z in conftest.$objext) list="$list $z";; *.$objext);; *) list="$list $z";;esac; done; $ECHO "X$list" | $Xsed'
+
+           _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath,$libdir'
+           _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}--export-dynamic'
+
+           # Archives containing C++ object files must be created using
+           # "CC -Bstatic", where "CC" is the KAI C++ compiler.
+           _LT_TAGVAR(old_archive_cmds, $1)='$CC -Bstatic -o $oldlib $oldobjs'
+           ;;
+         icpc* | ecpc* )
+           # Intel C++
+           with_gnu_ld=yes
+           # version 8.0 and above of icpc choke on multiply defined symbols
+           # if we add $predep_objects and $postdep_objects, however 7.1 and
+           # earlier do not add the objects themselves.
+           case `$CC -V 2>&1` in
+             *"Version 7."*)
+               _LT_TAGVAR(archive_cmds, $1)='$CC -shared $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname $wl$soname -o $lib'
+               _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -shared $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname $wl$soname ${wl}-retain-symbols-file $wl$export_symbols -o $lib'
+               ;;
+             *)  # Version 8.0 or newer
+               tmp_idyn=
+               case $host_cpu in
+                 ia64*) tmp_idyn=' -i_dynamic';;
+               esac
+               _LT_TAGVAR(archive_cmds, $1)='$CC -shared'"$tmp_idyn"' $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+               _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -shared'"$tmp_idyn"' $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname ${wl}-retain-symbols-file $wl$export_symbols -o $lib'
+               ;;
+           esac
+           _LT_TAGVAR(archive_cmds_need_lc, $1)=no
+           _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath,$libdir'
+           _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}--export-dynamic'
+           _LT_TAGVAR(whole_archive_flag_spec, $1)='${wl}--whole-archive$convenience ${wl}--no-whole-archive'
+           ;;
+          pgCC* | pgcpp*)
+            # Portland Group C++ compiler
+           case `$CC -V` in
+           *pgCC\ [[1-5]]* | *pgcpp\ [[1-5]]*)
+             _LT_TAGVAR(prelink_cmds, $1)='tpldir=Template.dir~
+               rm -rf $tpldir~
+               $CC --prelink_objects --instantiation_dir $tpldir $objs $libobjs $compile_deplibs~
+               compile_command="$compile_command `find $tpldir -name \*.o | $NL2SP`"'
+             _LT_TAGVAR(old_archive_cmds, $1)='tpldir=Template.dir~
+               rm -rf $tpldir~
+               $CC --prelink_objects --instantiation_dir $tpldir $oldobjs$old_deplibs~
+               $AR $AR_FLAGS $oldlib$oldobjs$old_deplibs `find $tpldir -name \*.o | $NL2SP`~
+               $RANLIB $oldlib'
+             _LT_TAGVAR(archive_cmds, $1)='tpldir=Template.dir~
+               rm -rf $tpldir~
+               $CC --prelink_objects --instantiation_dir $tpldir $predep_objects $libobjs $deplibs $convenience $postdep_objects~
+               $CC -shared $pic_flag $predep_objects $libobjs $deplibs `find $tpldir -name \*.o | $NL2SP` $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname -o $lib'
+             _LT_TAGVAR(archive_expsym_cmds, $1)='tpldir=Template.dir~
+               rm -rf $tpldir~
+               $CC --prelink_objects --instantiation_dir $tpldir $predep_objects $libobjs $deplibs $convenience $postdep_objects~
+               $CC -shared $pic_flag $predep_objects $libobjs $deplibs `find $tpldir -name \*.o | $NL2SP` $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname ${wl}-retain-symbols-file ${wl}$export_symbols -o $lib'
+             ;;
+           *) # Version 6 will use weak symbols
+             _LT_TAGVAR(archive_cmds, $1)='$CC -shared $pic_flag $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname -o $lib'
+             _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -shared $pic_flag $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname ${wl}-retain-symbols-file ${wl}$export_symbols -o $lib'
+             ;;
+           esac
+
+           _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}--rpath ${wl}$libdir'
+           _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}--export-dynamic'
+           _LT_TAGVAR(whole_archive_flag_spec, $1)='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; $ECHO \"$new_convenience\"` ${wl}--no-whole-archive'
+            ;;
+         cxx*)
+           # Compaq C++
+           _LT_TAGVAR(archive_cmds, $1)='$CC -shared $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname $wl$soname -o $lib'
+           _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -shared $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname $wl$soname  -o $lib ${wl}-retain-symbols-file $wl$export_symbols'
+
+           runpath_var=LD_RUN_PATH
+           _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-rpath $libdir'
+           _LT_TAGVAR(hardcode_libdir_separator, $1)=:
+
+           # Commands to make compiler produce verbose output that lists
+           # what "hidden" libraries, object files and flags are used when
+           # linking a shared library.
+           #
+           # There doesn't appear to be a way to prevent this compiler from
+           # explicitly linking system object files so we need to strip them
+           # from the output so that they don't get included in the library
+           # dependencies.
+           output_verbose_link_cmd='templist=`$CC -shared $CFLAGS -v conftest.$objext 2>&1 | $GREP "ld"`; templist=`$ECHO "X$templist" | $Xsed -e "s/\(^.*ld.*\)\( .*ld .*$\)/\1/"`; list=""; for z in $templist; do case $z in conftest.$objext) list="$list $z";; *.$objext);; *) list="$list $z";;esac; done; $ECHO "X$list" | $Xsed'
+           ;;
+         xl*)
+           # IBM XL 8.0 on PPC, with GNU ld
+           _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath ${wl}$libdir'
+           _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}--export-dynamic'
+           _LT_TAGVAR(archive_cmds, $1)='$CC -qmkshrobj $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+           if test "x$supports_anon_versioning" = xyes; then
+             _LT_TAGVAR(archive_expsym_cmds, $1)='echo "{ global:" > $output_objdir/$libname.ver~
+               cat $export_symbols | sed -e "s/\(.*\)/\1;/" >> $output_objdir/$libname.ver~
+               echo "local: *; };" >> $output_objdir/$libname.ver~
+               $CC -qmkshrobj $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname ${wl}-version-script ${wl}$output_objdir/$libname.ver -o $lib'
+           fi
+           ;;
+         *)
+           case `$CC -V 2>&1 | sed 5q` in
+           *Sun\ C*)
+             # Sun C++ 5.9
+             _LT_TAGVAR(no_undefined_flag, $1)=' -zdefs'
+             _LT_TAGVAR(archive_cmds, $1)='$CC -G${allow_undefined_flag} -h$soname -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags'
+             _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -G${allow_undefined_flag} -h$soname -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-retain-symbols-file ${wl}$export_symbols'
+             _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-R$libdir'
+             _LT_TAGVAR(whole_archive_flag_spec, $1)='${wl}--whole-archive`new_convenience=; for conv in $convenience\"\"; do test -z \"$conv\" || new_convenience=\"$new_convenience,$conv\"; done; $ECHO \"$new_convenience\"` ${wl}--no-whole-archive'
+             _LT_TAGVAR(compiler_needs_object, $1)=yes
+
+             # Not sure whether something based on
+             # $CC $CFLAGS -v conftest.$objext -o libconftest$shared_ext 2>&1
+             # would be better.
+             output_verbose_link_cmd='echo'
+
+             # Archives containing C++ object files must be created using
+             # "CC -xar", where "CC" is the Sun C++ compiler.  This is
+             # necessary to make sure instantiated templates are included
+             # in the archive.
+             _LT_TAGVAR(old_archive_cmds, $1)='$CC -xar -o $oldlib $oldobjs'
+             ;;
+           esac
+           ;;
+       esac
+       ;;
+
+      lynxos*)
+        # FIXME: insert proper C++ library support
+       _LT_TAGVAR(ld_shlibs, $1)=no
+       ;;
+
+      m88k*)
+        # FIXME: insert proper C++ library support
+        _LT_TAGVAR(ld_shlibs, $1)=no
+       ;;
+
+      mvs*)
+        case $cc_basename in
+          cxx*)
+           # FIXME: insert proper C++ library support
+           _LT_TAGVAR(ld_shlibs, $1)=no
+           ;;
+         *)
+           # FIXME: insert proper C++ library support
+           _LT_TAGVAR(ld_shlibs, $1)=no
+           ;;
+       esac
+       ;;
+
+      netbsd*)
+        if echo __ELF__ | $CC -E - | $GREP __ELF__ >/dev/null; then
+         _LT_TAGVAR(archive_cmds, $1)='$LD -Bshareable  -o $lib $predep_objects $libobjs $deplibs $postdep_objects $linker_flags'
+         wlarc=
+         _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-R$libdir'
+         _LT_TAGVAR(hardcode_direct, $1)=yes
+         _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+       fi
+       # Workaround some broken pre-1.5 toolchains
+       output_verbose_link_cmd='$CC -shared $CFLAGS -v conftest.$objext 2>&1 | $GREP conftest.$objext | $SED -e "s:-lgcc -lc -lgcc::"'
+       ;;
+
+      *nto* | *qnx*)
+        _LT_TAGVAR(ld_shlibs, $1)=yes
+       ;;
+
+      openbsd2*)
+        # C++ shared libraries are fairly broken
+       _LT_TAGVAR(ld_shlibs, $1)=no
+       ;;
+
+      openbsd*)
+       if test -f /usr/libexec/ld.so; then
+         _LT_TAGVAR(hardcode_direct, $1)=yes
+         _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+         _LT_TAGVAR(hardcode_direct_absolute, $1)=yes
+         _LT_TAGVAR(archive_cmds, $1)='$CC -shared $pic_flag $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags -o $lib'
+         _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath,$libdir'
+         if test -z "`echo __ELF__ | $CC -E - | grep __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
+           _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -shared $pic_flag $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-retain-symbols-file,$export_symbols -o $lib'
+           _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}-E'
+           _LT_TAGVAR(whole_archive_flag_spec, $1)="$wlarc"'--whole-archive$convenience '"$wlarc"'--no-whole-archive'
+         fi
+         output_verbose_link_cmd=echo
+       else
+         _LT_TAGVAR(ld_shlibs, $1)=no
+       fi
+       ;;
+
+      osf3* | osf4* | osf5*)
+        case $cc_basename in
+          KCC*)
+           # Kuck and Associates, Inc. (KAI) C++ Compiler
+
+           # KCC will only create a shared library if the output file
+           # ends with ".so" (or ".sl" for HP-UX), so rename the library
+           # to its proper name (with version) after linking.
+           _LT_TAGVAR(archive_cmds, $1)='tempext=`echo $shared_ext | $SED -e '\''s/\([[^()0-9A-Za-z{}]]\)/\\\\\1/g'\''`; templib=`echo "$lib" | $SED -e "s/\${tempext}\..*/.so/"`; $CC $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags --soname $soname -o \$templib; mv \$templib $lib'
+
+           _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath,$libdir'
+           _LT_TAGVAR(hardcode_libdir_separator, $1)=:
+
+           # Archives containing C++ object files must be created using
+           # the KAI C++ compiler.
+           case $host in
+             osf3*) _LT_TAGVAR(old_archive_cmds, $1)='$CC -Bstatic -o $oldlib $oldobjs' ;;
+             *) _LT_TAGVAR(old_archive_cmds, $1)='$CC -o $oldlib $oldobjs' ;;
+           esac
+           ;;
+          RCC*)
+           # Rational C++ 2.4.1
+           # FIXME: insert proper C++ library support
+           _LT_TAGVAR(ld_shlibs, $1)=no
+           ;;
+          cxx*)
+           case $host in
+             osf3*)
+               _LT_TAGVAR(allow_undefined_flag, $1)=' ${wl}-expect_unresolved ${wl}\*'
+               _LT_TAGVAR(archive_cmds, $1)='$CC -shared${allow_undefined_flag} $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname $soname `test -n "$verstring" && $ECHO "X${wl}-set_version $verstring" | $Xsed` -update_registry ${output_objdir}/so_locations -o $lib'
+               _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath ${wl}$libdir'
+               ;;
+             *)
+               _LT_TAGVAR(allow_undefined_flag, $1)=' -expect_unresolved \*'
+               _LT_TAGVAR(archive_cmds, $1)='$CC -shared${allow_undefined_flag} $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags -msym -soname $soname `test -n "$verstring" && $ECHO "X-set_version $verstring" | $Xsed` -update_registry ${output_objdir}/so_locations -o $lib'
+               _LT_TAGVAR(archive_expsym_cmds, $1)='for i in `cat $export_symbols`; do printf "%s %s\\n" -exported_symbol "\$i" >> $lib.exp; done~
+                 echo "-hidden">> $lib.exp~
+                 $CC -shared$allow_undefined_flag $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags -msym -soname $soname ${wl}-input ${wl}$lib.exp  `test -n "$verstring" && $ECHO "X-set_version $verstring" | $Xsed` -update_registry ${output_objdir}/so_locations -o $lib~
+                 $RM $lib.exp'
+               _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-rpath $libdir'
+               ;;
+           esac
+
+           _LT_TAGVAR(hardcode_libdir_separator, $1)=:
+
+           # Commands to make compiler produce verbose output that lists
+           # what "hidden" libraries, object files and flags are used when
+           # linking a shared library.
+           #
+           # There doesn't appear to be a way to prevent this compiler from
+           # explicitly linking system object files so we need to strip them
+           # from the output so that they don't get included in the library
+           # dependencies.
+           output_verbose_link_cmd='templist=`$CC -shared $CFLAGS -v conftest.$objext 2>&1 | $GREP "ld" | $GREP -v "ld:"`; templist=`$ECHO "X$templist" | $Xsed -e "s/\(^.*ld.*\)\( .*ld.*$\)/\1/"`; list=""; for z in $templist; do case $z in conftest.$objext) list="$list $z";; *.$objext);; *) list="$list $z";;esac; done; $ECHO "X$list" | $Xsed'
+           ;;
+         *)
+           if test "$GXX" = yes && test "$with_gnu_ld" = no; then
+             _LT_TAGVAR(allow_undefined_flag, $1)=' ${wl}-expect_unresolved ${wl}\*'
+             case $host in
+               osf3*)
+                 _LT_TAGVAR(archive_cmds, $1)='$CC -shared -nostdlib ${allow_undefined_flag} $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && $ECHO "X${wl}-set_version ${wl}$verstring" | $Xsed` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
+                 ;;
+               *)
+                 _LT_TAGVAR(archive_cmds, $1)='$CC -shared -nostdlib ${allow_undefined_flag} $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-msym ${wl}-soname ${wl}$soname `test -n "$verstring" && $ECHO "${wl}-set_version ${wl}$verstring" | $Xsed` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
+                 ;;
+             esac
+
+             _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-rpath ${wl}$libdir'
+             _LT_TAGVAR(hardcode_libdir_separator, $1)=:
+
+             # Commands to make compiler produce verbose output that lists
+             # what "hidden" libraries, object files and flags are used when
+             # linking a shared library.
+             output_verbose_link_cmd='$CC -shared $CFLAGS -v conftest.$objext 2>&1 | $GREP "\-L"'
+
+           else
+             # FIXME: insert proper C++ library support
+             _LT_TAGVAR(ld_shlibs, $1)=no
+           fi
+           ;;
+        esac
+        ;;
+
+      psos*)
+        # FIXME: insert proper C++ library support
+        _LT_TAGVAR(ld_shlibs, $1)=no
+        ;;
+
+      sunos4*)
+        case $cc_basename in
+          CC*)
+           # Sun C++ 4.x
+           # FIXME: insert proper C++ library support
+           _LT_TAGVAR(ld_shlibs, $1)=no
+           ;;
+          lcc*)
+           # Lucid
+           # FIXME: insert proper C++ library support
+           _LT_TAGVAR(ld_shlibs, $1)=no
+           ;;
+          *)
+           # FIXME: insert proper C++ library support
+           _LT_TAGVAR(ld_shlibs, $1)=no
+           ;;
+        esac
+        ;;
+
+      solaris*)
+        case $cc_basename in
+          CC*)
+           # Sun C++ 4.2, 5.x and Centerline C++
+            _LT_TAGVAR(archive_cmds_need_lc,$1)=yes
+           _LT_TAGVAR(no_undefined_flag, $1)=' -zdefs'
+           _LT_TAGVAR(archive_cmds, $1)='$CC -G${allow_undefined_flag}  -h$soname -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags'
+           _LT_TAGVAR(archive_expsym_cmds, $1)='echo "{ global:" > $lib.exp~cat $export_symbols | $SED -e "s/\(.*\)/\1;/" >> $lib.exp~echo "local: *; };" >> $lib.exp~
+             $CC -G${allow_undefined_flag} ${wl}-M ${wl}$lib.exp -h$soname -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags~$RM $lib.exp'
+
+           _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='-R$libdir'
+           _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+           case $host_os in
+             solaris2.[[0-5]] | solaris2.[[0-5]].*) ;;
+             *)
+               # The compiler driver will combine and reorder linker options,
+               # but understands `-z linker_flag'.
+               # Supported since Solaris 2.6 (maybe 2.5.1?)
+               _LT_TAGVAR(whole_archive_flag_spec, $1)='-z allextract$convenience -z defaultextract'
+               ;;
+           esac
+           _LT_TAGVAR(link_all_deplibs, $1)=yes
+
+           output_verbose_link_cmd='echo'
+
+           # Archives containing C++ object files must be created using
+           # "CC -xar", where "CC" is the Sun C++ compiler.  This is
+           # necessary to make sure instantiated templates are included
+           # in the archive.
+           _LT_TAGVAR(old_archive_cmds, $1)='$CC -xar -o $oldlib $oldobjs'
+           ;;
+          gcx*)
+           # Green Hills C++ Compiler
+           _LT_TAGVAR(archive_cmds, $1)='$CC -shared $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-h $wl$soname -o $lib'
+
+           # The C++ compiler must be used to create the archive.
+           _LT_TAGVAR(old_archive_cmds, $1)='$CC $LDFLAGS -archive -o $oldlib $oldobjs'
+           ;;
+          *)
+           # GNU C++ compiler with Solaris linker
+           if test "$GXX" = yes && test "$with_gnu_ld" = no; then
+             _LT_TAGVAR(no_undefined_flag, $1)=' ${wl}-z ${wl}defs'
+             if $CC --version | $GREP -v '^2\.7' > /dev/null; then
+               _LT_TAGVAR(archive_cmds, $1)='$CC -shared -nostdlib $LDFLAGS $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-h $wl$soname -o $lib'
+               _LT_TAGVAR(archive_expsym_cmds, $1)='echo "{ global:" > $lib.exp~cat $export_symbols | $SED -e "s/\(.*\)/\1;/" >> $lib.exp~echo "local: *; };" >> $lib.exp~
+                 $CC -shared -nostdlib ${wl}-M $wl$lib.exp -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags~$RM $lib.exp'
+
+               # Commands to make compiler produce verbose output that lists
+               # what "hidden" libraries, object files and flags are used when
+               # linking a shared library.
+               output_verbose_link_cmd='$CC -shared $CFLAGS -v conftest.$objext 2>&1 | $GREP "\-L"'
+             else
+               # g++ 2.7 appears to require `-G' NOT `-shared' on this
+               # platform.
+               _LT_TAGVAR(archive_cmds, $1)='$CC -G -nostdlib $LDFLAGS $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-h $wl$soname -o $lib'
+               _LT_TAGVAR(archive_expsym_cmds, $1)='echo "{ global:" > $lib.exp~cat $export_symbols | $SED -e "s/\(.*\)/\1;/" >> $lib.exp~echo "local: *; };" >> $lib.exp~
+                 $CC -G -nostdlib ${wl}-M $wl$lib.exp -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags~$RM $lib.exp'
+
+               # Commands to make compiler produce verbose output that lists
+               # what "hidden" libraries, object files and flags are used when
+               # linking a shared library.
+               output_verbose_link_cmd='$CC -G $CFLAGS -v conftest.$objext 2>&1 | $GREP "\-L"'
+             fi
+
+             _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-R $wl$libdir'
+             case $host_os in
+               solaris2.[[0-5]] | solaris2.[[0-5]].*) ;;
+               *)
+                 _LT_TAGVAR(whole_archive_flag_spec, $1)='${wl}-z ${wl}allextract$convenience ${wl}-z ${wl}defaultextract'
+                 ;;
+             esac
+           fi
+           ;;
+        esac
+        ;;
+
+    sysv4*uw2* | sysv5OpenUNIX* | sysv5UnixWare7.[[01]].[[10]]* | unixware7* | sco3.2v5.0.[[024]]*)
+      _LT_TAGVAR(no_undefined_flag, $1)='${wl}-z,text'
+      _LT_TAGVAR(archive_cmds_need_lc, $1)=no
+      _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+      runpath_var='LD_RUN_PATH'
+
+      case $cc_basename in
+        CC*)
+         _LT_TAGVAR(archive_cmds, $1)='$CC -G ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+         _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -G ${wl}-Bexport:$export_symbols ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+         ;;
+       *)
+         _LT_TAGVAR(archive_cmds, $1)='$CC -shared ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+         _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -shared ${wl}-Bexport:$export_symbols ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+         ;;
+      esac
+      ;;
+
+      sysv5* | sco3.2v5* | sco5v6*)
+       # Note: We can NOT use -z defs as we might desire, because we do not
+       # link with -lc, and that would cause any symbols used from libc to
+       # always be unresolved, which means just about no library would
+       # ever link correctly.  If we're not using GNU ld we use -z text
+       # though, which does catch some bad symbols but isn't as heavy-handed
+       # as -z defs.
+       _LT_TAGVAR(no_undefined_flag, $1)='${wl}-z,text'
+       _LT_TAGVAR(allow_undefined_flag, $1)='${wl}-z,nodefs'
+       _LT_TAGVAR(archive_cmds_need_lc, $1)=no
+       _LT_TAGVAR(hardcode_shlibpath_var, $1)=no
+       _LT_TAGVAR(hardcode_libdir_flag_spec, $1)='${wl}-R,$libdir'
+       _LT_TAGVAR(hardcode_libdir_separator, $1)=':'
+       _LT_TAGVAR(link_all_deplibs, $1)=yes
+       _LT_TAGVAR(export_dynamic_flag_spec, $1)='${wl}-Bexport'
+       runpath_var='LD_RUN_PATH'
+
+       case $cc_basename in
+          CC*)
+           _LT_TAGVAR(archive_cmds, $1)='$CC -G ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+           _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -G ${wl}-Bexport:$export_symbols ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+           ;;
+         *)
+           _LT_TAGVAR(archive_cmds, $1)='$CC -shared ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+           _LT_TAGVAR(archive_expsym_cmds, $1)='$CC -shared ${wl}-Bexport:$export_symbols ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+           ;;
+       esac
+      ;;
+
+      tandem*)
+        case $cc_basename in
+          NCC*)
+           # NonStop-UX NCC 3.20
+           # FIXME: insert proper C++ library support
+           _LT_TAGVAR(ld_shlibs, $1)=no
+           ;;
+          *)
+           # FIXME: insert proper C++ library support
+           _LT_TAGVAR(ld_shlibs, $1)=no
+           ;;
+        esac
+        ;;
+
+      vxworks*)
+        # FIXME: insert proper C++ library support
+        _LT_TAGVAR(ld_shlibs, $1)=no
+        ;;
+
+      *)
+        # FIXME: insert proper C++ library support
+        _LT_TAGVAR(ld_shlibs, $1)=no
+        ;;
+    esac
+
+    AC_MSG_RESULT([$_LT_TAGVAR(ld_shlibs, $1)])
+    test "$_LT_TAGVAR(ld_shlibs, $1)" = no && can_build_shared=no
+
+    _LT_TAGVAR(GCC, $1)="$GXX"
+    _LT_TAGVAR(LD, $1)="$LD"
+
+    ## CAVEAT EMPTOR:
+    ## There is no encapsulation within the following macros, do not change
+    ## the running order or otherwise move them around unless you know exactly
+    ## what you are doing...
+    _LT_SYS_HIDDEN_LIBDEPS($1)
+    _LT_COMPILER_PIC($1)
+    _LT_COMPILER_C_O($1)
+    _LT_COMPILER_FILE_LOCKS($1)
+    _LT_LINKER_SHLIBS($1)
+    _LT_SYS_DYNAMIC_LINKER($1)
+    _LT_LINKER_HARDCODE_LIBPATH($1)
+
+    _LT_CONFIG($1)
+  fi # test -n "$compiler"
+
+  CC=$lt_save_CC
+  LDCXX=$LD
+  LD=$lt_save_LD
+  GCC=$lt_save_GCC
+  with_gnu_ld=$lt_save_with_gnu_ld
+  lt_cv_path_LDCXX=$lt_cv_path_LD
+  lt_cv_path_LD=$lt_save_path_LD
+  lt_cv_prog_gnu_ldcxx=$lt_cv_prog_gnu_ld
+  lt_cv_prog_gnu_ld=$lt_save_with_gnu_ld
+fi # test "$_lt_caught_CXX_error" != yes
+
+AC_LANG_POP
+])# _LT_LANG_CXX_CONFIG
+
+
+# _LT_SYS_HIDDEN_LIBDEPS([TAGNAME])
+# ---------------------------------
+# Figure out "hidden" library dependencies from verbose
+# compiler output when linking a shared library.
+# Parse the compiler output and extract the necessary
+# objects, libraries and library flags.
+m4_defun([_LT_SYS_HIDDEN_LIBDEPS],
+[m4_require([_LT_FILEUTILS_DEFAULTS])dnl
+# Dependencies to place before and after the object being linked:
+_LT_TAGVAR(predep_objects, $1)=
+_LT_TAGVAR(postdep_objects, $1)=
+_LT_TAGVAR(predeps, $1)=
+_LT_TAGVAR(postdeps, $1)=
+_LT_TAGVAR(compiler_lib_search_path, $1)=
+
+dnl we can't use the lt_simple_compile_test_code here,
+dnl because it contains code intended for an executable,
+dnl not a library.  It's possible we should let each
+dnl tag define a new lt_????_link_test_code variable,
+dnl but it's only used here...
+m4_if([$1], [], [cat > conftest.$ac_ext <<_LT_EOF
+int a;
+void foo (void) { a = 0; }
+_LT_EOF
+], [$1], [CXX], [cat > conftest.$ac_ext <<_LT_EOF
+class Foo
+{
+public:
+  Foo (void) { a = 0; }
+private:
+  int a;
+};
+_LT_EOF
+], [$1], [F77], [cat > conftest.$ac_ext <<_LT_EOF
+      subroutine foo
+      implicit none
+      integer*4 a
+      a=0
+      return
+      end
+_LT_EOF
+], [$1], [FC], [cat > conftest.$ac_ext <<_LT_EOF
+      subroutine foo
+      implicit none
+      integer a
+      a=0
+      return
+      end
+_LT_EOF
+], [$1], [GCJ], [cat > conftest.$ac_ext <<_LT_EOF
+public class foo {
+  private int a;
+  public void bar (void) {
+    a = 0;
+  }
+};
+_LT_EOF
+])
+dnl Parse the compiler output and extract the necessary
+dnl objects, libraries and library flags.
+if AC_TRY_EVAL(ac_compile); then
+  # Parse the compiler output and extract the necessary
+  # objects, libraries and library flags.
+
+  # Sentinel used to keep track of whether or not we are before
+  # the conftest object file.
+  pre_test_object_deps_done=no
+
+  for p in `eval "$output_verbose_link_cmd"`; do
+    case $p in
+
+    -L* | -R* | -l*)
+       # Some compilers place space between "-{L,R}" and the path.
+       # Remove the space.
+       if test $p = "-L" ||
+          test $p = "-R"; then
+        prev=$p
+        continue
+       else
+        prev=
+       fi
+
+       if test "$pre_test_object_deps_done" = no; then
+        case $p in
+        -L* | -R*)
+          # Internal compiler library paths should come after those
+          # provided the user.  The postdeps already come after the
+          # user supplied libs so there is no need to process them.
+          if test -z "$_LT_TAGVAR(compiler_lib_search_path, $1)"; then
+            _LT_TAGVAR(compiler_lib_search_path, $1)="${prev}${p}"
+          else
+            _LT_TAGVAR(compiler_lib_search_path, $1)="${_LT_TAGVAR(compiler_lib_search_path, $1)} ${prev}${p}"
+          fi
+          ;;
+        # The "-l" case would never come before the object being
+        # linked, so don't bother handling this case.
+        esac
+       else
+        if test -z "$_LT_TAGVAR(postdeps, $1)"; then
+          _LT_TAGVAR(postdeps, $1)="${prev}${p}"
+        else
+          _LT_TAGVAR(postdeps, $1)="${_LT_TAGVAR(postdeps, $1)} ${prev}${p}"
+        fi
+       fi
+       ;;
+
+    *.$objext)
+       # This assumes that the test object file only shows up
+       # once in the compiler output.
+       if test "$p" = "conftest.$objext"; then
+        pre_test_object_deps_done=yes
+        continue
+       fi
+
+       if test "$pre_test_object_deps_done" = no; then
+        if test -z "$_LT_TAGVAR(predep_objects, $1)"; then
+          _LT_TAGVAR(predep_objects, $1)="$p"
+        else
+          _LT_TAGVAR(predep_objects, $1)="$_LT_TAGVAR(predep_objects, $1) $p"
+        fi
+       else
+        if test -z "$_LT_TAGVAR(postdep_objects, $1)"; then
+          _LT_TAGVAR(postdep_objects, $1)="$p"
+        else
+          _LT_TAGVAR(postdep_objects, $1)="$_LT_TAGVAR(postdep_objects, $1) $p"
+        fi
+       fi
+       ;;
+
+    *) ;; # Ignore the rest.
+
+    esac
+  done
+
+  # Clean up.
+  rm -f a.out a.exe
+else
+  echo "libtool.m4: error: problem compiling $1 test program"
+fi
+
+$RM -f confest.$objext
+
+# PORTME: override above test on systems where it is broken
+m4_if([$1], [CXX],
+[case $host_os in
+interix[[3-9]]*)
+  # Interix 3.5 installs completely hosed .la files for C++, so rather than
+  # hack all around it, let's just trust "g++" to DTRT.
+  _LT_TAGVAR(predep_objects,$1)=
+  _LT_TAGVAR(postdep_objects,$1)=
+  _LT_TAGVAR(postdeps,$1)=
+  ;;
+
+linux*)
+  case `$CC -V 2>&1 | sed 5q` in
+  *Sun\ C*)
+    # Sun C++ 5.9
+
+    # The more standards-conforming stlport4 library is
+    # incompatible with the Cstd library. Avoid specifying
+    # it if it's in CXXFLAGS. Ignore libCrun as
+    # -library=stlport4 depends on it.
+    case " $CXX $CXXFLAGS " in
+    *" -library=stlport4 "*)
+      solaris_use_stlport4=yes
+      ;;
+    esac
+
+    if test "$solaris_use_stlport4" != yes; then
+      _LT_TAGVAR(postdeps,$1)='-library=Cstd -library=Crun'
+    fi
+    ;;
+  esac
+  ;;
+
+solaris*)
+  case $cc_basename in
+  CC*)
+    # The more standards-conforming stlport4 library is
+    # incompatible with the Cstd library. Avoid specifying
+    # it if it's in CXXFLAGS. Ignore libCrun as
+    # -library=stlport4 depends on it.
+    case " $CXX $CXXFLAGS " in
+    *" -library=stlport4 "*)
+      solaris_use_stlport4=yes
+      ;;
+    esac
+
+    # Adding this requires a known-good setup of shared libraries for
+    # Sun compiler versions before 5.6, else PIC objects from an old
+    # archive will be linked into the output, leading to subtle bugs.
+    if test "$solaris_use_stlport4" != yes; then
+      _LT_TAGVAR(postdeps,$1)='-library=Cstd -library=Crun'
+    fi
+    ;;
+  esac
+  ;;
+esac
+])
+
+case " $_LT_TAGVAR(postdeps, $1) " in
+*" -lc "*) _LT_TAGVAR(archive_cmds_need_lc, $1)=no ;;
+esac
+ _LT_TAGVAR(compiler_lib_search_dirs, $1)=
+if test -n "${_LT_TAGVAR(compiler_lib_search_path, $1)}"; then
+ _LT_TAGVAR(compiler_lib_search_dirs, $1)=`echo " ${_LT_TAGVAR(compiler_lib_search_path, $1)}" | ${SED} -e 's! -L! !g' -e 's!^ !!'`
+fi
+_LT_TAGDECL([], [compiler_lib_search_dirs], [1],
+    [The directories searched by this compiler when creating a shared library])
+_LT_TAGDECL([], [predep_objects], [1],
+    [Dependencies to place before and after the objects being linked to
+    create a shared library])
+_LT_TAGDECL([], [postdep_objects], [1])
+_LT_TAGDECL([], [predeps], [1])
+_LT_TAGDECL([], [postdeps], [1])
+_LT_TAGDECL([], [compiler_lib_search_path], [1],
+    [The library search path used internally by the compiler when linking
+    a shared library])
+])# _LT_SYS_HIDDEN_LIBDEPS
+
+
+# _LT_PROG_F77
+# ------------
+# Since AC_PROG_F77 is broken, in that it returns the empty string
+# if there is no fortran compiler, we have our own version here.
+m4_defun([_LT_PROG_F77],
+[
+pushdef([AC_MSG_ERROR], [_lt_disable_F77=yes])
+AC_PROG_F77
+if test -z "$F77" || test "X$F77" = "Xno"; then
+  _lt_disable_F77=yes
+fi
+popdef([AC_MSG_ERROR])
+])# _LT_PROG_F77
+
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([_LT_PROG_F77], [])
+
+
+# _LT_LANG_F77_CONFIG([TAG])
+# --------------------------
+# Ensure that the configuration variables for a Fortran 77 compiler are
+# suitably defined.  These variables are subsequently used by _LT_CONFIG
+# to write the compiler configuration to `libtool'.
+m4_defun([_LT_LANG_F77_CONFIG],
+[AC_REQUIRE([_LT_PROG_F77])dnl
+AC_LANG_PUSH(Fortran 77)
+
+_LT_TAGVAR(archive_cmds_need_lc, $1)=no
+_LT_TAGVAR(allow_undefined_flag, $1)=
+_LT_TAGVAR(always_export_symbols, $1)=no
+_LT_TAGVAR(archive_expsym_cmds, $1)=
+_LT_TAGVAR(export_dynamic_flag_spec, $1)=
+_LT_TAGVAR(hardcode_direct, $1)=no
+_LT_TAGVAR(hardcode_direct_absolute, $1)=no
+_LT_TAGVAR(hardcode_libdir_flag_spec, $1)=
+_LT_TAGVAR(hardcode_libdir_flag_spec_ld, $1)=
+_LT_TAGVAR(hardcode_libdir_separator, $1)=
+_LT_TAGVAR(hardcode_minus_L, $1)=no
+_LT_TAGVAR(hardcode_automatic, $1)=no
+_LT_TAGVAR(inherit_rpath, $1)=no
+_LT_TAGVAR(module_cmds, $1)=
+_LT_TAGVAR(module_expsym_cmds, $1)=
+_LT_TAGVAR(link_all_deplibs, $1)=unknown
+_LT_TAGVAR(old_archive_cmds, $1)=$old_archive_cmds
+_LT_TAGVAR(no_undefined_flag, $1)=
+_LT_TAGVAR(whole_archive_flag_spec, $1)=
+_LT_TAGVAR(enable_shared_with_static_runtimes, $1)=no
+
+# Source file extension for f77 test sources.
+ac_ext=f
+
+# Object file extension for compiled f77 test sources.
+objext=o
+_LT_TAGVAR(objext, $1)=$objext
+
+# No sense in running all these tests if we already determined that
+# the F77 compiler isn't working.  Some variables (like enable_shared)
+# are currently assumed to apply to all compilers on this platform,
+# and will be corrupted by setting them based on a non-working compiler.
+if test "$_lt_disable_F77" != yes; then
+  # Code to be used in simple compile tests
+  lt_simple_compile_test_code="\
+      subroutine t
+      return
+      end
+"
+
+  # Code to be used in simple link tests
+  lt_simple_link_test_code="\
+      program t
+      end
+"
+
+  # ltmain only uses $CC for tagged configurations so make sure $CC is set.
+  _LT_TAG_COMPILER
+
+  # save warnings/boilerplate of simple test code
+  _LT_COMPILER_BOILERPLATE
+  _LT_LINKER_BOILERPLATE
+
+  # Allow CC to be a program name with arguments.
+  lt_save_CC="$CC"
+  lt_save_GCC=$GCC
+  CC=${F77-"f77"}
+  compiler=$CC
+  _LT_TAGVAR(compiler, $1)=$CC
+  _LT_CC_BASENAME([$compiler])
+  GCC=$G77
+  if test -n "$compiler"; then
+    AC_MSG_CHECKING([if libtool supports shared libraries])
+    AC_MSG_RESULT([$can_build_shared])
+
+    AC_MSG_CHECKING([whether to build shared libraries])
+    test "$can_build_shared" = "no" && enable_shared=no
+
+    # On AIX, shared libraries and static libraries use the same namespace, and
+    # are all built from PIC.
+    case $host_os in
+      aix3*)
+        test "$enable_shared" = yes && enable_static=no
+        if test -n "$RANLIB"; then
+          archive_cmds="$archive_cmds~\$RANLIB \$lib"
+          postinstall_cmds='$RANLIB $lib'
+        fi
+        ;;
+      aix[[4-9]]*)
+       if test "$host_cpu" != ia64 && test "$aix_use_runtimelinking" = no ; then
+         test "$enable_shared" = yes && enable_static=no
+       fi
+        ;;
+    esac
+    AC_MSG_RESULT([$enable_shared])
+
+    AC_MSG_CHECKING([whether to build static libraries])
+    # Make sure either enable_shared or enable_static is yes.
+    test "$enable_shared" = yes || enable_static=yes
+    AC_MSG_RESULT([$enable_static])
+
+    _LT_TAGVAR(GCC, $1)="$G77"
+    _LT_TAGVAR(LD, $1)="$LD"
+
+    ## CAVEAT EMPTOR:
+    ## There is no encapsulation within the following macros, do not change
+    ## the running order or otherwise move them around unless you know exactly
+    ## what you are doing...
+    _LT_COMPILER_PIC($1)
+    _LT_COMPILER_C_O($1)
+    _LT_COMPILER_FILE_LOCKS($1)
+    _LT_LINKER_SHLIBS($1)
+    _LT_SYS_DYNAMIC_LINKER($1)
+    _LT_LINKER_HARDCODE_LIBPATH($1)
+
+    _LT_CONFIG($1)
+  fi # test -n "$compiler"
+
+  GCC=$lt_save_GCC
+  CC="$lt_save_CC"
+fi # test "$_lt_disable_F77" != yes
+
+AC_LANG_POP
+])# _LT_LANG_F77_CONFIG
+
+
+# _LT_PROG_FC
+# -----------
+# Since AC_PROG_FC is broken, in that it returns the empty string
+# if there is no fortran compiler, we have our own version here.
+m4_defun([_LT_PROG_FC],
+[
+pushdef([AC_MSG_ERROR], [_lt_disable_FC=yes])
+AC_PROG_FC
+if test -z "$FC" || test "X$FC" = "Xno"; then
+  _lt_disable_FC=yes
+fi
+popdef([AC_MSG_ERROR])
+])# _LT_PROG_FC
+
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([_LT_PROG_FC], [])
+
+
+# _LT_LANG_FC_CONFIG([TAG])
+# -------------------------
+# Ensure that the configuration variables for a Fortran compiler are
+# suitably defined.  These variables are subsequently used by _LT_CONFIG
+# to write the compiler configuration to `libtool'.
+m4_defun([_LT_LANG_FC_CONFIG],
+[AC_REQUIRE([_LT_PROG_FC])dnl
+AC_LANG_PUSH(Fortran)
+
+_LT_TAGVAR(archive_cmds_need_lc, $1)=no
+_LT_TAGVAR(allow_undefined_flag, $1)=
+_LT_TAGVAR(always_export_symbols, $1)=no
+_LT_TAGVAR(archive_expsym_cmds, $1)=
+_LT_TAGVAR(export_dynamic_flag_spec, $1)=
+_LT_TAGVAR(hardcode_direct, $1)=no
+_LT_TAGVAR(hardcode_direct_absolute, $1)=no
+_LT_TAGVAR(hardcode_libdir_flag_spec, $1)=
+_LT_TAGVAR(hardcode_libdir_flag_spec_ld, $1)=
+_LT_TAGVAR(hardcode_libdir_separator, $1)=
+_LT_TAGVAR(hardcode_minus_L, $1)=no
+_LT_TAGVAR(hardcode_automatic, $1)=no
+_LT_TAGVAR(inherit_rpath, $1)=no
+_LT_TAGVAR(module_cmds, $1)=
+_LT_TAGVAR(module_expsym_cmds, $1)=
+_LT_TAGVAR(link_all_deplibs, $1)=unknown
+_LT_TAGVAR(old_archive_cmds, $1)=$old_archive_cmds
+_LT_TAGVAR(no_undefined_flag, $1)=
+_LT_TAGVAR(whole_archive_flag_spec, $1)=
+_LT_TAGVAR(enable_shared_with_static_runtimes, $1)=no
+
+# Source file extension for fc test sources.
+ac_ext=${ac_fc_srcext-f}
+
+# Object file extension for compiled fc test sources.
+objext=o
+_LT_TAGVAR(objext, $1)=$objext
+
+# No sense in running all these tests if we already determined that
+# the FC compiler isn't working.  Some variables (like enable_shared)
+# are currently assumed to apply to all compilers on this platform,
+# and will be corrupted by setting them based on a non-working compiler.
+if test "$_lt_disable_FC" != yes; then
+  # Code to be used in simple compile tests
+  lt_simple_compile_test_code="\
+      subroutine t
+      return
+      end
+"
+
+  # Code to be used in simple link tests
+  lt_simple_link_test_code="\
+      program t
+      end
+"
+
+  # ltmain only uses $CC for tagged configurations so make sure $CC is set.
+  _LT_TAG_COMPILER
+
+  # save warnings/boilerplate of simple test code
+  _LT_COMPILER_BOILERPLATE
+  _LT_LINKER_BOILERPLATE
+
+  # Allow CC to be a program name with arguments.
+  lt_save_CC="$CC"
+  lt_save_GCC=$GCC
+  CC=${FC-"f95"}
+  compiler=$CC
+  GCC=$ac_cv_fc_compiler_gnu
+
+  _LT_TAGVAR(compiler, $1)=$CC
+  _LT_CC_BASENAME([$compiler])
+
+  if test -n "$compiler"; then
+    AC_MSG_CHECKING([if libtool supports shared libraries])
+    AC_MSG_RESULT([$can_build_shared])
+
+    AC_MSG_CHECKING([whether to build shared libraries])
+    test "$can_build_shared" = "no" && enable_shared=no
+
+    # On AIX, shared libraries and static libraries use the same namespace, and
+    # are all built from PIC.
+    case $host_os in
+      aix3*)
+        test "$enable_shared" = yes && enable_static=no
+        if test -n "$RANLIB"; then
+          archive_cmds="$archive_cmds~\$RANLIB \$lib"
+          postinstall_cmds='$RANLIB $lib'
+        fi
+        ;;
+      aix[[4-9]]*)
+       if test "$host_cpu" != ia64 && test "$aix_use_runtimelinking" = no ; then
+         test "$enable_shared" = yes && enable_static=no
+       fi
+        ;;
+    esac
+    AC_MSG_RESULT([$enable_shared])
+
+    AC_MSG_CHECKING([whether to build static libraries])
+    # Make sure either enable_shared or enable_static is yes.
+    test "$enable_shared" = yes || enable_static=yes
+    AC_MSG_RESULT([$enable_static])
+
+    _LT_TAGVAR(GCC, $1)="$ac_cv_fc_compiler_gnu"
+    _LT_TAGVAR(LD, $1)="$LD"
+
+    ## CAVEAT EMPTOR:
+    ## There is no encapsulation within the following macros, do not change
+    ## the running order or otherwise move them around unless you know exactly
+    ## what you are doing...
+    _LT_SYS_HIDDEN_LIBDEPS($1)
+    _LT_COMPILER_PIC($1)
+    _LT_COMPILER_C_O($1)
+    _LT_COMPILER_FILE_LOCKS($1)
+    _LT_LINKER_SHLIBS($1)
+    _LT_SYS_DYNAMIC_LINKER($1)
+    _LT_LINKER_HARDCODE_LIBPATH($1)
+
+    _LT_CONFIG($1)
+  fi # test -n "$compiler"
+
+  GCC=$lt_save_GCC
+  CC="$lt_save_CC"
+fi # test "$_lt_disable_FC" != yes
+
+AC_LANG_POP
+])# _LT_LANG_FC_CONFIG
+
+
+# _LT_LANG_GCJ_CONFIG([TAG])
+# --------------------------
+# Ensure that the configuration variables for the GNU Java Compiler compiler
+# are suitably defined.  These variables are subsequently used by _LT_CONFIG
+# to write the compiler configuration to `libtool'.
+m4_defun([_LT_LANG_GCJ_CONFIG],
+[AC_REQUIRE([LT_PROG_GCJ])dnl
+AC_LANG_SAVE
+
+# Source file extension for Java test sources.
+ac_ext=java
+
+# Object file extension for compiled Java test sources.
+objext=o
+_LT_TAGVAR(objext, $1)=$objext
+
+# Code to be used in simple compile tests
+lt_simple_compile_test_code="class foo {}"
+
+# Code to be used in simple link tests
+lt_simple_link_test_code='public class conftest { public static void main(String[[]] argv) {}; }'
+
+# ltmain only uses $CC for tagged configurations so make sure $CC is set.
+_LT_TAG_COMPILER
+
+# save warnings/boilerplate of simple test code
+_LT_COMPILER_BOILERPLATE
+_LT_LINKER_BOILERPLATE
+
+# Allow CC to be a program name with arguments.
+lt_save_CC="$CC"
+lt_save_GCC=$GCC
+GCC=yes
+CC=${GCJ-"gcj"}
+compiler=$CC
+_LT_TAGVAR(compiler, $1)=$CC
+_LT_TAGVAR(LD, $1)="$LD"
+_LT_CC_BASENAME([$compiler])
+
+# GCJ did not exist at the time GCC didn't implicitly link libc in.
+_LT_TAGVAR(archive_cmds_need_lc, $1)=no
+
+_LT_TAGVAR(old_archive_cmds, $1)=$old_archive_cmds
+
+## CAVEAT EMPTOR:
+## There is no encapsulation within the following macros, do not change
+## the running order or otherwise move them around unless you know exactly
+## what you are doing...
+if test -n "$compiler"; then
+  _LT_COMPILER_NO_RTTI($1)
+  _LT_COMPILER_PIC($1)
+  _LT_COMPILER_C_O($1)
+  _LT_COMPILER_FILE_LOCKS($1)
+  _LT_LINKER_SHLIBS($1)
+  _LT_LINKER_HARDCODE_LIBPATH($1)
+
+  _LT_CONFIG($1)
+fi
+
+AC_LANG_RESTORE
+
+GCC=$lt_save_GCC
+CC="$lt_save_CC"
+])# _LT_LANG_GCJ_CONFIG
+
+
+# _LT_LANG_RC_CONFIG([TAG])
+# -------------------------
+# Ensure that the configuration variables for the Windows resource compiler
+# are suitably defined.  These variables are subsequently used by _LT_CONFIG
+# to write the compiler configuration to `libtool'.
+m4_defun([_LT_LANG_RC_CONFIG],
+[AC_REQUIRE([LT_PROG_RC])dnl
+AC_LANG_SAVE
+
+# Source file extension for RC test sources.
+ac_ext=rc
+
+# Object file extension for compiled RC test sources.
+objext=o
+_LT_TAGVAR(objext, $1)=$objext
+
+# Code to be used in simple compile tests
+lt_simple_compile_test_code='sample MENU { MENUITEM "&Soup", 100, CHECKED }'
+
+# Code to be used in simple link tests
+lt_simple_link_test_code="$lt_simple_compile_test_code"
+
+# ltmain only uses $CC for tagged configurations so make sure $CC is set.
+_LT_TAG_COMPILER
+
+# save warnings/boilerplate of simple test code
+_LT_COMPILER_BOILERPLATE
+_LT_LINKER_BOILERPLATE
+
+# Allow CC to be a program name with arguments.
+lt_save_CC="$CC"
+lt_save_GCC=$GCC
+GCC=
+CC=${RC-"windres"}
+compiler=$CC
+_LT_TAGVAR(compiler, $1)=$CC
+_LT_CC_BASENAME([$compiler])
+_LT_TAGVAR(lt_cv_prog_compiler_c_o, $1)=yes
+
+if test -n "$compiler"; then
+  :
+  _LT_CONFIG($1)
+fi
+
+GCC=$lt_save_GCC
+AC_LANG_RESTORE
+CC="$lt_save_CC"
+])# _LT_LANG_RC_CONFIG
+
+
+# LT_PROG_GCJ
+# -----------
+AC_DEFUN([LT_PROG_GCJ],
+[m4_ifdef([AC_PROG_GCJ], [AC_PROG_GCJ],
+  [m4_ifdef([A][M_PROG_GCJ], [A][M_PROG_GCJ],
+    [AC_CHECK_TOOL(GCJ, gcj,)
+      test "x${GCJFLAGS+set}" = xset || GCJFLAGS="-g -O2"
+      AC_SUBST(GCJFLAGS)])])[]dnl
+])
+
+# Old name:
+AU_ALIAS([LT_AC_PROG_GCJ], [LT_PROG_GCJ])
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([LT_AC_PROG_GCJ], [])
+
+
+# LT_PROG_RC
+# ----------
+AC_DEFUN([LT_PROG_RC],
+[AC_CHECK_TOOL(RC, windres,)
+])
+
+# Old name:
+AU_ALIAS([LT_AC_PROG_RC], [LT_PROG_RC])
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([LT_AC_PROG_RC], [])
+
+
+# _LT_DECL_EGREP
+# --------------
+# If we don't have a new enough Autoconf to choose the best grep
+# available, choose the one first in the user's PATH.
+m4_defun([_LT_DECL_EGREP],
+[AC_REQUIRE([AC_PROG_EGREP])dnl
+AC_REQUIRE([AC_PROG_FGREP])dnl
+test -z "$GREP" && GREP=grep
+_LT_DECL([], [GREP], [1], [A grep program that handles long lines])
+_LT_DECL([], [EGREP], [1], [An ERE matcher])
+_LT_DECL([], [FGREP], [1], [A literal string matcher])
+dnl Non-bleeding-edge autoconf doesn't subst GREP, so do it here too
+AC_SUBST([GREP])
+])
+
+
+# _LT_DECL_OBJDUMP
+# --------------
+# If we don't have a new enough Autoconf to choose the best objdump
+# available, choose the one first in the user's PATH.
+m4_defun([_LT_DECL_OBJDUMP],
+[AC_CHECK_TOOL(OBJDUMP, objdump, false)
+test -z "$OBJDUMP" && OBJDUMP=objdump
+_LT_DECL([], [OBJDUMP], [1], [An object symbol dumper])
+AC_SUBST([OBJDUMP])
+])
+
+
+# _LT_DECL_SED
+# ------------
+# Check for a fully-functional sed program, that truncates
+# as few characters as possible.  Prefer GNU sed if found.
+m4_defun([_LT_DECL_SED],
+[AC_PROG_SED
+test -z "$SED" && SED=sed
+Xsed="$SED -e 1s/^X//"
+_LT_DECL([], [SED], [1], [A sed program that does not truncate output])
+_LT_DECL([], [Xsed], ["\$SED -e 1s/^X//"],
+    [Sed that helps us avoid accidentally triggering echo(1) options like -n])
+])# _LT_DECL_SED
+
+m4_ifndef([AC_PROG_SED], [
+############################################################
+# NOTE: This macro has been submitted for inclusion into   #
+#  GNU Autoconf as AC_PROG_SED.  When it is available in   #
+#  a released version of Autoconf we should remove this    #
+#  macro and use it instead.                               #
+############################################################
+
+m4_defun([AC_PROG_SED],
+[AC_MSG_CHECKING([for a sed that does not truncate output])
+AC_CACHE_VAL(lt_cv_path_SED,
+[# Loop through the user's path and test for sed and gsed.
+# Then use that list of sed's as ones to test for truncation.
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for lt_ac_prog in sed gsed; do
+    for ac_exec_ext in '' $ac_executable_extensions; do
+      if $as_executable_p "$as_dir/$lt_ac_prog$ac_exec_ext"; then
+        lt_ac_sed_list="$lt_ac_sed_list $as_dir/$lt_ac_prog$ac_exec_ext"
+      fi
+    done
+  done
+done
+IFS=$as_save_IFS
+lt_ac_max=0
+lt_ac_count=0
+# Add /usr/xpg4/bin/sed as it is typically found on Solaris
+# along with /bin/sed that truncates output.
+for lt_ac_sed in $lt_ac_sed_list /usr/xpg4/bin/sed; do
+  test ! -f $lt_ac_sed && continue
+  cat /dev/null > conftest.in
+  lt_ac_count=0
+  echo $ECHO_N "0123456789$ECHO_C" >conftest.in
+  # Check for GNU sed and select it if it is found.
+  if "$lt_ac_sed" --version 2>&1 < /dev/null | grep 'GNU' > /dev/null; then
+    lt_cv_path_SED=$lt_ac_sed
+    break
+  fi
+  while true; do
+    cat conftest.in conftest.in >conftest.tmp
+    mv conftest.tmp conftest.in
+    cp conftest.in conftest.nl
+    echo >>conftest.nl
+    $lt_ac_sed -e 's/a$//' < conftest.nl >conftest.out || break
+    cmp -s conftest.out conftest.nl || break
+    # 10000 chars as input seems more than enough
+    test $lt_ac_count -gt 10 && break
+    lt_ac_count=`expr $lt_ac_count + 1`
+    if test $lt_ac_count -gt $lt_ac_max; then
+      lt_ac_max=$lt_ac_count
+      lt_cv_path_SED=$lt_ac_sed
+    fi
+  done
+done
+])
+SED=$lt_cv_path_SED
+AC_SUBST([SED])
+AC_MSG_RESULT([$SED])
+])#AC_PROG_SED
+])#m4_ifndef
+
+# Old name:
+AU_ALIAS([LT_AC_PROG_SED], [AC_PROG_SED])
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([LT_AC_PROG_SED], [])
+
+
+# _LT_CHECK_SHELL_FEATURES
+# ------------------------
+# Find out whether the shell is Bourne or XSI compatible,
+# or has some other useful features.
+m4_defun([_LT_CHECK_SHELL_FEATURES],
+[AC_MSG_CHECKING([whether the shell understands some XSI constructs])
+# Try some XSI features
+xsi_shell=no
+( _lt_dummy="a/b/c"
+  test "${_lt_dummy##*/},${_lt_dummy%/*},"${_lt_dummy%"$_lt_dummy"}, \
+      = c,a/b,, \
+    && eval 'test $(( 1 + 1 )) -eq 2 \
+    && test "${#_lt_dummy}" -eq 5' ) >/dev/null 2>&1 \
+  && xsi_shell=yes
+AC_MSG_RESULT([$xsi_shell])
+_LT_CONFIG_LIBTOOL_INIT([xsi_shell='$xsi_shell'])
+
+AC_MSG_CHECKING([whether the shell understands "+="])
+lt_shell_append=no
+( foo=bar; set foo baz; eval "$[1]+=\$[2]" && test "$foo" = barbaz ) \
+    >/dev/null 2>&1 \
+  && lt_shell_append=yes
+AC_MSG_RESULT([$lt_shell_append])
+_LT_CONFIG_LIBTOOL_INIT([lt_shell_append='$lt_shell_append'])
+
+if ( (MAIL=60; unset MAIL) || exit) >/dev/null 2>&1; then
+  lt_unset=unset
+else
+  lt_unset=false
+fi
+_LT_DECL([], [lt_unset], [0], [whether the shell understands "unset"])dnl
+
+# test EBCDIC or ASCII
+case `echo X|tr X '\101'` in
+ A) # ASCII based system
+    # \n is not interpreted correctly by Solaris 8 /usr/ucb/tr
+  lt_SP2NL='tr \040 \012'
+  lt_NL2SP='tr \015\012 \040\040'
+  ;;
+ *) # EBCDIC based system
+  lt_SP2NL='tr \100 \n'
+  lt_NL2SP='tr \r\n \100\100'
+  ;;
+esac
+_LT_DECL([SP2NL], [lt_SP2NL], [1], [turn spaces into newlines])dnl
+_LT_DECL([NL2SP], [lt_NL2SP], [1], [turn newlines into spaces])dnl
+])# _LT_CHECK_SHELL_FEATURES
+
+
+# _LT_PROG_XSI_SHELLFNS
+# ---------------------
+# Bourne and XSI compatible variants of some useful shell functions.
+m4_defun([_LT_PROG_XSI_SHELLFNS],
+[case $xsi_shell in
+  yes)
+    cat << \_LT_EOF >> "$cfgfile"
+
+# func_dirname file append nondir_replacement
+# Compute the dirname of FILE.  If nonempty, add APPEND to the result,
+# otherwise set result to NONDIR_REPLACEMENT.
+func_dirname ()
+{
+  case ${1} in
+    */*) func_dirname_result="${1%/*}${2}" ;;
+    *  ) func_dirname_result="${3}" ;;
+  esac
+}
+
+# func_basename file
+func_basename ()
+{
+  func_basename_result="${1##*/}"
+}
+
+# func_dirname_and_basename file append nondir_replacement
+# perform func_basename and func_dirname in a single function
+# call:
+#   dirname:  Compute the dirname of FILE.  If nonempty,
+#             add APPEND to the result, otherwise set result
+#             to NONDIR_REPLACEMENT.
+#             value returned in "$func_dirname_result"
+#   basename: Compute filename of FILE.
+#             value retuned in "$func_basename_result"
+# Implementation must be kept synchronized with func_dirname
+# and func_basename. For efficiency, we do not delegate to
+# those functions but instead duplicate the functionality here.
+func_dirname_and_basename ()
+{
+  case ${1} in
+    */*) func_dirname_result="${1%/*}${2}" ;;
+    *  ) func_dirname_result="${3}" ;;
+  esac
+  func_basename_result="${1##*/}"
+}
+
+# func_stripname prefix suffix name
+# strip PREFIX and SUFFIX off of NAME.
+# PREFIX and SUFFIX must not contain globbing or regex special
+# characters, hashes, percent signs, but SUFFIX may contain a leading
+# dot (in which case that matches only a dot).
+func_stripname ()
+{
+  # pdksh 5.2.14 does not do ${X%$Y} correctly if both X and Y are
+  # positional parameters, so assign one to ordinary parameter first.
+  func_stripname_result=${3}
+  func_stripname_result=${func_stripname_result#"${1}"}
+  func_stripname_result=${func_stripname_result%"${2}"}
+}
+
+# func_opt_split
+func_opt_split ()
+{
+  func_opt_split_opt=${1%%=*}
+  func_opt_split_arg=${1#*=}
+}
+
+# func_lo2o object
+func_lo2o ()
+{
+  case ${1} in
+    *.lo) func_lo2o_result=${1%.lo}.${objext} ;;
+    *)    func_lo2o_result=${1} ;;
+  esac
+}
+
+# func_xform libobj-or-source
+func_xform ()
+{
+  func_xform_result=${1%.*}.lo
+}
+
+# func_arith arithmetic-term...
+func_arith ()
+{
+  func_arith_result=$(( $[*] ))
+}
+
+# func_len string
+# STRING may not start with a hyphen.
+func_len ()
+{
+  func_len_result=${#1}
+}
+
+_LT_EOF
+    ;;
+  *) # Bourne compatible functions.
+    cat << \_LT_EOF >> "$cfgfile"
+
+# func_dirname file append nondir_replacement
+# Compute the dirname of FILE.  If nonempty, add APPEND to the result,
+# otherwise set result to NONDIR_REPLACEMENT.
+func_dirname ()
+{
+  # Extract subdirectory from the argument.
+  func_dirname_result=`$ECHO "X${1}" | $Xsed -e "$dirname"`
+  if test "X$func_dirname_result" = "X${1}"; then
+    func_dirname_result="${3}"
+  else
+    func_dirname_result="$func_dirname_result${2}"
+  fi
+}
+
+# func_basename file
+func_basename ()
+{
+  func_basename_result=`$ECHO "X${1}" | $Xsed -e "$basename"`
+}
+
+dnl func_dirname_and_basename
+dnl A portable version of this function is already defined in general.m4sh
+dnl so there is no need for it here.
+
+# func_stripname prefix suffix name
+# strip PREFIX and SUFFIX off of NAME.
+# PREFIX and SUFFIX must not contain globbing or regex special
+# characters, hashes, percent signs, but SUFFIX may contain a leading
+# dot (in which case that matches only a dot).
+# func_strip_suffix prefix name
+func_stripname ()
+{
+  case ${2} in
+    .*) func_stripname_result=`$ECHO "X${3}" \
+           | $Xsed -e "s%^${1}%%" -e "s%\\\\${2}\$%%"`;;
+    *)  func_stripname_result=`$ECHO "X${3}" \
+           | $Xsed -e "s%^${1}%%" -e "s%${2}\$%%"`;;
+  esac
+}
+
+# sed scripts:
+my_sed_long_opt='1s/^\(-[[^=]]*\)=.*/\1/;q'
+my_sed_long_arg='1s/^-[[^=]]*=//'
+
+# func_opt_split
+func_opt_split ()
+{
+  func_opt_split_opt=`$ECHO "X${1}" | $Xsed -e "$my_sed_long_opt"`
+  func_opt_split_arg=`$ECHO "X${1}" | $Xsed -e "$my_sed_long_arg"`
+}
+
+# func_lo2o object
+func_lo2o ()
+{
+  func_lo2o_result=`$ECHO "X${1}" | $Xsed -e "$lo2o"`
+}
+
+# func_xform libobj-or-source
+func_xform ()
+{
+  func_xform_result=`$ECHO "X${1}" | $Xsed -e 's/\.[[^.]]*$/.lo/'`
+}
+
+# func_arith arithmetic-term...
+func_arith ()
+{
+  func_arith_result=`expr "$[@]"`
+}
+
+# func_len string
+# STRING may not start with a hyphen.
+func_len ()
+{
+  func_len_result=`expr "$[1]" : ".*" 2>/dev/null || echo $max_cmd_len`
+}
+
+_LT_EOF
+esac
+
+case $lt_shell_append in
+  yes)
+    cat << \_LT_EOF >> "$cfgfile"
+
+# func_append var value
+# Append VALUE to the end of shell variable VAR.
+func_append ()
+{
+  eval "$[1]+=\$[2]"
+}
+_LT_EOF
+    ;;
+  *)
+    cat << \_LT_EOF >> "$cfgfile"
+
+# func_append var value
+# Append VALUE to the end of shell variable VAR.
+func_append ()
+{
+  eval "$[1]=\$$[1]\$[2]"
+}
+
+_LT_EOF
+    ;;
+  esac
+])
diff --git a/config/ltoptions.m4 b/config/ltoptions.m4
new file mode 100644 (file)
index 0000000..34151a3
--- /dev/null
@@ -0,0 +1,368 @@
+# Helper functions for option handling.                    -*- Autoconf -*-
+#
+#   Copyright (C) 2004, 2005, 2007, 2008 Free Software Foundation, Inc.
+#   Written by Gary V. Vaughan, 2004
+#
+# This file is free software; the Free Software Foundation gives
+# unlimited permission to copy and/or distribute it, with or without
+# modifications, as long as this notice is preserved.
+
+# serial 6 ltoptions.m4
+
+# This is to help aclocal find these macros, as it can't see m4_define.
+AC_DEFUN([LTOPTIONS_VERSION], [m4_if([1])])
+
+
+# _LT_MANGLE_OPTION(MACRO-NAME, OPTION-NAME)
+# ------------------------------------------
+m4_define([_LT_MANGLE_OPTION],
+[[_LT_OPTION_]m4_bpatsubst($1__$2, [[^a-zA-Z0-9_]], [_])])
+
+
+# _LT_SET_OPTION(MACRO-NAME, OPTION-NAME)
+# ---------------------------------------
+# Set option OPTION-NAME for macro MACRO-NAME, and if there is a
+# matching handler defined, dispatch to it.  Other OPTION-NAMEs are
+# saved as a flag.
+m4_define([_LT_SET_OPTION],
+[m4_define(_LT_MANGLE_OPTION([$1], [$2]))dnl
+m4_ifdef(_LT_MANGLE_DEFUN([$1], [$2]),
+        _LT_MANGLE_DEFUN([$1], [$2]),
+    [m4_warning([Unknown $1 option `$2'])])[]dnl
+])
+
+
+# _LT_IF_OPTION(MACRO-NAME, OPTION-NAME, IF-SET, [IF-NOT-SET])
+# ------------------------------------------------------------
+# Execute IF-SET if OPTION is set, IF-NOT-SET otherwise.
+m4_define([_LT_IF_OPTION],
+[m4_ifdef(_LT_MANGLE_OPTION([$1], [$2]), [$3], [$4])])
+
+
+# _LT_UNLESS_OPTIONS(MACRO-NAME, OPTION-LIST, IF-NOT-SET)
+# -------------------------------------------------------
+# Execute IF-NOT-SET unless all options in OPTION-LIST for MACRO-NAME
+# are set.
+m4_define([_LT_UNLESS_OPTIONS],
+[m4_foreach([_LT_Option], m4_split(m4_normalize([$2])),
+           [m4_ifdef(_LT_MANGLE_OPTION([$1], _LT_Option),
+                     [m4_define([$0_found])])])[]dnl
+m4_ifdef([$0_found], [m4_undefine([$0_found])], [$3
+])[]dnl
+])
+
+
+# _LT_SET_OPTIONS(MACRO-NAME, OPTION-LIST)
+# ----------------------------------------
+# OPTION-LIST is a space-separated list of Libtool options associated
+# with MACRO-NAME.  If any OPTION has a matching handler declared with
+# LT_OPTION_DEFINE, dispatch to that macro; otherwise complain about
+# the unknown option and exit.
+m4_defun([_LT_SET_OPTIONS],
+[# Set options
+m4_foreach([_LT_Option], m4_split(m4_normalize([$2])),
+    [_LT_SET_OPTION([$1], _LT_Option)])
+
+m4_if([$1],[LT_INIT],[
+  dnl
+  dnl Simply set some default values (i.e off) if boolean options were not
+  dnl specified:
+  _LT_UNLESS_OPTIONS([LT_INIT], [dlopen], [enable_dlopen=no
+  ])
+  _LT_UNLESS_OPTIONS([LT_INIT], [win32-dll], [enable_win32_dll=no
+  ])
+  dnl
+  dnl If no reference was made to various pairs of opposing options, then
+  dnl we run the default mode handler for the pair.  For example, if neither
+  dnl `shared' nor `disable-shared' was passed, we enable building of shared
+  dnl archives by default:
+  _LT_UNLESS_OPTIONS([LT_INIT], [shared disable-shared], [_LT_ENABLE_SHARED])
+  _LT_UNLESS_OPTIONS([LT_INIT], [static disable-static], [_LT_ENABLE_STATIC])
+  _LT_UNLESS_OPTIONS([LT_INIT], [pic-only no-pic], [_LT_WITH_PIC])
+  _LT_UNLESS_OPTIONS([LT_INIT], [fast-install disable-fast-install],
+                  [_LT_ENABLE_FAST_INSTALL])
+  ])
+])# _LT_SET_OPTIONS
+
+
+## --------------------------------- ##
+## Macros to handle LT_INIT options. ##
+## --------------------------------- ##
+
+# _LT_MANGLE_DEFUN(MACRO-NAME, OPTION-NAME)
+# -----------------------------------------
+m4_define([_LT_MANGLE_DEFUN],
+[[_LT_OPTION_DEFUN_]m4_bpatsubst(m4_toupper([$1__$2]), [[^A-Z0-9_]], [_])])
+
+
+# LT_OPTION_DEFINE(MACRO-NAME, OPTION-NAME, CODE)
+# -----------------------------------------------
+m4_define([LT_OPTION_DEFINE],
+[m4_define(_LT_MANGLE_DEFUN([$1], [$2]), [$3])[]dnl
+])# LT_OPTION_DEFINE
+
+
+# dlopen
+# ------
+LT_OPTION_DEFINE([LT_INIT], [dlopen], [enable_dlopen=yes
+])
+
+AU_DEFUN([AC_LIBTOOL_DLOPEN],
+[_LT_SET_OPTION([LT_INIT], [dlopen])
+AC_DIAGNOSE([obsolete],
+[$0: Remove this warning and the call to _LT_SET_OPTION when you
+put the `dlopen' option into LT_INIT's first parameter.])
+])
+
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([AC_LIBTOOL_DLOPEN], [])
+
+
+# win32-dll
+# ---------
+# Declare package support for building win32 dll's.
+LT_OPTION_DEFINE([LT_INIT], [win32-dll],
+[enable_win32_dll=yes
+
+case $host in
+*-*-cygwin* | *-*-mingw* | *-*-pw32* | *-cegcc*)
+  AC_CHECK_TOOL(AS, as, false)
+  AC_CHECK_TOOL(DLLTOOL, dlltool, false)
+  AC_CHECK_TOOL(OBJDUMP, objdump, false)
+  ;;
+esac
+
+test -z "$AS" && AS=as
+_LT_DECL([], [AS],      [0], [Assembler program])dnl
+
+test -z "$DLLTOOL" && DLLTOOL=dlltool
+_LT_DECL([], [DLLTOOL], [0], [DLL creation program])dnl
+
+test -z "$OBJDUMP" && OBJDUMP=objdump
+_LT_DECL([], [OBJDUMP], [0], [Object dumper program])dnl
+])# win32-dll
+
+AU_DEFUN([AC_LIBTOOL_WIN32_DLL],
+[AC_REQUIRE([AC_CANONICAL_HOST])dnl
+_LT_SET_OPTION([LT_INIT], [win32-dll])
+AC_DIAGNOSE([obsolete],
+[$0: Remove this warning and the call to _LT_SET_OPTION when you
+put the `win32-dll' option into LT_INIT's first parameter.])
+])
+
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([AC_LIBTOOL_WIN32_DLL], [])
+
+
+# _LT_ENABLE_SHARED([DEFAULT])
+# ----------------------------
+# implement the --enable-shared flag, and supports the `shared' and
+# `disable-shared' LT_INIT options.
+# DEFAULT is either `yes' or `no'.  If omitted, it defaults to `yes'.
+m4_define([_LT_ENABLE_SHARED],
+[m4_define([_LT_ENABLE_SHARED_DEFAULT], [m4_if($1, no, no, yes)])dnl
+AC_ARG_ENABLE([shared],
+    [AS_HELP_STRING([--enable-shared@<:@=PKGS@:>@],
+       [build shared libraries @<:@default=]_LT_ENABLE_SHARED_DEFAULT[@:>@])],
+    [p=${PACKAGE-default}
+    case $enableval in
+    yes) enable_shared=yes ;;
+    no) enable_shared=no ;;
+    *)
+      enable_shared=no
+      # Look at the argument we got.  We use all the common list separators.
+      lt_save_ifs="$IFS"; IFS="${IFS}$PATH_SEPARATOR,"
+      for pkg in $enableval; do
+       IFS="$lt_save_ifs"
+       if test "X$pkg" = "X$p"; then
+         enable_shared=yes
+       fi
+      done
+      IFS="$lt_save_ifs"
+      ;;
+    esac],
+    [enable_shared=]_LT_ENABLE_SHARED_DEFAULT)
+
+    _LT_DECL([build_libtool_libs], [enable_shared], [0],
+       [Whether or not to build shared libraries])
+])# _LT_ENABLE_SHARED
+
+LT_OPTION_DEFINE([LT_INIT], [shared], [_LT_ENABLE_SHARED([yes])])
+LT_OPTION_DEFINE([LT_INIT], [disable-shared], [_LT_ENABLE_SHARED([no])])
+
+# Old names:
+AC_DEFUN([AC_ENABLE_SHARED],
+[_LT_SET_OPTION([LT_INIT], m4_if([$1], [no], [disable-])[shared])
+])
+
+AC_DEFUN([AC_DISABLE_SHARED],
+[_LT_SET_OPTION([LT_INIT], [disable-shared])
+])
+
+AU_DEFUN([AM_ENABLE_SHARED], [AC_ENABLE_SHARED($@)])
+AU_DEFUN([AM_DISABLE_SHARED], [AC_DISABLE_SHARED($@)])
+
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([AM_ENABLE_SHARED], [])
+dnl AC_DEFUN([AM_DISABLE_SHARED], [])
+
+
+
+# _LT_ENABLE_STATIC([DEFAULT])
+# ----------------------------
+# implement the --enable-static flag, and support the `static' and
+# `disable-static' LT_INIT options.
+# DEFAULT is either `yes' or `no'.  If omitted, it defaults to `yes'.
+m4_define([_LT_ENABLE_STATIC],
+[m4_define([_LT_ENABLE_STATIC_DEFAULT], [m4_if($1, no, no, yes)])dnl
+AC_ARG_ENABLE([static],
+    [AS_HELP_STRING([--enable-static@<:@=PKGS@:>@],
+       [build static libraries @<:@default=]_LT_ENABLE_STATIC_DEFAULT[@:>@])],
+    [p=${PACKAGE-default}
+    case $enableval in
+    yes) enable_static=yes ;;
+    no) enable_static=no ;;
+    *)
+     enable_static=no
+      # Look at the argument we got.  We use all the common list separators.
+      lt_save_ifs="$IFS"; IFS="${IFS}$PATH_SEPARATOR,"
+      for pkg in $enableval; do
+       IFS="$lt_save_ifs"
+       if test "X$pkg" = "X$p"; then
+         enable_static=yes
+       fi
+      done
+      IFS="$lt_save_ifs"
+      ;;
+    esac],
+    [enable_static=]_LT_ENABLE_STATIC_DEFAULT)
+
+    _LT_DECL([build_old_libs], [enable_static], [0],
+       [Whether or not to build static libraries])
+])# _LT_ENABLE_STATIC
+
+LT_OPTION_DEFINE([LT_INIT], [static], [_LT_ENABLE_STATIC([yes])])
+LT_OPTION_DEFINE([LT_INIT], [disable-static], [_LT_ENABLE_STATIC([no])])
+
+# Old names:
+AC_DEFUN([AC_ENABLE_STATIC],
+[_LT_SET_OPTION([LT_INIT], m4_if([$1], [no], [disable-])[static])
+])
+
+AC_DEFUN([AC_DISABLE_STATIC],
+[_LT_SET_OPTION([LT_INIT], [disable-static])
+])
+
+AU_DEFUN([AM_ENABLE_STATIC], [AC_ENABLE_STATIC($@)])
+AU_DEFUN([AM_DISABLE_STATIC], [AC_DISABLE_STATIC($@)])
+
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([AM_ENABLE_STATIC], [])
+dnl AC_DEFUN([AM_DISABLE_STATIC], [])
+
+
+
+# _LT_ENABLE_FAST_INSTALL([DEFAULT])
+# ----------------------------------
+# implement the --enable-fast-install flag, and support the `fast-install'
+# and `disable-fast-install' LT_INIT options.
+# DEFAULT is either `yes' or `no'.  If omitted, it defaults to `yes'.
+m4_define([_LT_ENABLE_FAST_INSTALL],
+[m4_define([_LT_ENABLE_FAST_INSTALL_DEFAULT], [m4_if($1, no, no, yes)])dnl
+AC_ARG_ENABLE([fast-install],
+    [AS_HELP_STRING([--enable-fast-install@<:@=PKGS@:>@],
+    [optimize for fast installation @<:@default=]_LT_ENABLE_FAST_INSTALL_DEFAULT[@:>@])],
+    [p=${PACKAGE-default}
+    case $enableval in
+    yes) enable_fast_install=yes ;;
+    no) enable_fast_install=no ;;
+    *)
+      enable_fast_install=no
+      # Look at the argument we got.  We use all the common list separators.
+      lt_save_ifs="$IFS"; IFS="${IFS}$PATH_SEPARATOR,"
+      for pkg in $enableval; do
+       IFS="$lt_save_ifs"
+       if test "X$pkg" = "X$p"; then
+         enable_fast_install=yes
+       fi
+      done
+      IFS="$lt_save_ifs"
+      ;;
+    esac],
+    [enable_fast_install=]_LT_ENABLE_FAST_INSTALL_DEFAULT)
+
+_LT_DECL([fast_install], [enable_fast_install], [0],
+        [Whether or not to optimize for fast installation])dnl
+])# _LT_ENABLE_FAST_INSTALL
+
+LT_OPTION_DEFINE([LT_INIT], [fast-install], [_LT_ENABLE_FAST_INSTALL([yes])])
+LT_OPTION_DEFINE([LT_INIT], [disable-fast-install], [_LT_ENABLE_FAST_INSTALL([no])])
+
+# Old names:
+AU_DEFUN([AC_ENABLE_FAST_INSTALL],
+[_LT_SET_OPTION([LT_INIT], m4_if([$1], [no], [disable-])[fast-install])
+AC_DIAGNOSE([obsolete],
+[$0: Remove this warning and the call to _LT_SET_OPTION when you put
+the `fast-install' option into LT_INIT's first parameter.])
+])
+
+AU_DEFUN([AC_DISABLE_FAST_INSTALL],
+[_LT_SET_OPTION([LT_INIT], [disable-fast-install])
+AC_DIAGNOSE([obsolete],
+[$0: Remove this warning and the call to _LT_SET_OPTION when you put
+the `disable-fast-install' option into LT_INIT's first parameter.])
+])
+
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([AC_ENABLE_FAST_INSTALL], [])
+dnl AC_DEFUN([AM_DISABLE_FAST_INSTALL], [])
+
+
+# _LT_WITH_PIC([MODE])
+# --------------------
+# implement the --with-pic flag, and support the `pic-only' and `no-pic'
+# LT_INIT options.
+# MODE is either `yes' or `no'.  If omitted, it defaults to `both'.
+m4_define([_LT_WITH_PIC],
+[AC_ARG_WITH([pic],
+    [AS_HELP_STRING([--with-pic],
+       [try to use only PIC/non-PIC objects @<:@default=use both@:>@])],
+    [pic_mode="$withval"],
+    [pic_mode=default])
+
+test -z "$pic_mode" && pic_mode=m4_default([$1], [default])
+
+_LT_DECL([], [pic_mode], [0], [What type of objects to build])dnl
+])# _LT_WITH_PIC
+
+LT_OPTION_DEFINE([LT_INIT], [pic-only], [_LT_WITH_PIC([yes])])
+LT_OPTION_DEFINE([LT_INIT], [no-pic], [_LT_WITH_PIC([no])])
+
+# Old name:
+AU_DEFUN([AC_LIBTOOL_PICMODE],
+[_LT_SET_OPTION([LT_INIT], [pic-only])
+AC_DIAGNOSE([obsolete],
+[$0: Remove this warning and the call to _LT_SET_OPTION when you
+put the `pic-only' option into LT_INIT's first parameter.])
+])
+
+dnl aclocal-1.4 backwards compatibility:
+dnl AC_DEFUN([AC_LIBTOOL_PICMODE], [])
+
+## ----------------- ##
+## LTDL_INIT Options ##
+## ----------------- ##
+
+m4_define([_LTDL_MODE], [])
+LT_OPTION_DEFINE([LTDL_INIT], [nonrecursive],
+                [m4_define([_LTDL_MODE], [nonrecursive])])
+LT_OPTION_DEFINE([LTDL_INIT], [recursive],
+                [m4_define([_LTDL_MODE], [recursive])])
+LT_OPTION_DEFINE([LTDL_INIT], [subproject],
+                [m4_define([_LTDL_MODE], [subproject])])
+
+m4_define([_LTDL_TYPE], [])
+LT_OPTION_DEFINE([LTDL_INIT], [installable],
+                [m4_define([_LTDL_TYPE], [installable])])
+LT_OPTION_DEFINE([LTDL_INIT], [convenience],
+                [m4_define([_LTDL_TYPE], [convenience])])
diff --git a/config/ltsugar.m4 b/config/ltsugar.m4
new file mode 100644 (file)
index 0000000..9000a05
--- /dev/null
@@ -0,0 +1,123 @@
+# ltsugar.m4 -- libtool m4 base layer.                         -*-Autoconf-*-
+#
+# Copyright (C) 2004, 2005, 2007, 2008 Free Software Foundation, Inc.
+# Written by Gary V. Vaughan, 2004
+#
+# This file is free software; the Free Software Foundation gives
+# unlimited permission to copy and/or distribute it, with or without
+# modifications, as long as this notice is preserved.
+
+# serial 6 ltsugar.m4
+
+# This is to help aclocal find these macros, as it can't see m4_define.
+AC_DEFUN([LTSUGAR_VERSION], [m4_if([0.1])])
+
+
+# lt_join(SEP, ARG1, [ARG2...])
+# -----------------------------
+# Produce ARG1SEPARG2...SEPARGn, omitting [] arguments and their
+# associated separator.
+# Needed until we can rely on m4_join from Autoconf 2.62, since all earlier
+# versions in m4sugar had bugs.
+m4_define([lt_join],
+[m4_if([$#], [1], [],
+       [$#], [2], [[$2]],
+       [m4_if([$2], [], [], [[$2]_])$0([$1], m4_shift(m4_shift($@)))])])
+m4_define([_lt_join],
+[m4_if([$#$2], [2], [],
+       [m4_if([$2], [], [], [[$1$2]])$0([$1], m4_shift(m4_shift($@)))])])
+
+
+# lt_car(LIST)
+# lt_cdr(LIST)
+# ------------
+# Manipulate m4 lists.
+# These macros are necessary as long as will still need to support
+# Autoconf-2.59 which quotes differently.
+m4_define([lt_car], [[$1]])
+m4_define([lt_cdr],
+[m4_if([$#], 0, [m4_fatal([$0: cannot be called without arguments])],
+       [$#], 1, [],
+       [m4_dquote(m4_shift($@))])])
+m4_define([lt_unquote], $1)
+
+
+# lt_append(MACRO-NAME, STRING, [SEPARATOR])
+# ------------------------------------------
+# Redefine MACRO-NAME to hold its former content plus `SEPARATOR'`STRING'.
+# Note that neither SEPARATOR nor STRING are expanded; they are appended
+# to MACRO-NAME as is (leaving the expansion for when MACRO-NAME is invoked).
+# No SEPARATOR is output if MACRO-NAME was previously undefined (different
+# than defined and empty).
+#
+# This macro is needed until we can rely on Autoconf 2.62, since earlier
+# versions of m4sugar mistakenly expanded SEPARATOR but not STRING.
+m4_define([lt_append],
+[m4_define([$1],
+          m4_ifdef([$1], [m4_defn([$1])[$3]])[$2])])
+
+
+
+# lt_combine(SEP, PREFIX-LIST, INFIX, SUFFIX1, [SUFFIX2...])
+# ----------------------------------------------------------
+# Produce a SEP delimited list of all paired combinations of elements of
+# PREFIX-LIST with SUFFIX1 through SUFFIXn.  Each element of the list
+# has the form PREFIXmINFIXSUFFIXn.
+# Needed until we can rely on m4_combine added in Autoconf 2.62.
+m4_define([lt_combine],
+[m4_if(m4_eval([$# > 3]), [1],
+       [m4_pushdef([_Lt_sep], [m4_define([_Lt_sep], m4_defn([lt_car]))])]]dnl
+[[m4_foreach([_Lt_prefix], [$2],
+            [m4_foreach([_Lt_suffix],
+               ]m4_dquote(m4_dquote(m4_shift(m4_shift(m4_shift($@)))))[,
+       [_Lt_sep([$1])[]m4_defn([_Lt_prefix])[$3]m4_defn([_Lt_suffix])])])])])
+
+
+# lt_if_append_uniq(MACRO-NAME, VARNAME, [SEPARATOR], [UNIQ], [NOT-UNIQ])
+# -----------------------------------------------------------------------
+# Iff MACRO-NAME does not yet contain VARNAME, then append it (delimited
+# by SEPARATOR if supplied) and expand UNIQ, else NOT-UNIQ.
+m4_define([lt_if_append_uniq],
+[m4_ifdef([$1],
+         [m4_if(m4_index([$3]m4_defn([$1])[$3], [$3$2$3]), [-1],
+                [lt_append([$1], [$2], [$3])$4],
+                [$5])],
+         [lt_append([$1], [$2], [$3])$4])])
+
+
+# lt_dict_add(DICT, KEY, VALUE)
+# -----------------------------
+m4_define([lt_dict_add],
+[m4_define([$1($2)], [$3])])
+
+
+# lt_dict_add_subkey(DICT, KEY, SUBKEY, VALUE)
+# --------------------------------------------
+m4_define([lt_dict_add_subkey],
+[m4_define([$1($2:$3)], [$4])])
+
+
+# lt_dict_fetch(DICT, KEY, [SUBKEY])
+# ----------------------------------
+m4_define([lt_dict_fetch],
+[m4_ifval([$3],
+       m4_ifdef([$1($2:$3)], [m4_defn([$1($2:$3)])]),
+    m4_ifdef([$1($2)], [m4_defn([$1($2)])]))])
+
+
+# lt_if_dict_fetch(DICT, KEY, [SUBKEY], VALUE, IF-TRUE, [IF-FALSE])
+# -----------------------------------------------------------------
+m4_define([lt_if_dict_fetch],
+[m4_if(lt_dict_fetch([$1], [$2], [$3]), [$4],
+       [$5],
+    [$6])])
+
+
+# lt_dict_filter(DICT, [SUBKEY], VALUE, [SEPARATOR], KEY, [...])
+# --------------------------------------------------------------
+m4_define([lt_dict_filter],
+[m4_if([$5], [], [],
+  [lt_join(m4_quote(m4_default([$4], [[, ]])),
+           lt_unquote(m4_split(m4_normalize(m4_foreach(_Lt_key, lt_car([m4_shiftn(4, $@)]),
+                     [lt_if_dict_fetch([$1], _Lt_key, [$2], [$3], [_Lt_key ])])))))])[]dnl
+])
diff --git a/config/ltversion.m4 b/config/ltversion.m4
new file mode 100644 (file)
index 0000000..b8e154f
--- /dev/null
@@ -0,0 +1,23 @@
+# ltversion.m4 -- version numbers                      -*- Autoconf -*-
+#
+#   Copyright (C) 2004 Free Software Foundation, Inc.
+#   Written by Scott James Remnant, 2004
+#
+# This file is free software; the Free Software Foundation gives
+# unlimited permission to copy and/or distribute it, with or without
+# modifications, as long as this notice is preserved.
+
+# Generated from ltversion.in.
+
+# serial 3012 ltversion.m4
+# This file is part of GNU Libtool
+
+m4_define([LT_PACKAGE_VERSION], [2.2.6])
+m4_define([LT_PACKAGE_REVISION], [1.3012])
+
+AC_DEFUN([LTVERSION_VERSION],
+[macro_version='2.2.6'
+macro_revision='1.3012'
+_LT_DECL(, macro_version, 0, [Which release of libtool.m4 was used?])
+_LT_DECL(, macro_revision, 0)
+])
diff --git a/config/lt~obsolete.m4 b/config/lt~obsolete.m4
new file mode 100644 (file)
index 0000000..637bb20
--- /dev/null
@@ -0,0 +1,92 @@
+# lt~obsolete.m4 -- aclocal satisfying obsolete definitions.    -*-Autoconf-*-
+#
+#   Copyright (C) 2004, 2005, 2007 Free Software Foundation, Inc.
+#   Written by Scott James Remnant, 2004.
+#
+# This file is free software; the Free Software Foundation gives
+# unlimited permission to copy and/or distribute it, with or without
+# modifications, as long as this notice is preserved.
+
+# serial 4 lt~obsolete.m4
+
+# These exist entirely to fool aclocal when bootstrapping libtool.
+#
+# In the past libtool.m4 has provided macros via AC_DEFUN (or AU_DEFUN)
+# which have later been changed to m4_define as they aren't part of the
+# exported API, or moved to Autoconf or Automake where they belong.
+#
+# The trouble is, aclocal is a bit thick.  It'll see the old AC_DEFUN
+# in /usr/share/aclocal/libtool.m4 and remember it, then when it sees us
+# using a macro with the same name in our local m4/libtool.m4 it'll
+# pull the old libtool.m4 in (it doesn't see our shiny new m4_define
+# and doesn't know about Autoconf macros at all.)
+#
+# So we provide this file, which has a silly filename so it's always
+# included after everything else.  This provides aclocal with the
+# AC_DEFUNs it wants, but when m4 processes it, it doesn't do anything
+# because those macros already exist, or will be overwritten later.
+# We use AC_DEFUN over AU_DEFUN for compatibility with aclocal-1.6. 
+#
+# Anytime we withdraw an AC_DEFUN or AU_DEFUN, remember to add it here.
+# Yes, that means every name once taken will need to remain here until
+# we give up compatibility with versions before 1.7, at which point
+# we need to keep only those names which we still refer to.
+
+# This is to help aclocal find these macros, as it can't see m4_define.
+AC_DEFUN([LTOBSOLETE_VERSION], [m4_if([1])])
+
+m4_ifndef([AC_LIBTOOL_LINKER_OPTION],  [AC_DEFUN([AC_LIBTOOL_LINKER_OPTION])])
+m4_ifndef([AC_PROG_EGREP],             [AC_DEFUN([AC_PROG_EGREP])])
+m4_ifndef([_LT_AC_PROG_ECHO_BACKSLASH],        [AC_DEFUN([_LT_AC_PROG_ECHO_BACKSLASH])])
+m4_ifndef([_LT_AC_SHELL_INIT],         [AC_DEFUN([_LT_AC_SHELL_INIT])])
+m4_ifndef([_LT_AC_SYS_LIBPATH_AIX],    [AC_DEFUN([_LT_AC_SYS_LIBPATH_AIX])])
+m4_ifndef([_LT_PROG_LTMAIN],           [AC_DEFUN([_LT_PROG_LTMAIN])])
+m4_ifndef([_LT_AC_TAGVAR],             [AC_DEFUN([_LT_AC_TAGVAR])])
+m4_ifndef([AC_LTDL_ENABLE_INSTALL],    [AC_DEFUN([AC_LTDL_ENABLE_INSTALL])])
+m4_ifndef([AC_LTDL_PREOPEN],           [AC_DEFUN([AC_LTDL_PREOPEN])])
+m4_ifndef([_LT_AC_SYS_COMPILER],       [AC_DEFUN([_LT_AC_SYS_COMPILER])])
+m4_ifndef([_LT_AC_LOCK],               [AC_DEFUN([_LT_AC_LOCK])])
+m4_ifndef([AC_LIBTOOL_SYS_OLD_ARCHIVE],        [AC_DEFUN([AC_LIBTOOL_SYS_OLD_ARCHIVE])])
+m4_ifndef([_LT_AC_TRY_DLOPEN_SELF],    [AC_DEFUN([_LT_AC_TRY_DLOPEN_SELF])])
+m4_ifndef([AC_LIBTOOL_PROG_CC_C_O],    [AC_DEFUN([AC_LIBTOOL_PROG_CC_C_O])])
+m4_ifndef([AC_LIBTOOL_SYS_HARD_LINK_LOCKS], [AC_DEFUN([AC_LIBTOOL_SYS_HARD_LINK_LOCKS])])
+m4_ifndef([AC_LIBTOOL_OBJDIR],         [AC_DEFUN([AC_LIBTOOL_OBJDIR])])
+m4_ifndef([AC_LTDL_OBJDIR],            [AC_DEFUN([AC_LTDL_OBJDIR])])
+m4_ifndef([AC_LIBTOOL_PROG_LD_HARDCODE_LIBPATH], [AC_DEFUN([AC_LIBTOOL_PROG_LD_HARDCODE_LIBPATH])])
+m4_ifndef([AC_LIBTOOL_SYS_LIB_STRIP],  [AC_DEFUN([AC_LIBTOOL_SYS_LIB_STRIP])])
+m4_ifndef([AC_PATH_MAGIC],             [AC_DEFUN([AC_PATH_MAGIC])])
+m4_ifndef([AC_PROG_LD_GNU],            [AC_DEFUN([AC_PROG_LD_GNU])])
+m4_ifndef([AC_PROG_LD_RELOAD_FLAG],    [AC_DEFUN([AC_PROG_LD_RELOAD_FLAG])])
+m4_ifndef([AC_DEPLIBS_CHECK_METHOD],   [AC_DEFUN([AC_DEPLIBS_CHECK_METHOD])])
+m4_ifndef([AC_LIBTOOL_PROG_COMPILER_NO_RTTI], [AC_DEFUN([AC_LIBTOOL_PROG_COMPILER_NO_RTTI])])
+m4_ifndef([AC_LIBTOOL_SYS_GLOBAL_SYMBOL_PIPE], [AC_DEFUN([AC_LIBTOOL_SYS_GLOBAL_SYMBOL_PIPE])])
+m4_ifndef([AC_LIBTOOL_PROG_COMPILER_PIC], [AC_DEFUN([AC_LIBTOOL_PROG_COMPILER_PIC])])
+m4_ifndef([AC_LIBTOOL_PROG_LD_SHLIBS], [AC_DEFUN([AC_LIBTOOL_PROG_LD_SHLIBS])])
+m4_ifndef([AC_LIBTOOL_POSTDEP_PREDEP], [AC_DEFUN([AC_LIBTOOL_POSTDEP_PREDEP])])
+m4_ifndef([LT_AC_PROG_EGREP],          [AC_DEFUN([LT_AC_PROG_EGREP])])
+m4_ifndef([LT_AC_PROG_SED],            [AC_DEFUN([LT_AC_PROG_SED])])
+m4_ifndef([_LT_CC_BASENAME],           [AC_DEFUN([_LT_CC_BASENAME])])
+m4_ifndef([_LT_COMPILER_BOILERPLATE],  [AC_DEFUN([_LT_COMPILER_BOILERPLATE])])
+m4_ifndef([_LT_LINKER_BOILERPLATE],    [AC_DEFUN([_LT_LINKER_BOILERPLATE])])
+m4_ifndef([_AC_PROG_LIBTOOL],          [AC_DEFUN([_AC_PROG_LIBTOOL])])
+m4_ifndef([AC_LIBTOOL_SETUP],          [AC_DEFUN([AC_LIBTOOL_SETUP])])
+m4_ifndef([_LT_AC_CHECK_DLFCN],                [AC_DEFUN([_LT_AC_CHECK_DLFCN])])
+m4_ifndef([AC_LIBTOOL_SYS_DYNAMIC_LINKER],     [AC_DEFUN([AC_LIBTOOL_SYS_DYNAMIC_LINKER])])
+m4_ifndef([_LT_AC_TAGCONFIG],          [AC_DEFUN([_LT_AC_TAGCONFIG])])
+m4_ifndef([AC_DISABLE_FAST_INSTALL],   [AC_DEFUN([AC_DISABLE_FAST_INSTALL])])
+m4_ifndef([_LT_AC_LANG_CXX],           [AC_DEFUN([_LT_AC_LANG_CXX])])
+m4_ifndef([_LT_AC_LANG_F77],           [AC_DEFUN([_LT_AC_LANG_F77])])
+m4_ifndef([_LT_AC_LANG_GCJ],           [AC_DEFUN([_LT_AC_LANG_GCJ])])
+m4_ifndef([AC_LIBTOOL_RC],             [AC_DEFUN([AC_LIBTOOL_RC])])
+m4_ifndef([AC_LIBTOOL_LANG_C_CONFIG],  [AC_DEFUN([AC_LIBTOOL_LANG_C_CONFIG])])
+m4_ifndef([_LT_AC_LANG_C_CONFIG],      [AC_DEFUN([_LT_AC_LANG_C_CONFIG])])
+m4_ifndef([AC_LIBTOOL_LANG_CXX_CONFIG],        [AC_DEFUN([AC_LIBTOOL_LANG_CXX_CONFIG])])
+m4_ifndef([_LT_AC_LANG_CXX_CONFIG],    [AC_DEFUN([_LT_AC_LANG_CXX_CONFIG])])
+m4_ifndef([AC_LIBTOOL_LANG_F77_CONFIG],        [AC_DEFUN([AC_LIBTOOL_LANG_F77_CONFIG])])
+m4_ifndef([_LT_AC_LANG_F77_CONFIG],    [AC_DEFUN([_LT_AC_LANG_F77_CONFIG])])
+m4_ifndef([AC_LIBTOOL_LANG_GCJ_CONFIG],        [AC_DEFUN([AC_LIBTOOL_LANG_GCJ_CONFIG])])
+m4_ifndef([_LT_AC_LANG_GCJ_CONFIG],    [AC_DEFUN([_LT_AC_LANG_GCJ_CONFIG])])
+m4_ifndef([AC_LIBTOOL_LANG_RC_CONFIG], [AC_DEFUN([AC_LIBTOOL_LANG_RC_CONFIG])])
+m4_ifndef([_LT_AC_LANG_RC_CONFIG],     [AC_DEFUN([_LT_AC_LANG_RC_CONFIG])])
+m4_ifndef([AC_LIBTOOL_CONFIG],         [AC_DEFUN([AC_LIBTOOL_CONFIG])])
+m4_ifndef([_LT_AC_FILE_LTDLL_C],       [AC_DEFUN([_LT_AC_FILE_LTDLL_C])])
diff --git a/configure b/configure
new file mode 100755 (executable)
index 0000000..2fcc2b0
--- /dev/null
+++ b/configure
@@ -0,0 +1,48586 @@
+#! /bin/sh
+# Guess values for system-dependent variables and create Makefiles.
+# Generated by GNU Autoconf 2.63.
+#
+# Copyright (C) 1992, 1993, 1994, 1995, 1996, 1998, 1999, 2000, 2001,
+# 2002, 2003, 2004, 2005, 2006, 2007, 2008 Free Software Foundation, Inc.
+# This configure script is free software; the Free Software Foundation
+# gives unlimited permission to copy, distribute and modify it.
+## --------------------- ##
+## M4sh Initialization.  ##
+## --------------------- ##
+
+# Be more Bourne compatible
+DUALCASE=1; export DUALCASE # for MKS sh
+if test -n "${ZSH_VERSION+set}" && (emulate sh) >/dev/null 2>&1; then
+  emulate sh
+  NULLCMD=:
+  # Pre-4.2 versions of Zsh do word splitting on ${1+"$@"}, which
+  # is contrary to our usage.  Disable this feature.
+  alias -g '${1+"$@"}'='"$@"'
+  setopt NO_GLOB_SUBST
+else
+  case `(set -o) 2>/dev/null` in
+  *posix*) set -o posix ;;
+esac
+
+fi
+
+
+
+
+# PATH needs CR
+# Avoid depending upon Character Ranges.
+as_cr_letters='abcdefghijklmnopqrstuvwxyz'
+as_cr_LETTERS='ABCDEFGHIJKLMNOPQRSTUVWXYZ'
+as_cr_Letters=$as_cr_letters$as_cr_LETTERS
+as_cr_digits='0123456789'
+as_cr_alnum=$as_cr_Letters$as_cr_digits
+
+as_nl='
+'
+export as_nl
+# Printing a long string crashes Solaris 7 /usr/bin/printf.
+as_echo='\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\'
+as_echo=$as_echo$as_echo$as_echo$as_echo$as_echo
+as_echo=$as_echo$as_echo$as_echo$as_echo$as_echo$as_echo
+if (test "X`printf %s $as_echo`" = "X$as_echo") 2>/dev/null; then
+  as_echo='printf %s\n'
+  as_echo_n='printf %s'
+else
+  if test "X`(/usr/ucb/echo -n -n $as_echo) 2>/dev/null`" = "X-n $as_echo"; then
+    as_echo_body='eval /usr/ucb/echo -n "$1$as_nl"'
+    as_echo_n='/usr/ucb/echo -n'
+  else
+    as_echo_body='eval expr "X$1" : "X\\(.*\\)"'
+    as_echo_n_body='eval
+      arg=$1;
+      case $arg in
+      *"$as_nl"*)
+       expr "X$arg" : "X\\(.*\\)$as_nl";
+       arg=`expr "X$arg" : ".*$as_nl\\(.*\\)"`;;
+      esac;
+      expr "X$arg" : "X\\(.*\\)" | tr -d "$as_nl"
+    '
+    export as_echo_n_body
+    as_echo_n='sh -c $as_echo_n_body as_echo'
+  fi
+  export as_echo_body
+  as_echo='sh -c $as_echo_body as_echo'
+fi
+
+# The user is always right.
+if test "${PATH_SEPARATOR+set}" != set; then
+  PATH_SEPARATOR=:
+  (PATH='/bin;/bin'; FPATH=$PATH; sh -c :) >/dev/null 2>&1 && {
+    (PATH='/bin:/bin'; FPATH=$PATH; sh -c :) >/dev/null 2>&1 ||
+      PATH_SEPARATOR=';'
+  }
+fi
+
+# Support unset when possible.
+if ( (MAIL=60; unset MAIL) || exit) >/dev/null 2>&1; then
+  as_unset=unset
+else
+  as_unset=false
+fi
+
+
+# IFS
+# We need space, tab and new line, in precisely that order.  Quoting is
+# there to prevent editors from complaining about space-tab.
+# (If _AS_PATH_WALK were called with IFS unset, it would disable word
+# splitting by setting IFS to empty value.)
+IFS=" ""       $as_nl"
+
+# Find who we are.  Look in the path if we contain no directory separator.
+case $0 in
+  *[\\/]* ) as_myself=$0 ;;
+  *) as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  test -r "$as_dir/$0" && as_myself=$as_dir/$0 && break
+done
+IFS=$as_save_IFS
+
+     ;;
+esac
+# We did not find ourselves, most probably we were run as `sh COMMAND'
+# in which case we are not to be found in the path.
+if test "x$as_myself" = x; then
+  as_myself=$0
+fi
+if test ! -f "$as_myself"; then
+  $as_echo "$as_myself: error: cannot find myself; rerun with an absolute file name" >&2
+  { (exit 1); exit 1; }
+fi
+
+# Work around bugs in pre-3.0 UWIN ksh.
+for as_var in ENV MAIL MAILPATH
+do ($as_unset $as_var) >/dev/null 2>&1 && $as_unset $as_var
+done
+PS1='$ '
+PS2='> '
+PS4='+ '
+
+# NLS nuisances.
+LC_ALL=C
+export LC_ALL
+LANGUAGE=C
+export LANGUAGE
+
+# Required to use basename.
+if expr a : '\(a\)' >/dev/null 2>&1 &&
+   test "X`expr 00001 : '.*\(...\)'`" = X001; then
+  as_expr=expr
+else
+  as_expr=false
+fi
+
+if (basename -- /) >/dev/null 2>&1 && test "X`basename -- / 2>&1`" = "X/"; then
+  as_basename=basename
+else
+  as_basename=false
+fi
+
+
+# Name of the executable.
+as_me=`$as_basename -- "$0" ||
+$as_expr X/"$0" : '.*/\([^/][^/]*\)/*$' \| \
+        X"$0" : 'X\(//\)$' \| \
+        X"$0" : 'X\(/\)' \| . 2>/dev/null ||
+$as_echo X/"$0" |
+    sed '/^.*\/\([^/][^/]*\)\/*$/{
+           s//\1/
+           q
+         }
+         /^X\/\(\/\/\)$/{
+           s//\1/
+           q
+         }
+         /^X\/\(\/\).*/{
+           s//\1/
+           q
+         }
+         s/.*/./; q'`
+
+# CDPATH.
+$as_unset CDPATH
+
+
+if test "x$CONFIG_SHELL" = x; then
+  if (eval ":") 2>/dev/null; then
+  as_have_required=yes
+else
+  as_have_required=no
+fi
+
+  if test $as_have_required = yes &&    (eval ":
+(as_func_return () {
+  (exit \$1)
+}
+as_func_success () {
+  as_func_return 0
+}
+as_func_failure () {
+  as_func_return 1
+}
+as_func_ret_success () {
+  return 0
+}
+as_func_ret_failure () {
+  return 1
+}
+
+exitcode=0
+if as_func_success; then
+  :
+else
+  exitcode=1
+  echo as_func_success failed.
+fi
+
+if as_func_failure; then
+  exitcode=1
+  echo as_func_failure succeeded.
+fi
+
+if as_func_ret_success; then
+  :
+else
+  exitcode=1
+  echo as_func_ret_success failed.
+fi
+
+if as_func_ret_failure; then
+  exitcode=1
+  echo as_func_ret_failure succeeded.
+fi
+
+if ( set x; as_func_ret_success y && test x = \"\$1\" ); then
+  :
+else
+  exitcode=1
+  echo positional parameters were not saved.
+fi
+
+test \$exitcode = 0) || { (exit 1); exit 1; }
+
+(
+  as_lineno_1=\$LINENO
+  as_lineno_2=\$LINENO
+  test \"x\$as_lineno_1\" != \"x\$as_lineno_2\" &&
+  test \"x\`expr \$as_lineno_1 + 1\`\" = \"x\$as_lineno_2\") || { (exit 1); exit 1; }
+") 2> /dev/null; then
+  :
+else
+  as_candidate_shells=
+    as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in /bin$PATH_SEPARATOR/usr/bin$PATH_SEPARATOR$PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  case $as_dir in
+        /*)
+          for as_base in sh bash ksh sh5; do
+            as_candidate_shells="$as_candidate_shells $as_dir/$as_base"
+          done;;
+       esac
+done
+IFS=$as_save_IFS
+
+
+      for as_shell in $as_candidate_shells $SHELL; do
+        # Try only shells that exist, to save several forks.
+        if { test -f "$as_shell" || test -f "$as_shell.exe"; } &&
+               { ("$as_shell") 2> /dev/null <<\_ASEOF
+if test -n "${ZSH_VERSION+set}" && (emulate sh) >/dev/null 2>&1; then
+  emulate sh
+  NULLCMD=:
+  # Pre-4.2 versions of Zsh do word splitting on ${1+"$@"}, which
+  # is contrary to our usage.  Disable this feature.
+  alias -g '${1+"$@"}'='"$@"'
+  setopt NO_GLOB_SUBST
+else
+  case `(set -o) 2>/dev/null` in
+  *posix*) set -o posix ;;
+esac
+
+fi
+
+
+:
+_ASEOF
+}; then
+  CONFIG_SHELL=$as_shell
+              as_have_required=yes
+              if { "$as_shell" 2> /dev/null <<\_ASEOF
+if test -n "${ZSH_VERSION+set}" && (emulate sh) >/dev/null 2>&1; then
+  emulate sh
+  NULLCMD=:
+  # Pre-4.2 versions of Zsh do word splitting on ${1+"$@"}, which
+  # is contrary to our usage.  Disable this feature.
+  alias -g '${1+"$@"}'='"$@"'
+  setopt NO_GLOB_SUBST
+else
+  case `(set -o) 2>/dev/null` in
+  *posix*) set -o posix ;;
+esac
+
+fi
+
+
+:
+(as_func_return () {
+  (exit $1)
+}
+as_func_success () {
+  as_func_return 0
+}
+as_func_failure () {
+  as_func_return 1
+}
+as_func_ret_success () {
+  return 0
+}
+as_func_ret_failure () {
+  return 1
+}
+
+exitcode=0
+if as_func_success; then
+  :
+else
+  exitcode=1
+  echo as_func_success failed.
+fi
+
+if as_func_failure; then
+  exitcode=1
+  echo as_func_failure succeeded.
+fi
+
+if as_func_ret_success; then
+  :
+else
+  exitcode=1
+  echo as_func_ret_success failed.
+fi
+
+if as_func_ret_failure; then
+  exitcode=1
+  echo as_func_ret_failure succeeded.
+fi
+
+if ( set x; as_func_ret_success y && test x = "$1" ); then
+  :
+else
+  exitcode=1
+  echo positional parameters were not saved.
+fi
+
+test $exitcode = 0) || { (exit 1); exit 1; }
+
+(
+  as_lineno_1=$LINENO
+  as_lineno_2=$LINENO
+  test "x$as_lineno_1" != "x$as_lineno_2" &&
+  test "x`expr $as_lineno_1 + 1`" = "x$as_lineno_2") || { (exit 1); exit 1; }
+
+_ASEOF
+}; then
+  break
+fi
+
+fi
+
+      done
+
+      if test "x$CONFIG_SHELL" != x; then
+  for as_var in BASH_ENV ENV
+       do ($as_unset $as_var) >/dev/null 2>&1 && $as_unset $as_var
+       done
+       export CONFIG_SHELL
+       exec "$CONFIG_SHELL" "$as_myself" ${1+"$@"}
+fi
+
+
+    if test $as_have_required = no; then
+  echo This script requires a shell more modern than all the
+      echo shells that I found on your system.  Please install a
+      echo modern shell, or manually run the script under such a
+      echo shell if you do have one.
+      { (exit 1); exit 1; }
+fi
+
+
+fi
+
+fi
+
+
+
+(eval "as_func_return () {
+  (exit \$1)
+}
+as_func_success () {
+  as_func_return 0
+}
+as_func_failure () {
+  as_func_return 1
+}
+as_func_ret_success () {
+  return 0
+}
+as_func_ret_failure () {
+  return 1
+}
+
+exitcode=0
+if as_func_success; then
+  :
+else
+  exitcode=1
+  echo as_func_success failed.
+fi
+
+if as_func_failure; then
+  exitcode=1
+  echo as_func_failure succeeded.
+fi
+
+if as_func_ret_success; then
+  :
+else
+  exitcode=1
+  echo as_func_ret_success failed.
+fi
+
+if as_func_ret_failure; then
+  exitcode=1
+  echo as_func_ret_failure succeeded.
+fi
+
+if ( set x; as_func_ret_success y && test x = \"\$1\" ); then
+  :
+else
+  exitcode=1
+  echo positional parameters were not saved.
+fi
+
+test \$exitcode = 0") || {
+  echo No shell found that supports shell functions.
+  echo Please tell bug-autoconf@gnu.org about your system,
+  echo including any error possibly output before this message.
+  echo This can help us improve future autoconf versions.
+  echo Configuration will now proceed without shell functions.
+}
+
+
+
+  as_lineno_1=$LINENO
+  as_lineno_2=$LINENO
+  test "x$as_lineno_1" != "x$as_lineno_2" &&
+  test "x`expr $as_lineno_1 + 1`" = "x$as_lineno_2" || {
+
+  # Create $as_me.lineno as a copy of $as_myself, but with $LINENO
+  # uniformly replaced by the line number.  The first 'sed' inserts a
+  # line-number line after each line using $LINENO; the second 'sed'
+  # does the real work.  The second script uses 'N' to pair each
+  # line-number line with the line containing $LINENO, and appends
+  # trailing '-' during substitution so that $LINENO is not a special
+  # case at line end.
+  # (Raja R Harinath suggested sed '=', and Paul Eggert wrote the
+  # scripts with optimization help from Paolo Bonzini.  Blame Lee
+  # E. McMahon (1931-1989) for sed's syntax.  :-)
+  sed -n '
+    p
+    /[$]LINENO/=
+  ' <$as_myself |
+    sed '
+      s/[$]LINENO.*/&-/
+      t lineno
+      b
+      :lineno
+      N
+      :loop
+      s/[$]LINENO\([^'$as_cr_alnum'_].*\n\)\(.*\)/\2\1\2/
+      t loop
+      s/-\n.*//
+    ' >$as_me.lineno &&
+  chmod +x "$as_me.lineno" ||
+    { $as_echo "$as_me: error: cannot create $as_me.lineno; rerun with a POSIX shell" >&2
+   { (exit 1); exit 1; }; }
+
+  # Don't try to exec as it changes $[0], causing all sort of problems
+  # (the dirname of $[0] is not the place where we might find the
+  # original and so on.  Autoconf is especially sensitive to this).
+  . "./$as_me.lineno"
+  # Exit status is that of the last command.
+  exit
+}
+
+
+if (as_dir=`dirname -- /` && test "X$as_dir" = X/) >/dev/null 2>&1; then
+  as_dirname=dirname
+else
+  as_dirname=false
+fi
+
+ECHO_C= ECHO_N= ECHO_T=
+case `echo -n x` in
+-n*)
+  case `echo 'x\c'` in
+  *c*) ECHO_T='        ';;     # ECHO_T is single tab character.
+  *)   ECHO_C='\c';;
+  esac;;
+*)
+  ECHO_N='-n';;
+esac
+if expr a : '\(a\)' >/dev/null 2>&1 &&
+   test "X`expr 00001 : '.*\(...\)'`" = X001; then
+  as_expr=expr
+else
+  as_expr=false
+fi
+
+rm -f conf$$ conf$$.exe conf$$.file
+if test -d conf$$.dir; then
+  rm -f conf$$.dir/conf$$.file
+else
+  rm -f conf$$.dir
+  mkdir conf$$.dir 2>/dev/null
+fi
+if (echo >conf$$.file) 2>/dev/null; then
+  if ln -s conf$$.file conf$$ 2>/dev/null; then
+    as_ln_s='ln -s'
+    # ... but there are two gotchas:
+    # 1) On MSYS, both `ln -s file dir' and `ln file dir' fail.
+    # 2) DJGPP < 2.04 has no symlinks; `ln -s' creates a wrapper executable.
+    # In both cases, we have to default to `cp -p'.
+    ln -s conf$$.file conf$$.dir 2>/dev/null && test ! -f conf$$.exe ||
+      as_ln_s='cp -p'
+  elif ln conf$$.file conf$$ 2>/dev/null; then
+    as_ln_s=ln
+  else
+    as_ln_s='cp -p'
+  fi
+else
+  as_ln_s='cp -p'
+fi
+rm -f conf$$ conf$$.exe conf$$.dir/conf$$.file conf$$.file
+rmdir conf$$.dir 2>/dev/null
+
+if mkdir -p . 2>/dev/null; then
+  as_mkdir_p=:
+else
+  test -d ./-p && rmdir ./-p
+  as_mkdir_p=false
+fi
+
+if test -x / >/dev/null 2>&1; then
+  as_test_x='test -x'
+else
+  if ls -dL / >/dev/null 2>&1; then
+    as_ls_L_option=L
+  else
+    as_ls_L_option=
+  fi
+  as_test_x='
+    eval sh -c '\''
+      if test -d "$1"; then
+       test -d "$1/.";
+      else
+       case $1 in
+       -*)set "./$1";;
+       esac;
+       case `ls -ld'$as_ls_L_option' "$1" 2>/dev/null` in
+       ???[sx]*):;;*)false;;esac;fi
+    '\'' sh
+  '
+fi
+as_executable_p=$as_test_x
+
+# Sed expression to map a string onto a valid CPP name.
+as_tr_cpp="eval sed 'y%*$as_cr_letters%P$as_cr_LETTERS%;s%[^_$as_cr_alnum]%_%g'"
+
+# Sed expression to map a string onto a valid variable name.
+as_tr_sh="eval sed 'y%*+%pp%;s%[^_$as_cr_alnum]%_%g'"
+
+
+
+
+# Check that we are running under the correct shell.
+SHELL=${CONFIG_SHELL-/bin/sh}
+
+case X$lt_ECHO in
+X*--fallback-echo)
+  # Remove one level of quotation (which was required for Make).
+  ECHO=`echo "$lt_ECHO" | sed 's,\\\\\$\\$0,'$0','`
+  ;;
+esac
+
+ECHO=${lt_ECHO-echo}
+if test "X$1" = X--no-reexec; then
+  # Discard the --no-reexec flag, and continue.
+  shift
+elif test "X$1" = X--fallback-echo; then
+  # Avoid inline document here, it may be left over
+  :
+elif test "X`{ $ECHO '\t'; } 2>/dev/null`" = 'X\t' ; then
+  # Yippee, $ECHO works!
+  :
+else
+  # Restart under the correct shell.
+  exec $SHELL "$0" --no-reexec ${1+"$@"}
+fi
+
+if test "X$1" = X--fallback-echo; then
+  # used as fallback echo
+  shift
+  cat <<_LT_EOF
+$*
+_LT_EOF
+  exit 0
+fi
+
+# The HP-UX ksh and POSIX shell print the target directory to stdout
+# if CDPATH is set.
+(unset CDPATH) >/dev/null 2>&1 && unset CDPATH
+
+if test -z "$lt_ECHO"; then
+  if test "X${echo_test_string+set}" != Xset; then
+    # find a string as large as possible, as long as the shell can cope with it
+    for cmd in 'sed 50q "$0"' 'sed 20q "$0"' 'sed 10q "$0"' 'sed 2q "$0"' 'echo test'; do
+      # expected sizes: less than 2Kb, 1Kb, 512 bytes, 16 bytes, ...
+      if { echo_test_string=`eval $cmd`; } 2>/dev/null &&
+        { test "X$echo_test_string" = "X$echo_test_string"; } 2>/dev/null
+      then
+        break
+      fi
+    done
+  fi
+
+  if test "X`{ $ECHO '\t'; } 2>/dev/null`" = 'X\t' &&
+     echo_testing_string=`{ $ECHO "$echo_test_string"; } 2>/dev/null` &&
+     test "X$echo_testing_string" = "X$echo_test_string"; then
+    :
+  else
+    # The Solaris, AIX, and Digital Unix default echo programs unquote
+    # backslashes.  This makes it impossible to quote backslashes using
+    #   echo "$something" | sed 's/\\/\\\\/g'
+    #
+    # So, first we look for a working echo in the user's PATH.
+
+    lt_save_ifs="$IFS"; IFS=$PATH_SEPARATOR
+    for dir in $PATH /usr/ucb; do
+      IFS="$lt_save_ifs"
+      if (test -f $dir/echo || test -f $dir/echo$ac_exeext) &&
+         test "X`($dir/echo '\t') 2>/dev/null`" = 'X\t' &&
+         echo_testing_string=`($dir/echo "$echo_test_string") 2>/dev/null` &&
+         test "X$echo_testing_string" = "X$echo_test_string"; then
+        ECHO="$dir/echo"
+        break
+      fi
+    done
+    IFS="$lt_save_ifs"
+
+    if test "X$ECHO" = Xecho; then
+      # We didn't find a better echo, so look for alternatives.
+      if test "X`{ print -r '\t'; } 2>/dev/null`" = 'X\t' &&
+         echo_testing_string=`{ print -r "$echo_test_string"; } 2>/dev/null` &&
+         test "X$echo_testing_string" = "X$echo_test_string"; then
+        # This shell has a builtin print -r that does the trick.
+        ECHO='print -r'
+      elif { test -f /bin/ksh || test -f /bin/ksh$ac_exeext; } &&
+          test "X$CONFIG_SHELL" != X/bin/ksh; then
+        # If we have ksh, try running configure again with it.
+        ORIGINAL_CONFIG_SHELL=${CONFIG_SHELL-/bin/sh}
+        export ORIGINAL_CONFIG_SHELL
+        CONFIG_SHELL=/bin/ksh
+        export CONFIG_SHELL
+        exec $CONFIG_SHELL "$0" --no-reexec ${1+"$@"}
+      else
+        # Try using printf.
+        ECHO='printf %s\n'
+        if test "X`{ $ECHO '\t'; } 2>/dev/null`" = 'X\t' &&
+          echo_testing_string=`{ $ECHO "$echo_test_string"; } 2>/dev/null` &&
+          test "X$echo_testing_string" = "X$echo_test_string"; then
+         # Cool, printf works
+         :
+        elif echo_testing_string=`($ORIGINAL_CONFIG_SHELL "$0" --fallback-echo '\t') 2>/dev/null` &&
+            test "X$echo_testing_string" = 'X\t' &&
+            echo_testing_string=`($ORIGINAL_CONFIG_SHELL "$0" --fallback-echo "$echo_test_string") 2>/dev/null` &&
+            test "X$echo_testing_string" = "X$echo_test_string"; then
+         CONFIG_SHELL=$ORIGINAL_CONFIG_SHELL
+         export CONFIG_SHELL
+         SHELL="$CONFIG_SHELL"
+         export SHELL
+         ECHO="$CONFIG_SHELL $0 --fallback-echo"
+        elif echo_testing_string=`($CONFIG_SHELL "$0" --fallback-echo '\t') 2>/dev/null` &&
+            test "X$echo_testing_string" = 'X\t' &&
+            echo_testing_string=`($CONFIG_SHELL "$0" --fallback-echo "$echo_test_string") 2>/dev/null` &&
+            test "X$echo_testing_string" = "X$echo_test_string"; then
+         ECHO="$CONFIG_SHELL $0 --fallback-echo"
+        else
+         # maybe with a smaller string...
+         prev=:
+
+         for cmd in 'echo test' 'sed 2q "$0"' 'sed 10q "$0"' 'sed 20q "$0"' 'sed 50q "$0"'; do
+           if { test "X$echo_test_string" = "X`eval $cmd`"; } 2>/dev/null
+           then
+             break
+           fi
+           prev="$cmd"
+         done
+
+         if test "$prev" != 'sed 50q "$0"'; then
+           echo_test_string=`eval $prev`
+           export echo_test_string
+           exec ${ORIGINAL_CONFIG_SHELL-${CONFIG_SHELL-/bin/sh}} "$0" ${1+"$@"}
+         else
+           # Oops.  We lost completely, so just stick with echo.
+           ECHO=echo
+         fi
+        fi
+      fi
+    fi
+  fi
+fi
+
+# Copy echo and quote the copy suitably for passing to libtool from
+# the Makefile, instead of quoting the original, which is used later.
+lt_ECHO=$ECHO
+if test "X$lt_ECHO" = "X$CONFIG_SHELL $0 --fallback-echo"; then
+   lt_ECHO="$CONFIG_SHELL \\\$\$0 --fallback-echo"
+fi
+
+
+
+
+exec 7<&0 </dev/null 6>&1
+
+# Name of the host.
+# hostname on some systems (SVR3.2, Linux) returns a bogus exit status,
+# so uname gets run too.
+ac_hostname=`(hostname || uname -n) 2>/dev/null | sed 1q`
+
+#
+# Initializations.
+#
+ac_default_prefix=/usr/local
+ac_clean_files=
+ac_config_libobj_dir=.
+LIBOBJS=
+cross_compiling=no
+subdirs=
+MFLAGS=
+MAKEFLAGS=
+SHELL=${CONFIG_SHELL-/bin/sh}
+
+# Identity of this package.
+PACKAGE_NAME=
+PACKAGE_TARNAME=
+PACKAGE_VERSION=
+PACKAGE_STRING=
+PACKAGE_BUGREPORT=
+
+ac_unique_file="gnuradio-core/src/lib/runtime/gr_vmcircbuf.cc"
+# Factoring default headers for most tests.
+ac_includes_default="\
+#include <stdio.h>
+#ifdef HAVE_SYS_TYPES_H
+# include <sys/types.h>
+#endif
+#ifdef HAVE_SYS_STAT_H
+# include <sys/stat.h>
+#endif
+#ifdef STDC_HEADERS
+# include <stdlib.h>
+# include <stddef.h>
+#else
+# ifdef HAVE_STDLIB_H
+#  include <stdlib.h>
+# endif
+#endif
+#ifdef HAVE_STRING_H
+# if !defined STDC_HEADERS && defined HAVE_MEMORY_H
+#  include <memory.h>
+# endif
+# include <string.h>
+#endif
+#ifdef HAVE_STRINGS_H
+# include <strings.h>
+#endif
+#ifdef HAVE_INTTYPES_H
+# include <inttypes.h>
+#endif
+#ifdef HAVE_STDINT_H
+# include <stdint.h>
+#endif
+#ifdef HAVE_UNISTD_H
+# include <unistd.h>
+#endif"
+
+enable_option_checking=no
+ac_subst_vars='LTLIBOBJS
+with_LIBDIRPATH
+with_SWIGDIRPATH
+with_PYDIRPATH
+with_SWIG_INCLUDES
+with_INCLUDES
+abs_top_builddir
+abs_top_srcdir
+with_dirs
+skipped_dirs
+build_dirs
+docs_LDFLAG
+docs_LIBDIRPATH
+docs_INCLUDEDIR
+docs_LA
+docs_INCLUDES
+grc_LDFLAG
+grc_LIBDIRPATH
+grc_INCLUDEDIR
+grc_LA
+grc_INCLUDES
+PYTHONW
+XDG_UTILS_FALSE
+XDG_UTILS_TRUE
+XDG_UTILS
+gnuradio_examples_LDFLAG
+gnuradio_examples_LIBDIRPATH
+gnuradio_examples_INCLUDEDIR
+gnuradio_examples_LA
+gnuradio_examples_INCLUDES
+gr_utils_LDFLAG
+gr_utils_LIBDIRPATH
+gr_utils_INCLUDEDIR
+gr_utils_LA
+gr_utils_INCLUDES
+gr_sounder_LDFLAG
+gr_sounder_LIBDIRPATH
+gr_sounder_INCLUDEDIR
+gr_sounder_LA
+gr_sounder_INCLUDES
+gr_qtgui_LDFLAG
+gr_qtgui_LIBDIRPATH
+gr_qtgui_INCLUDEDIR
+gr_qtgui_LA
+gr_qtgui_INCLUDES
+BUILD_QT_FALSE
+BUILD_QT_TRUE
+QT_UIC_EXEC
+QT_MOC_EXEC
+QT_LIBS
+QT_INCLUDES
+QMAKE_INCLUDES
+QWTPLOT3D_LIBS
+QWTPLOT3D_CFLAGS
+QWT_LIBS
+QWT_CFLAGS
+QTOPENGL_CPPFLAGS
+QTOPENGL_INCLUDEDIR
+QTOPENGL_LIBS
+QTOPENGL_CFLAGS
+QTGUI_CPPFLAGS
+QTGUI_INCLUDEDIR
+QTGUI_LIBS
+QTGUI_CFLAGS
+QTCORE_CPPFLAGS
+QTCORE_INCLUDEDIR
+QTCORE_LIBS
+QTCORE_CFLAGS
+gr_wxgui_LDFLAG
+gr_wxgui_LIBDIRPATH
+gr_wxgui_INCLUDEDIR
+gr_wxgui_LA
+gr_wxgui_INCLUDES
+gr_video_sdl_LDFLAG
+gr_video_sdl_LIBDIRPATH
+gr_video_sdl_INCLUDEDIR
+gr_video_sdl_LA
+gr_video_sdl_INCLUDES
+SDL_CPPFLAGS
+SDL_CONFIG
+SDL_INCLUDEDIR
+SDL_LIBS
+SDL_CFLAGS
+gr_trellis_LDFLAG
+gr_trellis_LIBDIRPATH
+gr_trellis_INCLUDEDIR
+gr_trellis_LA
+gr_trellis_INCLUDES
+gr_radio_astronomy_LDFLAG
+gr_radio_astronomy_LIBDIRPATH
+gr_radio_astronomy_INCLUDEDIR
+gr_radio_astronomy_LA
+gr_radio_astronomy_INCLUDES
+gr_radar_mono_LDFLAG
+gr_radar_mono_LIBDIRPATH
+gr_radar_mono_INCLUDEDIR
+gr_radar_mono_LA
+gr_radar_mono_INCLUDES
+gr_pager_LDFLAG
+gr_pager_LIBDIRPATH
+gr_pager_INCLUDEDIR
+gr_pager_LA
+gr_pager_INCLUDES
+gr_gsm_fr_vocoder_LDFLAG
+gr_gsm_fr_vocoder_LIBDIRPATH
+gr_gsm_fr_vocoder_INCLUDEDIR
+gr_gsm_fr_vocoder_LA
+gr_gsm_fr_vocoder_INCLUDES
+gr_gpio_LDFLAG
+gr_gpio_LIBDIRPATH
+gr_gpio_INCLUDEDIR
+gr_gpio_LA
+gr_gpio_INCLUDES
+gr_cvsd_vocoder_LDFLAG
+gr_cvsd_vocoder_LIBDIRPATH
+gr_cvsd_vocoder_INCLUDEDIR
+gr_cvsd_vocoder_LA
+gr_cvsd_vocoder_INCLUDES
+gr_audio_windows_LDFLAG
+gr_audio_windows_LIBDIRPATH
+gr_audio_windows_INCLUDEDIR
+gr_audio_windows_LA
+gr_audio_windows_INCLUDES
+WINAUDIO_LIBS
+gr_audio_portaudio_LDFLAG
+gr_audio_portaudio_LIBDIRPATH
+gr_audio_portaudio_INCLUDEDIR
+gr_audio_portaudio_LA
+gr_audio_portaudio_INCLUDES
+PORTAUDIO_CPPFLAGS
+PORTAUDIO_INCLUDEDIR
+PORTAUDIO_LIBS
+PORTAUDIO_CFLAGS
+gr_audio_osx_LDFLAG
+gr_audio_osx_LIBDIRPATH
+gr_audio_osx_INCLUDEDIR
+gr_audio_osx_LA
+gr_audio_osx_INCLUDES
+gr_audio_oss_LDFLAG
+gr_audio_oss_LIBDIRPATH
+gr_audio_oss_INCLUDEDIR
+gr_audio_oss_LA
+gr_audio_oss_INCLUDES
+OSS_LIBS
+gr_audio_jack_LDFLAG
+gr_audio_jack_LIBDIRPATH
+gr_audio_jack_INCLUDEDIR
+gr_audio_jack_LA
+gr_audio_jack_INCLUDES
+JACK_CPPFLAGS
+JACK_INCLUDEDIR
+JACK_LIBS
+JACK_CFLAGS
+gr_audio_alsa_LDFLAG
+gr_audio_alsa_LIBDIRPATH
+gr_audio_alsa_INCLUDEDIR
+gr_audio_alsa_LA
+gr_audio_alsa_INCLUDES
+ALSA_CPPFLAGS
+ALSA_INCLUDEDIR
+ALSA_LIBS
+ALSA_CFLAGS
+gr_gcell_LDFLAG
+gr_gcell_LIBDIRPATH
+gr_gcell_INCLUDEDIR
+gr_gcell_LA
+gr_gcell_INCLUDES
+gr_usrp2_LDFLAG
+gr_usrp2_LIBDIRPATH
+gr_usrp2_INCLUDEDIR
+gr_usrp2_LA
+gr_usrp2_INCLUDES
+gr_usrp_LDFLAG
+gr_usrp_LIBDIRPATH
+gr_usrp_INCLUDEDIR
+gr_usrp_LA
+gr_usrp_INCLUDES
+usrp2_LDFLAG
+usrp2_LIBDIRPATH
+usrp2_INCLUDEDIR
+usrp2_LA
+usrp2_INCLUDES
+USRP2_LA
+USRP2_INCLUDES
+subdirs
+usrp_LDFLAG
+usrp_LIBDIRPATH
+usrp_INCLUDEDIR
+usrp_LA
+usrp_INCLUDES
+usrp_darwin_omnithread_pc_requires
+XAS
+XCC
+USB_CPPFLAGS
+USB_INCLUDEDIR
+USB_LIBS
+USB_CFLAGS
+FUSB_TECH_ra_wb_FALSE
+FUSB_TECH_ra_wb_TRUE
+FUSB_TECH_linux_FALSE
+FUSB_TECH_linux_TRUE
+FUSB_TECH_generic_FALSE
+FUSB_TECH_generic_TRUE
+FUSB_TECH_win32_FALSE
+FUSB_TECH_win32_TRUE
+FUSB_TECH_darwin_FALSE
+FUSB_TECH_darwin_TRUE
+FUSB_TECH
+mblock_LDFLAG
+mblock_LIBDIRPATH
+mblock_INCLUDEDIR
+mblock_LA
+mblock_INCLUDES
+pmt_LDFLAG
+pmt_LIBDIRPATH
+pmt_INCLUDEDIR
+pmt_LA
+pmt_INCLUDES
+gnuradio_core_LDFLAG
+gnuradio_core_LIBDIRPATH
+gnuradio_core_INCLUDEDIR
+gnuradio_core_LA
+gnuradio_core_INCLUDES
+gnuradio_core_PYDIRPATH
+gnuradio_core_SWIGDIRPATH
+gnuradio_core_I
+GSL_CPPFLAGS
+GSL_INCLUDEDIR
+GSL_LIBS
+GSL_CFLAGS
+CBLAS_LIBS
+gcell_LDFLAG
+gcell_LIBDIRPATH
+gcell_INCLUDEDIR
+gcell_LA
+gcell_INCLUDES
+gcell_spu_LA
+gcell_spu_INCLUDES
+SPU_GCC_PROG
+omnithread_LDFLAG
+omnithread_LIBDIRPATH
+omnithread_INCLUDEDIR
+omnithread_LA
+omnithread_INCLUDES
+gruel_LDFLAG
+gruel_LIBDIRPATH
+gruel_INCLUDEDIR
+gruel_LA
+gruel_INCLUDES
+GR_HAVE_BYTESWAP
+GR_HAVE_NETINET_IN
+GR_HAVE_ARPA_INET
+SVNDATE
+SVNVERSION
+SVN
+BOOST_PROGRAM_OPTIONS_LIB
+BOOST_DATE_TIME_LIB
+BOOST_THREAD_LIB
+BOOST_CXXFLAGS
+BOOST_LDFLAGS
+BOOST_CPPFLAGS
+GUILE
+CPPUNIT_INCLUDES
+CPPUNIT_CONFIG
+CPPUNIT_CPPFLAGS
+CPPUNIT_INCLUDEDIR
+CPPUNIT_LIBS
+CPPUNIT_CFLAGS
+MD_CPU_generic_FALSE
+MD_CPU_generic_TRUE
+MD_CPU_powerpc_FALSE
+MD_CPU_powerpc_TRUE
+MD_SUBCPU_x86_64_FALSE
+MD_SUBCPU_x86_64_TRUE
+MD_CPU_x86_FALSE
+MD_CPU_x86_TRUE
+MD_SUBCPU
+MD_CPU
+generate_docs
+enable_latex_docs
+enable_html_docs
+enable_xml_docs
+enable_dot
+DOC_FALSE
+DOC_TRUE
+DOT
+DOXYGEN
+FFTW3F_CPPFLAGS
+FFTW3F_INCLUDEDIR
+FFTW3F_LIBS
+FFTW3F_CFLAGS
+PKG_CONFIG
+HAVE_DOT
+LIBOBJS
+HAVE_CREATEFILEMAPPING_FALSE
+HAVE_CREATEFILEMAPPING_TRUE
+LIBGNURADIO_CORE_EXTRA_LDFLAGS
+SHM_OPEN_LIBS
+ALLOCA
+GR_ARCH_BIGENDIAN
+IPC_LIBS
+CXX_FOR_BUILD
+OMNITHREAD_NT_FALSE
+OMNITHREAD_NT_TRUE
+OMNITHREAD_POSIX_FALSE
+OMNITHREAD_POSIX_TRUE
+PTHREAD_CFLAGS
+PTHREAD_LIBS
+PTHREAD_CC
+acx_pthread_config
+HAS_XMLTO_FALSE
+HAS_XMLTO_TRUE
+XMLTO
+SWIG_PYTHON_CPPFLAGS
+SWIG_PYTHON_OPT
+SWIG_PYTHON_LIB
+PYTHON_LDFLAGS
+PYTHON_CPPFLAGS
+pkgpyexecdir
+pyexecdir
+pkgpythondir
+pythondir
+PYTHON_PLATFORM
+PYTHON_EXEC_PREFIX
+PYTHON_PREFIX
+PYTHON_VERSION
+PYTHON
+SWIG
+NO_UNDEFINED
+FLIBS
+ac_ct_F77
+FFLAGS
+F77
+ENABLE_FORTRAN_FALSE
+ENABLE_FORTRAN_TRUE
+OTOOL64
+OTOOL
+LIPO
+NMEDIT
+DSYMUTIL
+lt_ECHO
+RANLIB
+AR
+NM
+ac_ct_DUMPBIN
+DUMPBIN
+LD
+FGREP
+SED
+LIBTOOL
+OBJDUMP
+DLLTOOL
+AS
+RM_PROG
+LN_S
+am__fastdepCCAS_FALSE
+am__fastdepCCAS_TRUE
+CCASDEPMODE
+CCASFLAGS
+CCAS
+lf_CXXFLAGS
+lf_CFLAGS
+swig_CXXFLAGS
+autoconf_default_CXXFLAGS
+autoconf_default_CFLAGS
+gr_libdir_suffix
+CXXCPP
+am__fastdepCXX_FALSE
+am__fastdepCXX_TRUE
+CXXDEPMODE
+ac_ct_CXX
+CXXFLAGS
+CXX
+EGREP
+GREP
+CPP
+am__fastdepCC_FALSE
+am__fastdepCC_TRUE
+CCDEPMODE
+AMDEPBACKSLASH
+AMDEP_FALSE
+AMDEP_TRUE
+am__quote
+am__include
+DEPDIR
+OBJEXT
+EXEEXT
+ac_ct_CC
+CPPFLAGS
+LDFLAGS
+CFLAGS
+CC
+DEFINES
+am__untar
+am__tar
+AMTAR
+am__leading_dot
+SET_MAKE
+AWK
+mkdir_p
+MKDIR_P
+INSTALL_STRIP_PROGRAM
+STRIP
+install_sh
+MAKEINFO
+AUTOHEADER
+AUTOMAKE
+AUTOCONF
+ACLOCAL
+VERSION
+PACKAGE
+CYGPATH_W
+am__isrc
+INSTALL_DATA
+INSTALL_SCRIPT
+INSTALL_PROGRAM
+target_os
+target_vendor
+target_cpu
+target
+host_os
+host_vendor
+host_cpu
+host
+build_os
+build_vendor
+build_cpu
+build
+target_alias
+host_alias
+build_alias
+LIBS
+ECHO_T
+ECHO_N
+ECHO_C
+DEFS
+mandir
+localedir
+libdir
+psdir
+pdfdir
+dvidir
+htmldir
+infodir
+docdir
+oldincludedir
+includedir
+localstatedir
+sharedstatedir
+sysconfdir
+datadir
+datarootdir
+libexecdir
+sbindir
+bindir
+program_transform_name
+prefix
+exec_prefix
+PACKAGE_BUGREPORT
+PACKAGE_STRING
+PACKAGE_VERSION
+PACKAGE_TARNAME
+PACKAGE_NAME
+PATH_SEPARATOR
+SHELL'
+ac_subst_files=''
+ac_user_opts='
+enable_option_checking
+enable_dependency_tracking
+with_gprof
+with_prof
+enable_shared
+enable_static
+with_pic
+enable_fast_install
+with_gnu_ld
+enable_libtool_lock
+enable_fortran
+with_pythondir
+enable_doxygen
+enable_dot
+with_md_cpu
+enable_altivec
+with_cppunit_prefix
+with_cppunit_exec_prefix
+with_boost
+with_boost_libdir
+with_boost_thread
+with_boost_date_time
+with_boost_program_options
+enable_all_components
+enable_gruel
+with_gruel
+enable_omnithread
+with_omnithread
+enable_gcell
+enable_gnuradio_core
+with_gnuradio_core
+with_cblas
+enable_pmt
+with_pmt
+enable_mblock
+with_mblock
+enable_usrp
+with_usrp
+with_fusb_tech
+enable_usrp2
+enable_gr_usrp
+enable_gr_usrp2
+enable_gr_gcell
+enable_gr_audio_alsa
+enable_gr_audio_jack
+enable_gr_audio_oss
+enable_gr_audio_osx
+enable_gr_audio_portaudio
+enable_gr_audio_windows
+enable_gr_cvsd_vocoder
+enable_gr_gpio
+enable_gr_gsm_fr_vocoder
+enable_gr_pager
+enable_gr_radar_mono
+enable_gr_radio_astronomy
+enable_gr_trellis
+enable_gr_video_sdl
+with_sdl_prefix
+with_sdl_exec_prefix
+enable_sdltest
+enable_gr_wxgui
+with_gr_wxgui
+enable_gr_qtgui
+with_qwt_libdir
+with_qwt_incdir
+with_qwt_lib
+with_qwtplot3d_libdir
+with_qwtplot3d_incdir
+with_qwtplot3d_lib
+enable_gr_sounder
+enable_gr_utils
+enable_gnuradio_examples
+enable_grc
+enable_docs
+'
+      ac_precious_vars='build_alias
+host_alias
+target_alias
+CC
+CFLAGS
+LDFLAGS
+LIBS
+CPPFLAGS
+CPP
+CXX
+CXXFLAGS
+CCC
+CXXCPP
+CCAS
+CCASFLAGS
+F77
+FFLAGS
+PKG_CONFIG
+FFTW3F_CFLAGS
+FFTW3F_LIBS
+FFTW3F_INCLUDEDIR
+CPPUNIT_CFLAGS
+CPPUNIT_LIBS
+CPPUNIT_INCLUDEDIR
+GSL_CFLAGS
+GSL_LIBS
+GSL_INCLUDEDIR
+USB_CFLAGS
+USB_LIBS
+USB_INCLUDEDIR
+ALSA_CFLAGS
+ALSA_LIBS
+ALSA_INCLUDEDIR
+JACK_CFLAGS
+JACK_LIBS
+JACK_INCLUDEDIR
+PORTAUDIO_CFLAGS
+PORTAUDIO_LIBS
+PORTAUDIO_INCLUDEDIR
+SDL_CFLAGS
+SDL_LIBS
+SDL_INCLUDEDIR
+QTCORE_CFLAGS
+QTCORE_LIBS
+QTCORE_INCLUDEDIR
+QTGUI_CFLAGS
+QTGUI_LIBS
+QTGUI_INCLUDEDIR
+QTOPENGL_CFLAGS
+QTOPENGL_LIBS
+QTOPENGL_INCLUDEDIR'
+ac_subdirs_all='usrp2/firmware'
+
+# Initialize some variables set by options.
+ac_init_help=
+ac_init_version=false
+ac_unrecognized_opts=
+ac_unrecognized_sep=
+# The variables have the same names as the options, with
+# dashes changed to underlines.
+cache_file=/dev/null
+exec_prefix=NONE
+no_create=
+no_recursion=
+prefix=NONE
+program_prefix=NONE
+program_suffix=NONE
+program_transform_name=s,x,x,
+silent=
+site=
+srcdir=
+verbose=
+x_includes=NONE
+x_libraries=NONE
+
+# Installation directory options.
+# These are left unexpanded so users can "make install exec_prefix=/foo"
+# and all the variables that are supposed to be based on exec_prefix
+# by default will actually change.
+# Use braces instead of parens because sh, perl, etc. also accept them.
+# (The list follows the same order as the GNU Coding Standards.)
+bindir='${exec_prefix}/bin'
+sbindir='${exec_prefix}/sbin'
+libexecdir='${exec_prefix}/libexec'
+datarootdir='${prefix}/share'
+datadir='${datarootdir}'
+sysconfdir='${prefix}/etc'
+sharedstatedir='${prefix}/com'
+localstatedir='${prefix}/var'
+includedir='${prefix}/include'
+oldincludedir='/usr/include'
+docdir='${datarootdir}/doc/${PACKAGE}'
+infodir='${datarootdir}/info'
+htmldir='${docdir}'
+dvidir='${docdir}'
+pdfdir='${docdir}'
+psdir='${docdir}'
+libdir='${exec_prefix}/lib'
+localedir='${datarootdir}/locale'
+mandir='${datarootdir}/man'
+
+ac_prev=
+ac_dashdash=
+for ac_option
+do
+  # If the previous option needs an argument, assign it.
+  if test -n "$ac_prev"; then
+    eval $ac_prev=\$ac_option
+    ac_prev=
+    continue
+  fi
+
+  case $ac_option in
+  *=*) ac_optarg=`expr "X$ac_option" : '[^=]*=\(.*\)'` ;;
+  *)   ac_optarg=yes ;;
+  esac
+
+  # Accept the important Cygnus configure options, so we can diagnose typos.
+
+  case $ac_dashdash$ac_option in
+  --)
+    ac_dashdash=yes ;;
+
+  -bindir | --bindir | --bindi | --bind | --bin | --bi)
+    ac_prev=bindir ;;
+  -bindir=* | --bindir=* | --bindi=* | --bind=* | --bin=* | --bi=*)
+    bindir=$ac_optarg ;;
+
+  -build | --build | --buil | --bui | --bu)
+    ac_prev=build_alias ;;
+  -build=* | --build=* | --buil=* | --bui=* | --bu=*)
+    build_alias=$ac_optarg ;;
+
+  -cache-file | --cache-file | --cache-fil | --cache-fi \
+  | --cache-f | --cache- | --cache | --cach | --cac | --ca | --c)
+    ac_prev=cache_file ;;
+  -cache-file=* | --cache-file=* | --cache-fil=* | --cache-fi=* \
+  | --cache-f=* | --cache-=* | --cache=* | --cach=* | --cac=* | --ca=* | --c=*)
+    cache_file=$ac_optarg ;;
+
+  --config-cache | -C)
+    cache_file=config.cache ;;
+
+  -datadir | --datadir | --datadi | --datad)
+    ac_prev=datadir ;;
+  -datadir=* | --datadir=* | --datadi=* | --datad=*)
+    datadir=$ac_optarg ;;
+
+  -datarootdir | --datarootdir | --datarootdi | --datarootd | --dataroot \
+  | --dataroo | --dataro | --datar)
+    ac_prev=datarootdir ;;
+  -datarootdir=* | --datarootdir=* | --datarootdi=* | --datarootd=* \
+  | --dataroot=* | --dataroo=* | --dataro=* | --datar=*)
+    datarootdir=$ac_optarg ;;
+
+  -disable-* | --disable-*)
+    ac_useropt=`expr "x$ac_option" : 'x-*disable-\(.*\)'`
+    # Reject names that are not valid shell variable names.
+    expr "x$ac_useropt" : ".*[^-+._$as_cr_alnum]" >/dev/null &&
+      { $as_echo "$as_me: error: invalid feature name: $ac_useropt" >&2
+   { (exit 1); exit 1; }; }
+    ac_useropt_orig=$ac_useropt
+    ac_useropt=`$as_echo "$ac_useropt" | sed 's/[-+.]/_/g'`
+    case $ac_user_opts in
+      *"
+"enable_$ac_useropt"
+"*) ;;
+      *) ac_unrecognized_opts="$ac_unrecognized_opts$ac_unrecognized_sep--disable-$ac_useropt_orig"
+        ac_unrecognized_sep=', ';;
+    esac
+    eval enable_$ac_useropt=no ;;
+
+  -docdir | --docdir | --docdi | --doc | --do)
+    ac_prev=docdir ;;
+  -docdir=* | --docdir=* | --docdi=* | --doc=* | --do=*)
+    docdir=$ac_optarg ;;
+
+  -dvidir | --dvidir | --dvidi | --dvid | --dvi | --dv)
+    ac_prev=dvidir ;;
+  -dvidir=* | --dvidir=* | --dvidi=* | --dvid=* | --dvi=* | --dv=*)
+    dvidir=$ac_optarg ;;
+
+  -enable-* | --enable-*)
+    ac_useropt=`expr "x$ac_option" : 'x-*enable-\([^=]*\)'`
+    # Reject names that are not valid shell variable names.
+    expr "x$ac_useropt" : ".*[^-+._$as_cr_alnum]" >/dev/null &&
+      { $as_echo "$as_me: error: invalid feature name: $ac_useropt" >&2
+   { (exit 1); exit 1; }; }
+    ac_useropt_orig=$ac_useropt
+    ac_useropt=`$as_echo "$ac_useropt" | sed 's/[-+.]/_/g'`
+    case $ac_user_opts in
+      *"
+"enable_$ac_useropt"
+"*) ;;
+      *) ac_unrecognized_opts="$ac_unrecognized_opts$ac_unrecognized_sep--enable-$ac_useropt_orig"
+        ac_unrecognized_sep=', ';;
+    esac
+    eval enable_$ac_useropt=\$ac_optarg ;;
+
+  -exec-prefix | --exec_prefix | --exec-prefix | --exec-prefi \
+  | --exec-pref | --exec-pre | --exec-pr | --exec-p | --exec- \
+  | --exec | --exe | --ex)
+    ac_prev=exec_prefix ;;
+  -exec-prefix=* | --exec_prefix=* | --exec-prefix=* | --exec-prefi=* \
+  | --exec-pref=* | --exec-pre=* | --exec-pr=* | --exec-p=* | --exec-=* \
+  | --exec=* | --exe=* | --ex=*)
+    exec_prefix=$ac_optarg ;;
+
+  -gas | --gas | --ga | --g)
+    # Obsolete; use --with-gas.
+    with_gas=yes ;;
+
+  -help | --help | --hel | --he | -h)
+    ac_init_help=long ;;
+  -help=r* | --help=r* | --hel=r* | --he=r* | -hr*)
+    ac_init_help=recursive ;;
+  -help=s* | --help=s* | --hel=s* | --he=s* | -hs*)
+    ac_init_help=short ;;
+
+  -host | --host | --hos | --ho)
+    ac_prev=host_alias ;;
+  -host=* | --host=* | --hos=* | --ho=*)
+    host_alias=$ac_optarg ;;
+
+  -htmldir | --htmldir | --htmldi | --htmld | --html | --htm | --ht)
+    ac_prev=htmldir ;;
+  -htmldir=* | --htmldir=* | --htmldi=* | --htmld=* | --html=* | --htm=* \
+  | --ht=*)
+    htmldir=$ac_optarg ;;
+
+  -includedir | --includedir | --includedi | --included | --include \
+  | --includ | --inclu | --incl | --inc)
+    ac_prev=includedir ;;
+  -includedir=* | --includedir=* | --includedi=* | --included=* | --include=* \
+  | --includ=* | --inclu=* | --incl=* | --inc=*)
+    includedir=$ac_optarg ;;
+
+  -infodir | --infodir | --infodi | --infod | --info | --inf)
+    ac_prev=infodir ;;
+  -infodir=* | --infodir=* | --infodi=* | --infod=* | --info=* | --inf=*)
+    infodir=$ac_optarg ;;
+
+  -libdir | --libdir | --libdi | --libd)
+    ac_prev=libdir ;;
+  -libdir=* | --libdir=* | --libdi=* | --libd=*)
+    libdir=$ac_optarg ;;
+
+  -libexecdir | --libexecdir | --libexecdi | --libexecd | --libexec \
+  | --libexe | --libex | --libe)
+    ac_prev=libexecdir ;;
+  -libexecdir=* | --libexecdir=* | --libexecdi=* | --libexecd=* | --libexec=* \
+  | --libexe=* | --libex=* | --libe=*)
+    libexecdir=$ac_optarg ;;
+
+  -localedir | --localedir | --localedi | --localed | --locale)
+    ac_prev=localedir ;;
+  -localedir=* | --localedir=* | --localedi=* | --localed=* | --locale=*)
+    localedir=$ac_optarg ;;
+
+  -localstatedir | --localstatedir | --localstatedi | --localstated \
+  | --localstate | --localstat | --localsta | --localst | --locals)
+    ac_prev=localstatedir ;;
+  -localstatedir=* | --localstatedir=* | --localstatedi=* | --localstated=* \
+  | --localstate=* | --localstat=* | --localsta=* | --localst=* | --locals=*)
+    localstatedir=$ac_optarg ;;
+
+  -mandir | --mandir | --mandi | --mand | --man | --ma | --m)
+    ac_prev=mandir ;;
+  -mandir=* | --mandir=* | --mandi=* | --mand=* | --man=* | --ma=* | --m=*)
+    mandir=$ac_optarg ;;
+
+  -nfp | --nfp | --nf)
+    # Obsolete; use --without-fp.
+    with_fp=no ;;
+
+  -no-create | --no-create | --no-creat | --no-crea | --no-cre \
+  | --no-cr | --no-c | -n)
+    no_create=yes ;;
+
+  -no-recursion | --no-recursion | --no-recursio | --no-recursi \
+  | --no-recurs | --no-recur | --no-recu | --no-rec | --no-re | --no-r)
+    no_recursion=yes ;;
+
+  -oldincludedir | --oldincludedir | --oldincludedi | --oldincluded \
+  | --oldinclude | --oldinclud | --oldinclu | --oldincl | --oldinc \
+  | --oldin | --oldi | --old | --ol | --o)
+    ac_prev=oldincludedir ;;
+  -oldincludedir=* | --oldincludedir=* | --oldincludedi=* | --oldincluded=* \
+  | --oldinclude=* | --oldinclud=* | --oldinclu=* | --oldincl=* | --oldinc=* \
+  | --oldin=* | --oldi=* | --old=* | --ol=* | --o=*)
+    oldincludedir=$ac_optarg ;;
+
+  -prefix | --prefix | --prefi | --pref | --pre | --pr | --p)
+    ac_prev=prefix ;;
+  -prefix=* | --prefix=* | --prefi=* | --pref=* | --pre=* | --pr=* | --p=*)
+    prefix=$ac_optarg ;;
+
+  -program-prefix | --program-prefix | --program-prefi | --program-pref \
+  | --program-pre | --program-pr | --program-p)
+    ac_prev=program_prefix ;;
+  -program-prefix=* | --program-prefix=* | --program-prefi=* \
+  | --program-pref=* | --program-pre=* | --program-pr=* | --program-p=*)
+    program_prefix=$ac_optarg ;;
+
+  -program-suffix | --program-suffix | --program-suffi | --program-suff \
+  | --program-suf | --program-su | --program-s)
+    ac_prev=program_suffix ;;
+  -program-suffix=* | --program-suffix=* | --program-suffi=* \
+  | --program-suff=* | --program-suf=* | --program-su=* | --program-s=*)
+    program_suffix=$ac_optarg ;;
+
+  -program-transform-name | --program-transform-name \
+  | --program-transform-nam | --program-transform-na \
+  | --program-transform-n | --program-transform- \
+  | --program-transform | --program-transfor \
+  | --program-transfo | --program-transf \
+  | --program-trans | --program-tran \
+  | --progr-tra | --program-tr | --program-t)
+    ac_prev=program_transform_name ;;
+  -program-transform-name=* | --program-transform-name=* \
+  | --program-transform-nam=* | --program-transform-na=* \
+  | --program-transform-n=* | --program-transform-=* \
+  | --program-transform=* | --program-transfor=* \
+  | --program-transfo=* | --program-transf=* \
+  | --program-trans=* | --program-tran=* \
+  | --progr-tra=* | --program-tr=* | --program-t=*)
+    program_transform_name=$ac_optarg ;;
+
+  -pdfdir | --pdfdir | --pdfdi | --pdfd | --pdf | --pd)
+    ac_prev=pdfdir ;;
+  -pdfdir=* | --pdfdir=* | --pdfdi=* | --pdfd=* | --pdf=* | --pd=*)
+    pdfdir=$ac_optarg ;;
+
+  -psdir | --psdir | --psdi | --psd | --ps)
+    ac_prev=psdir ;;
+  -psdir=* | --psdir=* | --psdi=* | --psd=* | --ps=*)
+    psdir=$ac_optarg ;;
+
+  -q | -quiet | --quiet | --quie | --qui | --qu | --q \
+  | -silent | --silent | --silen | --sile | --sil)
+    silent=yes ;;
+
+  -sbindir | --sbindir | --sbindi | --sbind | --sbin | --sbi | --sb)
+    ac_prev=sbindir ;;
+  -sbindir=* | --sbindir=* | --sbindi=* | --sbind=* | --sbin=* \
+  | --sbi=* | --sb=*)
+    sbindir=$ac_optarg ;;
+
+  -sharedstatedir | --sharedstatedir | --sharedstatedi \
+  | --sharedstated | --sharedstate | --sharedstat | --sharedsta \
+  | --sharedst | --shareds | --shared | --share | --shar \
+  | --sha | --sh)
+    ac_prev=sharedstatedir ;;
+  -sharedstatedir=* | --sharedstatedir=* | --sharedstatedi=* \
+  | --sharedstated=* | --sharedstate=* | --sharedstat=* | --sharedsta=* \
+  | --sharedst=* | --shareds=* | --shared=* | --share=* | --shar=* \
+  | --sha=* | --sh=*)
+    sharedstatedir=$ac_optarg ;;
+
+  -site | --site | --sit)
+    ac_prev=site ;;
+  -site=* | --site=* | --sit=*)
+    site=$ac_optarg ;;
+
+  -srcdir | --srcdir | --srcdi | --srcd | --src | --sr)
+    ac_prev=srcdir ;;
+  -srcdir=* | --srcdir=* | --srcdi=* | --srcd=* | --src=* | --sr=*)
+    srcdir=$ac_optarg ;;
+
+  -sysconfdir | --sysconfdir | --sysconfdi | --sysconfd | --sysconf \
+  | --syscon | --sysco | --sysc | --sys | --sy)
+    ac_prev=sysconfdir ;;
+  -sysconfdir=* | --sysconfdir=* | --sysconfdi=* | --sysconfd=* | --sysconf=* \
+  | --syscon=* | --sysco=* | --sysc=* | --sys=* | --sy=*)
+    sysconfdir=$ac_optarg ;;
+
+  -target | --target | --targe | --targ | --tar | --ta | --t)
+    ac_prev=target_alias ;;
+  -target=* | --target=* | --targe=* | --targ=* | --tar=* | --ta=* | --t=*)
+    target_alias=$ac_optarg ;;
+
+  -v | -verbose | --verbose | --verbos | --verbo | --verb)
+    verbose=yes ;;
+
+  -version | --version | --versio | --versi | --vers | -V)
+    ac_init_version=: ;;
+
+  -with-* | --with-*)
+    ac_useropt=`expr "x$ac_option" : 'x-*with-\([^=]*\)'`
+    # Reject names that are not valid shell variable names.
+    expr "x$ac_useropt" : ".*[^-+._$as_cr_alnum]" >/dev/null &&
+      { $as_echo "$as_me: error: invalid package name: $ac_useropt" >&2
+   { (exit 1); exit 1; }; }
+    ac_useropt_orig=$ac_useropt
+    ac_useropt=`$as_echo "$ac_useropt" | sed 's/[-+.]/_/g'`
+    case $ac_user_opts in
+      *"
+"with_$ac_useropt"
+"*) ;;
+      *) ac_unrecognized_opts="$ac_unrecognized_opts$ac_unrecognized_sep--with-$ac_useropt_orig"
+        ac_unrecognized_sep=', ';;
+    esac
+    eval with_$ac_useropt=\$ac_optarg ;;
+
+  -without-* | --without-*)
+    ac_useropt=`expr "x$ac_option" : 'x-*without-\(.*\)'`
+    # Reject names that are not valid shell variable names.
+    expr "x$ac_useropt" : ".*[^-+._$as_cr_alnum]" >/dev/null &&
+      { $as_echo "$as_me: error: invalid package name: $ac_useropt" >&2
+   { (exit 1); exit 1; }; }
+    ac_useropt_orig=$ac_useropt
+    ac_useropt=`$as_echo "$ac_useropt" | sed 's/[-+.]/_/g'`
+    case $ac_user_opts in
+      *"
+"with_$ac_useropt"
+"*) ;;
+      *) ac_unrecognized_opts="$ac_unrecognized_opts$ac_unrecognized_sep--without-$ac_useropt_orig"
+        ac_unrecognized_sep=', ';;
+    esac
+    eval with_$ac_useropt=no ;;
+
+  --x)
+    # Obsolete; use --with-x.
+    with_x=yes ;;
+
+  -x-includes | --x-includes | --x-include | --x-includ | --x-inclu \
+  | --x-incl | --x-inc | --x-in | --x-i)
+    ac_prev=x_includes ;;
+  -x-includes=* | --x-includes=* | --x-include=* | --x-includ=* | --x-inclu=* \
+  | --x-incl=* | --x-inc=* | --x-in=* | --x-i=*)
+    x_includes=$ac_optarg ;;
+
+  -x-libraries | --x-libraries | --x-librarie | --x-librari \
+  | --x-librar | --x-libra | --x-libr | --x-lib | --x-li | --x-l)
+    ac_prev=x_libraries ;;
+  -x-libraries=* | --x-libraries=* | --x-librarie=* | --x-librari=* \
+  | --x-librar=* | --x-libra=* | --x-libr=* | --x-lib=* | --x-li=* | --x-l=*)
+    x_libraries=$ac_optarg ;;
+
+  -*) { $as_echo "$as_me: error: unrecognized option: $ac_option
+Try \`$0 --help' for more information." >&2
+   { (exit 1); exit 1; }; }
+    ;;
+
+  *=*)
+    ac_envvar=`expr "x$ac_option" : 'x\([^=]*\)='`
+    # Reject names that are not valid shell variable names.
+    expr "x$ac_envvar" : ".*[^_$as_cr_alnum]" >/dev/null &&
+      { $as_echo "$as_me: error: invalid variable name: $ac_envvar" >&2
+   { (exit 1); exit 1; }; }
+    eval $ac_envvar=\$ac_optarg
+    export $ac_envvar ;;
+
+  *)
+    # FIXME: should be removed in autoconf 3.0.
+    $as_echo "$as_me: WARNING: you should use --build, --host, --target" >&2
+    expr "x$ac_option" : ".*[^-._$as_cr_alnum]" >/dev/null &&
+      $as_echo "$as_me: WARNING: invalid host type: $ac_option" >&2
+    : ${build_alias=$ac_option} ${host_alias=$ac_option} ${target_alias=$ac_option}
+    ;;
+
+  esac
+done
+
+if test -n "$ac_prev"; then
+  ac_option=--`echo $ac_prev | sed 's/_/-/g'`
+  { $as_echo "$as_me: error: missing argument to $ac_option" >&2
+   { (exit 1); exit 1; }; }
+fi
+
+if test -n "$ac_unrecognized_opts"; then
+  case $enable_option_checking in
+    no) ;;
+    fatal) { $as_echo "$as_me: error: unrecognized options: $ac_unrecognized_opts" >&2
+   { (exit 1); exit 1; }; } ;;
+    *)     $as_echo "$as_me: WARNING: unrecognized options: $ac_unrecognized_opts" >&2 ;;
+  esac
+fi
+
+# Check all directory arguments for consistency.
+for ac_var in  exec_prefix prefix bindir sbindir libexecdir datarootdir \
+               datadir sysconfdir sharedstatedir localstatedir includedir \
+               oldincludedir docdir infodir htmldir dvidir pdfdir psdir \
+               libdir localedir mandir
+do
+  eval ac_val=\$$ac_var
+  # Remove trailing slashes.
+  case $ac_val in
+    */ )
+      ac_val=`expr "X$ac_val" : 'X\(.*[^/]\)' \| "X$ac_val" : 'X\(.*\)'`
+      eval $ac_var=\$ac_val;;
+  esac
+  # Be sure to have absolute directory names.
+  case $ac_val in
+    [\\/$]* | ?:[\\/]* )  continue;;
+    NONE | '' ) case $ac_var in *prefix ) continue;; esac;;
+  esac
+  { $as_echo "$as_me: error: expected an absolute directory name for --$ac_var: $ac_val" >&2
+   { (exit 1); exit 1; }; }
+done
+
+# There might be people who depend on the old broken behavior: `$host'
+# used to hold the argument of --host etc.
+# FIXME: To remove some day.
+build=$build_alias
+host=$host_alias
+target=$target_alias
+
+# FIXME: To remove some day.
+if test "x$host_alias" != x; then
+  if test "x$build_alias" = x; then
+    cross_compiling=maybe
+    $as_echo "$as_me: WARNING: If you wanted to set the --build type, don't use --host.
+    If a cross compiler is detected then cross compile mode will be used." >&2
+  elif test "x$build_alias" != "x$host_alias"; then
+    cross_compiling=yes
+  fi
+fi
+
+ac_tool_prefix=
+test -n "$host_alias" && ac_tool_prefix=$host_alias-
+
+test "$silent" = yes && exec 6>/dev/null
+
+
+ac_pwd=`pwd` && test -n "$ac_pwd" &&
+ac_ls_di=`ls -di .` &&
+ac_pwd_ls_di=`cd "$ac_pwd" && ls -di .` ||
+  { $as_echo "$as_me: error: working directory cannot be determined" >&2
+   { (exit 1); exit 1; }; }
+test "X$ac_ls_di" = "X$ac_pwd_ls_di" ||
+  { $as_echo "$as_me: error: pwd does not report name of working directory" >&2
+   { (exit 1); exit 1; }; }
+
+
+# Find the source files, if location was not specified.
+if test -z "$srcdir"; then
+  ac_srcdir_defaulted=yes
+  # Try the directory containing this script, then the parent directory.
+  ac_confdir=`$as_dirname -- "$as_myself" ||
+$as_expr X"$as_myself" : 'X\(.*[^/]\)//*[^/][^/]*/*$' \| \
+        X"$as_myself" : 'X\(//\)[^/]' \| \
+        X"$as_myself" : 'X\(//\)$' \| \
+        X"$as_myself" : 'X\(/\)' \| . 2>/dev/null ||
+$as_echo X"$as_myself" |
+    sed '/^X\(.*[^/]\)\/\/*[^/][^/]*\/*$/{
+           s//\1/
+           q
+         }
+         /^X\(\/\/\)[^/].*/{
+           s//\1/
+           q
+         }
+         /^X\(\/\/\)$/{
+           s//\1/
+           q
+         }
+         /^X\(\/\).*/{
+           s//\1/
+           q
+         }
+         s/.*/./; q'`
+  srcdir=$ac_confdir
+  if test ! -r "$srcdir/$ac_unique_file"; then
+    srcdir=..
+  fi
+else
+  ac_srcdir_defaulted=no
+fi
+if test ! -r "$srcdir/$ac_unique_file"; then
+  test "$ac_srcdir_defaulted" = yes && srcdir="$ac_confdir or .."
+  { $as_echo "$as_me: error: cannot find sources ($ac_unique_file) in $srcdir" >&2
+   { (exit 1); exit 1; }; }
+fi
+ac_msg="sources are in $srcdir, but \`cd $srcdir' does not work"
+ac_abs_confdir=`(
+       cd "$srcdir" && test -r "./$ac_unique_file" || { $as_echo "$as_me: error: $ac_msg" >&2
+   { (exit 1); exit 1; }; }
+       pwd)`
+# When building in place, set srcdir=.
+if test "$ac_abs_confdir" = "$ac_pwd"; then
+  srcdir=.
+fi
+# Remove unnecessary trailing slashes from srcdir.
+# Double slashes in file names in object file debugging info
+# mess up M-x gdb in Emacs.
+case $srcdir in
+*/) srcdir=`expr "X$srcdir" : 'X\(.*[^/]\)' \| "X$srcdir" : 'X\(.*\)'`;;
+esac
+for ac_var in $ac_precious_vars; do
+  eval ac_env_${ac_var}_set=\${${ac_var}+set}
+  eval ac_env_${ac_var}_value=\$${ac_var}
+  eval ac_cv_env_${ac_var}_set=\${${ac_var}+set}
+  eval ac_cv_env_${ac_var}_value=\$${ac_var}
+done
+
+#
+# Report the --help message.
+#
+if test "$ac_init_help" = "long"; then
+  # Omit some internal or obsolete options to make the list less imposing.
+  # This message is too long to be a string in the A/UX 3.1 sh.
+  cat <<_ACEOF
+\`configure' configures this package to adapt to many kinds of systems.
+
+Usage: $0 [OPTION]... [VAR=VALUE]...
+
+To assign environment variables (e.g., CC, CFLAGS...), specify them as
+VAR=VALUE.  See below for descriptions of some of the useful variables.
+
+Defaults for the options are specified in brackets.
+
+Configuration:
+  -h, --help              display this help and exit
+      --help=short        display options specific to this package
+      --help=recursive    display the short help of all the included packages
+  -V, --version           display version information and exit
+  -q, --quiet, --silent   do not print \`checking...' messages
+      --cache-file=FILE   cache test results in FILE [disabled]
+  -C, --config-cache      alias for \`--cache-file=config.cache'
+  -n, --no-create         do not create output files
+      --srcdir=DIR        find the sources in DIR [configure dir or \`..']
+
+Installation directories:
+  --prefix=PREFIX         install architecture-independent files in PREFIX
+                          [$ac_default_prefix]
+  --exec-prefix=EPREFIX   install architecture-dependent files in EPREFIX
+                          [PREFIX]
+
+By default, \`make install' will install all the files in
+\`$ac_default_prefix/bin', \`$ac_default_prefix/lib' etc.  You can specify
+an installation prefix other than \`$ac_default_prefix' using \`--prefix',
+for instance \`--prefix=\$HOME'.
+
+For better control, use the options below.
+
+Fine tuning of the installation directories:
+  --bindir=DIR            user executables [EPREFIX/bin]
+  --sbindir=DIR           system admin executables [EPREFIX/sbin]
+  --libexecdir=DIR        program executables [EPREFIX/libexec]
+  --sysconfdir=DIR        read-only single-machine data [PREFIX/etc]
+  --sharedstatedir=DIR    modifiable architecture-independent data [PREFIX/com]
+  --localstatedir=DIR     modifiable single-machine data [PREFIX/var]
+  --libdir=DIR            object code libraries [EPREFIX/lib]
+  --includedir=DIR        C header files [PREFIX/include]
+  --oldincludedir=DIR     C header files for non-gcc [/usr/include]
+  --datarootdir=DIR       read-only arch.-independent data root [PREFIX/share]
+  --datadir=DIR           read-only architecture-independent data [DATAROOTDIR]
+  --infodir=DIR           info documentation [DATAROOTDIR/info]
+  --localedir=DIR         locale-dependent data [DATAROOTDIR/locale]
+  --mandir=DIR            man documentation [DATAROOTDIR/man]
+  --docdir=DIR            documentation root [DATAROOTDIR/doc/PACKAGE]
+  --htmldir=DIR           html documentation [DOCDIR]
+  --dvidir=DIR            dvi documentation [DOCDIR]
+  --pdfdir=DIR            pdf documentation [DOCDIR]
+  --psdir=DIR             ps documentation [DOCDIR]
+_ACEOF
+
+  cat <<\_ACEOF
+
+Program names:
+  --program-prefix=PREFIX            prepend PREFIX to installed program names
+  --program-suffix=SUFFIX            append SUFFIX to installed program names
+  --program-transform-name=PROGRAM   run sed PROGRAM on installed program names
+
+System types:
+  --build=BUILD     configure for building on BUILD [guessed]
+  --host=HOST       cross-compile to build programs to run on HOST [BUILD]
+  --target=TARGET   configure for building compilers for TARGET [HOST]
+_ACEOF
+fi
+
+if test -n "$ac_init_help"; then
+
+  cat <<\_ACEOF
+
+Optional Features:
+  --disable-option-checking  ignore unrecognized --enable/--with options
+  --disable-FEATURE       do not include FEATURE (same as --enable-FEATURE=no)
+  --enable-FEATURE[=ARG]  include FEATURE [ARG=yes]
+  --disable-dependency-tracking  speeds up one-time build
+  --enable-dependency-tracking   do not reject slow dependency extractors
+  --enable-shared[=PKGS]  build shared libraries [default=yes]
+  --enable-static[=PKGS]  build static libraries [default=no]
+  --enable-fast-install[=PKGS]
+                          optimize for fast installation [default=yes]
+  --disable-libtool-lock  avoid locking (might break parallel builds)
+  --enable-fortran        enable fortran (no)
+  --enable-doxygen        enable documentation generation with doxygen (auto)
+  --enable-dot            use 'dot' to generate graphs in doxygen (auto)
+  --enable-altivec        enable altivec on PowerPC (yes)
+  --enable-all-components Build all configurable components (default), or stop on failed dependencies
+
+  --enable-gruel          Stop if gruel fails configuration
+  --enable-omnithread     Stop if omnithread fails configuration
+  --enable-gcell          Stop if gcell fails configuration
+  --enable-gnuradio-core  Stop if gnuradio-core fails configuration
+  --enable-pmt            Stop if pmt fails configuration
+  --enable-mblock         Stop if mblock fails configuration
+  --enable-usrp           Stop if usrp fails configuration
+  --enable-usrp2          Stop if usrp2 fails configuration
+  --enable-gr-usrp        Stop if gr-usrp fails configuration
+  --enable-gr-usrp2       Stop if gr-usrp2 fails configuration
+  --enable-gr-gcell       Stop if gr-gcell fails configuration
+  --enable-gr-audio-alsa  Stop if gr-audio-alsa fails configuration
+  --enable-gr-audio-jack  Stop if gr-audio-jack fails configuration
+  --enable-gr-audio-oss   Stop if gr-audio-oss fails configuration
+  --enable-gr-audio-osx   Stop if gr-audio-osx fails configuration
+  --enable-gr-audio-portaudio
+                          Stop if gr-audio-portaudio fails configuration
+  --enable-gr-audio-windows
+                          Stop if gr-audio-windows fails configuration
+  --enable-gr-cvsd-vocoder
+                          Stop if gr-cvsd-vocoder fails configuration
+  --enable-gr-gpio        Stop if gr-gpio fails configuration
+  --enable-gr-gsm-fr-vocoder
+                          Stop if gr-gsm-fr-vocoder fails configuration
+  --enable-gr-pager       Stop if gr-pager fails configuration
+  --enable-gr-radar-mono  Stop if gr-radar-mono fails configuration
+  --enable-gr-radio-astronomy
+                          Stop if gr-radio-astronomy fails configuration
+  --enable-gr-trellis     Stop if gr-trellis fails configuration
+  --enable-gr-video-sdl   Stop if gr-video-sdl fails configuration
+  --disable-sdltest       Do not try to compile and run a test SDL program
+  --enable-gr-wxgui       Stop if gr-wxgui fails configuration
+  --enable-gr-qtgui       Stop if gr-qtgui fails configuration
+  --enable-gr-sounder     Stop if gr-sounder fails configuration
+  --enable-gr-utils       Stop if gr-utils fails configuration
+  --enable-gnuradio-examples
+                          Stop if gnuradio-examples fails configuration
+  --enable-grc            Stop if grc fails configuration
+  --enable-docs           Stop if docs fails configuration
+
+Optional Packages:
+  --with-PACKAGE[=ARG]    use PACKAGE [ARG=yes]
+  --without-PACKAGE       do not use PACKAGE (same as --with-PACKAGE=no)
+  --with-gprof            Turn on gprof profiling
+  --with-prof             Turn on prof profiling
+  --with-pic              try to use only PIC/non-PIC objects [default=use
+                          both]
+  --with-gnu-ld           assume the C compiler uses GNU ld [default=no]
+  --with-pythondir=DIR    python installation directory (cross-compiling)
+                          [default=$prefix/lib/python2.5/site-packages]
+  --with-md-cpu=ARCH      set machine dependent speedups (auto)
+  --with-cppunit-prefix=PFX   Prefix where CppUnit is installed (optional)
+  --with-cppunit-exec-prefix=PFX  Exec prefix where CppUnit is installed (optional)
+  --with-boost[=DIR]      use boost (default is yes) - it is possible to
+                          specify the root directory for boost (optional)
+  --with-boost-libdir=LIB_DIR
+                          Force given directory for boost libraries. Note that
+                          this will overwrite library path detection, so use
+                          this parameter only if default library detection
+                          fails and you know exactly where your boost
+                          libraries are located.
+  --with-boost-thread[=special-lib]
+                          Use the thread library from
+                          boost. It is possible to specify a certain library
+                          to the linker. E.g.,
+                          --with-boost-thread=boost_thread-gcc41-mt-1_35
+  --with-boost-date-time[=special-lib]
+                          Use the date_time library from
+                          boost. It is possible to specify a certain library
+                          to the linker. E.g.,
+                          --with-boost-date-time=boost_date_time-gcc41-mt-1_35
+  --with-boost-program-options[=special-lib]
+                          Use the program_options library
+                          from boost. It is possible to specify a certain
+                          library to the linker. E.g.,
+                          --with-boost-program-options=boost_program_options-gcc41-mt-1_35
+  --with-gruel[=PATH]     Use package gruel if installed in PATH (if
+                          specified) or PKG_CONFIG_PATH (if PATH not
+                          specified); stop if gruel not found
+  --with-omnithread[=PATH]
+                          Use package omnithread if installed in PATH (if
+                          specified) or PKG_CONFIG_PATH (if PATH not
+                          specified); stop if omnithread not found
+  --with-gnuradio-core[=PATH]
+                          Use package gnuradio-core if installed in PATH (if
+                          specified) or PKG_CONFIG_PATH (if PATH not
+                          specified); stop if gnuradio-core not found
+  --with-cblas=<lib>      use CBLAS library <lib>
+  --with-pmt[=PATH]       Use package pmt if installed in PATH (if specified)
+                          or PKG_CONFIG_PATH (if PATH not specified); stop if
+                          pmt not found
+  --with-mblock[=PATH]    Use package mblock if installed in PATH (if
+                          specified) or PKG_CONFIG_PATH (if PATH not
+                          specified); stop if mblock not found
+  --with-usrp[=PATH]      Use package usrp if installed in PATH (if specified)
+                          or PKG_CONFIG_PATH (if PATH not specified); stop if
+                          usrp not found
+  --with-fusb-tech=OS     Set fast USB technique (default=auto)
+  --with-sdl-prefix=PFX   Prefix where SDL is installed (optional)
+  --with-sdl-exec-prefix=PFX Exec prefix where SDL is installed (optional)
+  --with-gr-wxgui[=PATH]  Use package gr-wxgui if installed in PATH (if
+                          specified) or PKG_CONFIG_PATH (if PATH not
+                          specified); stop if gr-wxgui not found
+  --with-qwt-libdir=path  Prefix where QWT library is installed (optional)
+  --with-qwt-incdir=path  Prefix where QWT include files are (optional)
+  --with-qwt-lib=library  QWT library name (optional)
+  --with-qwtplot3d-libdir=path  Prefix where QWTPLOT3D library is installed (optional)
+  --with-qwtplot3d-incdir=path  Prefix where QWTPLOT3D include files are (optional)
+  --with-qwtplot3d-lib=library  QWT Plot3D library name (optional)
+
+Some influential environment variables:
+  CC          C compiler command
+  CFLAGS      C compiler flags
+  LDFLAGS     linker flags, e.g. -L<lib dir> if you have libraries in a
+              nonstandard directory <lib dir>
+  LIBS        libraries to pass to the linker, e.g. -l<library>
+  CPPFLAGS    C/C++/Objective C preprocessor flags, e.g. -I<include dir> if
+              you have headers in a nonstandard directory <include dir>
+  CPP         C preprocessor
+  CXX         C++ compiler command
+  CXXFLAGS    C++ compiler flags
+  CXXCPP      C++ preprocessor
+  CCAS        assembler compiler command (defaults to CC)
+  CCASFLAGS   assembler compiler flags (defaults to CFLAGS)
+  F77         Fortran 77 compiler command
+  FFLAGS      Fortran 77 compiler flags
+  PKG_CONFIG  path to pkg-config utility
+  FFTW3F_CFLAGS
+              C compiler flags for FFTW3F, overriding pkg-config
+  FFTW3F_LIBS linker flags for FFTW3F, overriding pkg-config
+  FFTW3F_INCLUDEDIR
+              includedir for FFTW3F, overriding pkg-config
+  CPPUNIT_CFLAGS
+              C compiler flags for CPPUNIT, overriding pkg-config
+  CPPUNIT_LIBS
+              linker flags for CPPUNIT, overriding pkg-config
+  CPPUNIT_INCLUDEDIR
+              includedir for CPPUNIT, overriding pkg-config
+  GSL_CFLAGS  C compiler flags for GSL, overriding pkg-config
+  GSL_LIBS    linker flags for GSL, overriding pkg-config
+  GSL_INCLUDEDIR
+              includedir for GSL, overriding pkg-config
+  USB_CFLAGS  C compiler flags for USB, overriding pkg-config
+  USB_LIBS    linker flags for USB, overriding pkg-config
+  USB_INCLUDEDIR
+              includedir for USB, overriding pkg-config
+  ALSA_CFLAGS C compiler flags for ALSA, overriding pkg-config
+  ALSA_LIBS   linker flags for ALSA, overriding pkg-config
+  ALSA_INCLUDEDIR
+              includedir for ALSA, overriding pkg-config
+  JACK_CFLAGS C compiler flags for JACK, overriding pkg-config
+  JACK_LIBS   linker flags for JACK, overriding pkg-config
+  JACK_INCLUDEDIR
+              includedir for JACK, overriding pkg-config
+  PORTAUDIO_CFLAGS
+              C compiler flags for PORTAUDIO, overriding pkg-config
+  PORTAUDIO_LIBS
+              linker flags for PORTAUDIO, overriding pkg-config
+  PORTAUDIO_INCLUDEDIR
+              includedir for PORTAUDIO, overriding pkg-config
+  SDL_CFLAGS  C compiler flags for SDL, overriding pkg-config
+  SDL_LIBS    linker flags for SDL, overriding pkg-config
+  SDL_INCLUDEDIR
+              includedir for SDL, overriding pkg-config
+  QTCORE_CFLAGS
+              C compiler flags for QTCORE, overriding pkg-config
+  QTCORE_LIBS linker flags for QTCORE, overriding pkg-config
+  QTCORE_INCLUDEDIR
+              includedir for QTCORE, overriding pkg-config
+  QTGUI_CFLAGS
+              C compiler flags for QTGUI, overriding pkg-config
+  QTGUI_LIBS  linker flags for QTGUI, overriding pkg-config
+  QTGUI_INCLUDEDIR
+              includedir for QTGUI, overriding pkg-config
+  QTOPENGL_CFLAGS
+              C compiler flags for QTOPENGL, overriding pkg-config
+  QTOPENGL_LIBS
+              linker flags for QTOPENGL, overriding pkg-config
+  QTOPENGL_INCLUDEDIR
+              includedir for QTOPENGL, overriding pkg-config
+
+Use these variables to override the choices made by `configure' or to help
+it to find libraries and programs with nonstandard names/locations.
+
+_ACEOF
+ac_status=$?
+fi
+
+if test "$ac_init_help" = "recursive"; then
+  # If there are subdirs, report their specific --help.
+  for ac_dir in : $ac_subdirs_all; do test "x$ac_dir" = x: && continue
+    test -d "$ac_dir" ||
+      { cd "$srcdir" && ac_pwd=`pwd` && srcdir=. && test -d "$ac_dir"; } ||
+      continue
+    ac_builddir=.
+
+case "$ac_dir" in
+.) ac_dir_suffix= ac_top_builddir_sub=. ac_top_build_prefix= ;;
+*)
+  ac_dir_suffix=/`$as_echo "$ac_dir" | sed 's|^\.[\\/]||'`
+  # A ".." for each directory in $ac_dir_suffix.
+  ac_top_builddir_sub=`$as_echo "$ac_dir_suffix" | sed 's|/[^\\/]*|/..|g;s|/||'`
+  case $ac_top_builddir_sub in
+  "") ac_top_builddir_sub=. ac_top_build_prefix= ;;
+  *)  ac_top_build_prefix=$ac_top_builddir_sub/ ;;
+  esac ;;
+esac
+ac_abs_top_builddir=$ac_pwd
+ac_abs_builddir=$ac_pwd$ac_dir_suffix
+# for backward compatibility:
+ac_top_builddir=$ac_top_build_prefix
+
+case $srcdir in
+  .)  # We are building in place.
+    ac_srcdir=.
+    ac_top_srcdir=$ac_top_builddir_sub
+    ac_abs_top_srcdir=$ac_pwd ;;
+  [\\/]* | ?:[\\/]* )  # Absolute name.
+    ac_srcdir=$srcdir$ac_dir_suffix;
+    ac_top_srcdir=$srcdir
+    ac_abs_top_srcdir=$srcdir ;;
+  *) # Relative name.
+    ac_srcdir=$ac_top_build_prefix$srcdir$ac_dir_suffix
+    ac_top_srcdir=$ac_top_build_prefix$srcdir
+    ac_abs_top_srcdir=$ac_pwd/$srcdir ;;
+esac
+ac_abs_srcdir=$ac_abs_top_srcdir$ac_dir_suffix
+
+    cd "$ac_dir" || { ac_status=$?; continue; }
+    # Check for guested configure.
+    if test -f "$ac_srcdir/configure.gnu"; then
+      echo &&
+      $SHELL "$ac_srcdir/configure.gnu" --help=recursive
+    elif test -f "$ac_srcdir/configure"; then
+      echo &&
+      $SHELL "$ac_srcdir/configure" --help=recursive
+    else
+      $as_echo "$as_me: WARNING: no configuration information is in $ac_dir" >&2
+    fi || ac_status=$?
+    cd "$ac_pwd" || { ac_status=$?; break; }
+  done
+fi
+
+test -n "$ac_init_help" && exit $ac_status
+if $ac_init_version; then
+  cat <<\_ACEOF
+configure
+generated by GNU Autoconf 2.63
+
+Copyright (C) 1992, 1993, 1994, 1995, 1996, 1998, 1999, 2000, 2001,
+2002, 2003, 2004, 2005, 2006, 2007, 2008 Free Software Foundation, Inc.
+This configure script is free software; the Free Software Foundation
+gives unlimited permission to copy, distribute and modify it.
+_ACEOF
+  exit
+fi
+cat >config.log <<_ACEOF
+This file contains any messages produced by compilers while
+running configure, to aid debugging if configure makes a mistake.
+
+It was created by $as_me, which was
+generated by GNU Autoconf 2.63.  Invocation command line was
+
+  $ $0 $@
+
+_ACEOF
+exec 5>>config.log
+{
+cat <<_ASUNAME
+## --------- ##
+## Platform. ##
+## --------- ##
+
+hostname = `(hostname || uname -n) 2>/dev/null | sed 1q`
+uname -m = `(uname -m) 2>/dev/null || echo unknown`
+uname -r = `(uname -r) 2>/dev/null || echo unknown`
+uname -s = `(uname -s) 2>/dev/null || echo unknown`
+uname -v = `(uname -v) 2>/dev/null || echo unknown`
+
+/usr/bin/uname -p = `(/usr/bin/uname -p) 2>/dev/null || echo unknown`
+/bin/uname -X     = `(/bin/uname -X) 2>/dev/null     || echo unknown`
+
+/bin/arch              = `(/bin/arch) 2>/dev/null              || echo unknown`
+/usr/bin/arch -k       = `(/usr/bin/arch -k) 2>/dev/null       || echo unknown`
+/usr/convex/getsysinfo = `(/usr/convex/getsysinfo) 2>/dev/null || echo unknown`
+/usr/bin/hostinfo      = `(/usr/bin/hostinfo) 2>/dev/null      || echo unknown`
+/bin/machine           = `(/bin/machine) 2>/dev/null           || echo unknown`
+/usr/bin/oslevel       = `(/usr/bin/oslevel) 2>/dev/null       || echo unknown`
+/bin/universe          = `(/bin/universe) 2>/dev/null          || echo unknown`
+
+_ASUNAME
+
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  $as_echo "PATH: $as_dir"
+done
+IFS=$as_save_IFS
+
+} >&5
+
+cat >&5 <<_ACEOF
+
+
+## ----------- ##
+## Core tests. ##
+## ----------- ##
+
+_ACEOF
+
+
+# Keep a trace of the command line.
+# Strip out --no-create and --no-recursion so they do not pile up.
+# Strip out --silent because we don't want to record it for future runs.
+# Also quote any args containing shell meta-characters.
+# Make two passes to allow for proper duplicate-argument suppression.
+ac_configure_args=
+ac_configure_args0=
+ac_configure_args1=
+ac_must_keep_next=false
+for ac_pass in 1 2
+do
+  for ac_arg
+  do
+    case $ac_arg in
+    -no-create | --no-c* | -n | -no-recursion | --no-r*) continue ;;
+    -q | -quiet | --quiet | --quie | --qui | --qu | --q \
+    | -silent | --silent | --silen | --sile | --sil)
+      continue ;;
+    *\'*)
+      ac_arg=`$as_echo "$ac_arg" | sed "s/'/'\\\\\\\\''/g"` ;;
+    esac
+    case $ac_pass in
+    1) ac_configure_args0="$ac_configure_args0 '$ac_arg'" ;;
+    2)
+      ac_configure_args1="$ac_configure_args1 '$ac_arg'"
+      if test $ac_must_keep_next = true; then
+       ac_must_keep_next=false # Got value, back to normal.
+      else
+       case $ac_arg in
+         *=* | --config-cache | -C | -disable-* | --disable-* \
+         | -enable-* | --enable-* | -gas | --g* | -nfp | --nf* \
+         | -q | -quiet | --q* | -silent | --sil* | -v | -verb* \
+         | -with-* | --with-* | -without-* | --without-* | --x)
+           case "$ac_configure_args0 " in
+             "$ac_configure_args1"*" '$ac_arg' "* ) continue ;;
+           esac
+           ;;
+         -* ) ac_must_keep_next=true ;;
+       esac
+      fi
+      ac_configure_args="$ac_configure_args '$ac_arg'"
+      ;;
+    esac
+  done
+done
+$as_unset ac_configure_args0 || test "${ac_configure_args0+set}" != set || { ac_configure_args0=; export ac_configure_args0; }
+$as_unset ac_configure_args1 || test "${ac_configure_args1+set}" != set || { ac_configure_args1=; export ac_configure_args1; }
+
+# When interrupted or exit'd, cleanup temporary files, and complete
+# config.log.  We remove comments because anyway the quotes in there
+# would cause problems or look ugly.
+# WARNING: Use '\'' to represent an apostrophe within the trap.
+# WARNING: Do not start the trap code with a newline, due to a FreeBSD 4.0 bug.
+trap 'exit_status=$?
+  # Save into config.log some information that might help in debugging.
+  {
+    echo
+
+    cat <<\_ASBOX
+## ---------------- ##
+## Cache variables. ##
+## ---------------- ##
+_ASBOX
+    echo
+    # The following way of writing the cache mishandles newlines in values,
+(
+  for ac_var in `(set) 2>&1 | sed -n '\''s/^\([a-zA-Z_][a-zA-Z0-9_]*\)=.*/\1/p'\''`; do
+    eval ac_val=\$$ac_var
+    case $ac_val in #(
+    *${as_nl}*)
+      case $ac_var in #(
+      *_cv_*) { $as_echo "$as_me:$LINENO: WARNING: cache variable $ac_var contains a newline" >&5
+$as_echo "$as_me: WARNING: cache variable $ac_var contains a newline" >&2;} ;;
+      esac
+      case $ac_var in #(
+      _ | IFS | as_nl) ;; #(
+      BASH_ARGV | BASH_SOURCE) eval $ac_var= ;; #(
+      *) $as_unset $ac_var ;;
+      esac ;;
+    esac
+  done
+  (set) 2>&1 |
+    case $as_nl`(ac_space='\'' '\''; set) 2>&1` in #(
+    *${as_nl}ac_space=\ *)
+      sed -n \
+       "s/'\''/'\''\\\\'\'''\''/g;
+         s/^\\([_$as_cr_alnum]*_cv_[_$as_cr_alnum]*\\)=\\(.*\\)/\\1='\''\\2'\''/p"
+      ;; #(
+    *)
+      sed -n "/^[_$as_cr_alnum]*_cv_[_$as_cr_alnum]*=/p"
+      ;;
+    esac |
+    sort
+)
+    echo
+
+    cat <<\_ASBOX
+## ----------------- ##
+## Output variables. ##
+## ----------------- ##
+_ASBOX
+    echo
+    for ac_var in $ac_subst_vars
+    do
+      eval ac_val=\$$ac_var
+      case $ac_val in
+      *\'\''*) ac_val=`$as_echo "$ac_val" | sed "s/'\''/'\''\\\\\\\\'\'''\''/g"`;;
+      esac
+      $as_echo "$ac_var='\''$ac_val'\''"
+    done | sort
+    echo
+
+    if test -n "$ac_subst_files"; then
+      cat <<\_ASBOX
+## ------------------- ##
+## File substitutions. ##
+## ------------------- ##
+_ASBOX
+      echo
+      for ac_var in $ac_subst_files
+      do
+       eval ac_val=\$$ac_var
+       case $ac_val in
+       *\'\''*) ac_val=`$as_echo "$ac_val" | sed "s/'\''/'\''\\\\\\\\'\'''\''/g"`;;
+       esac
+       $as_echo "$ac_var='\''$ac_val'\''"
+      done | sort
+      echo
+    fi
+
+    if test -s confdefs.h; then
+      cat <<\_ASBOX
+## ----------- ##
+## confdefs.h. ##
+## ----------- ##
+_ASBOX
+      echo
+      cat confdefs.h
+      echo
+    fi
+    test "$ac_signal" != 0 &&
+      $as_echo "$as_me: caught signal $ac_signal"
+    $as_echo "$as_me: exit $exit_status"
+  } >&5
+  rm -f core *.core core.conftest.* &&
+    rm -f -r conftest* confdefs* conf$$* $ac_clean_files &&
+    exit $exit_status
+' 0
+for ac_signal in 1 2 13 15; do
+  trap 'ac_signal='$ac_signal'; { (exit 1); exit 1; }' $ac_signal
+done
+ac_signal=0
+
+# confdefs.h avoids OS command line length limits that DEFS can exceed.
+rm -f -r conftest* confdefs.h
+
+# Predefined preprocessor variables.
+
+cat >>confdefs.h <<_ACEOF
+#define PACKAGE_NAME "$PACKAGE_NAME"
+_ACEOF
+
+
+cat >>confdefs.h <<_ACEOF
+#define PACKAGE_TARNAME "$PACKAGE_TARNAME"
+_ACEOF
+
+
+cat >>confdefs.h <<_ACEOF
+#define PACKAGE_VERSION "$PACKAGE_VERSION"
+_ACEOF
+
+
+cat >>confdefs.h <<_ACEOF
+#define PACKAGE_STRING "$PACKAGE_STRING"
+_ACEOF
+
+
+cat >>confdefs.h <<_ACEOF
+#define PACKAGE_BUGREPORT "$PACKAGE_BUGREPORT"
+_ACEOF
+
+
+# Let the site file select an alternate cache file if it wants to.
+# Prefer an explicitly selected file to automatically selected ones.
+ac_site_file1=NONE
+ac_site_file2=NONE
+if test -n "$CONFIG_SITE"; then
+  ac_site_file1=$CONFIG_SITE
+elif test "x$prefix" != xNONE; then
+  ac_site_file1=$prefix/share/config.site
+  ac_site_file2=$prefix/etc/config.site
+else
+  ac_site_file1=$ac_default_prefix/share/config.site
+  ac_site_file2=$ac_default_prefix/etc/config.site
+fi
+for ac_site_file in "$ac_site_file1" "$ac_site_file2"
+do
+  test "x$ac_site_file" = xNONE && continue
+  if test -r "$ac_site_file"; then
+    { $as_echo "$as_me:$LINENO: loading site script $ac_site_file" >&5
+$as_echo "$as_me: loading site script $ac_site_file" >&6;}
+    sed 's/^/| /' "$ac_site_file" >&5
+    . "$ac_site_file"
+  fi
+done
+
+if test -r "$cache_file"; then
+  # Some versions of bash will fail to source /dev/null (special
+  # files actually), so we avoid doing that.
+  if test -f "$cache_file"; then
+    { $as_echo "$as_me:$LINENO: loading cache $cache_file" >&5
+$as_echo "$as_me: loading cache $cache_file" >&6;}
+    case $cache_file in
+      [\\/]* | ?:[\\/]* ) . "$cache_file";;
+      *)                      . "./$cache_file";;
+    esac
+  fi
+else
+  { $as_echo "$as_me:$LINENO: creating cache $cache_file" >&5
+$as_echo "$as_me: creating cache $cache_file" >&6;}
+  >$cache_file
+fi
+
+# Check that the precious variables saved in the cache have kept the same
+# value.
+ac_cache_corrupted=false
+for ac_var in $ac_precious_vars; do
+  eval ac_old_set=\$ac_cv_env_${ac_var}_set
+  eval ac_new_set=\$ac_env_${ac_var}_set
+  eval ac_old_val=\$ac_cv_env_${ac_var}_value
+  eval ac_new_val=\$ac_env_${ac_var}_value
+  case $ac_old_set,$ac_new_set in
+    set,)
+      { $as_echo "$as_me:$LINENO: error: \`$ac_var' was set to \`$ac_old_val' in the previous run" >&5
+$as_echo "$as_me: error: \`$ac_var' was set to \`$ac_old_val' in the previous run" >&2;}
+      ac_cache_corrupted=: ;;
+    ,set)
+      { $as_echo "$as_me:$LINENO: error: \`$ac_var' was not set in the previous run" >&5
+$as_echo "$as_me: error: \`$ac_var' was not set in the previous run" >&2;}
+      ac_cache_corrupted=: ;;
+    ,);;
+    *)
+      if test "x$ac_old_val" != "x$ac_new_val"; then
+       # differences in whitespace do not lead to failure.
+       ac_old_val_w=`echo x $ac_old_val`
+       ac_new_val_w=`echo x $ac_new_val`
+       if test "$ac_old_val_w" != "$ac_new_val_w"; then
+         { $as_echo "$as_me:$LINENO: error: \`$ac_var' has changed since the previous run:" >&5
+$as_echo "$as_me: error: \`$ac_var' has changed since the previous run:" >&2;}
+         ac_cache_corrupted=:
+       else
+         { $as_echo "$as_me:$LINENO: warning: ignoring whitespace changes in \`$ac_var' since the previous run:" >&5
+$as_echo "$as_me: warning: ignoring whitespace changes in \`$ac_var' since the previous run:" >&2;}
+         eval $ac_var=\$ac_old_val
+       fi
+       { $as_echo "$as_me:$LINENO:   former value:  \`$ac_old_val'" >&5
+$as_echo "$as_me:   former value:  \`$ac_old_val'" >&2;}
+       { $as_echo "$as_me:$LINENO:   current value: \`$ac_new_val'" >&5
+$as_echo "$as_me:   current value: \`$ac_new_val'" >&2;}
+      fi;;
+  esac
+  # Pass precious variables to config.status.
+  if test "$ac_new_set" = set; then
+    case $ac_new_val in
+    *\'*) ac_arg=$ac_var=`$as_echo "$ac_new_val" | sed "s/'/'\\\\\\\\''/g"` ;;
+    *) ac_arg=$ac_var=$ac_new_val ;;
+    esac
+    case " $ac_configure_args " in
+      *" '$ac_arg' "*) ;; # Avoid dups.  Use of quotes ensures accuracy.
+      *) ac_configure_args="$ac_configure_args '$ac_arg'" ;;
+    esac
+  fi
+done
+if $ac_cache_corrupted; then
+  { $as_echo "$as_me:$LINENO: error: in \`$ac_pwd':" >&5
+$as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
+  { $as_echo "$as_me:$LINENO: error: changes in the environment can compromise the build" >&5
+$as_echo "$as_me: error: changes in the environment can compromise the build" >&2;}
+  { { $as_echo "$as_me:$LINENO: error: run \`make distclean' and/or \`rm $cache_file' and start over" >&5
+$as_echo "$as_me: error: run \`make distclean' and/or \`rm $cache_file' and start over" >&2;}
+   { (exit 1); exit 1; }; }
+fi
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+
+
+ac_config_headers="$ac_config_headers config.h"
+
+
+
+ac_aux_dir=
+for ac_dir in "$srcdir" "$srcdir/.." "$srcdir/../.."; do
+  if test -f "$ac_dir/install-sh"; then
+    ac_aux_dir=$ac_dir
+    ac_install_sh="$ac_aux_dir/install-sh -c"
+    break
+  elif test -f "$ac_dir/install.sh"; then
+    ac_aux_dir=$ac_dir
+    ac_install_sh="$ac_aux_dir/install.sh -c"
+    break
+  elif test -f "$ac_dir/shtool"; then
+    ac_aux_dir=$ac_dir
+    ac_install_sh="$ac_aux_dir/shtool install -c"
+    break
+  fi
+done
+if test -z "$ac_aux_dir"; then
+  { { $as_echo "$as_me:$LINENO: error: cannot find install-sh or install.sh in \"$srcdir\" \"$srcdir/..\" \"$srcdir/../..\"" >&5
+$as_echo "$as_me: error: cannot find install-sh or install.sh in \"$srcdir\" \"$srcdir/..\" \"$srcdir/../..\"" >&2;}
+   { (exit 1); exit 1; }; }
+fi
+
+# These three variables are undocumented and unsupported,
+# and are intended to be withdrawn in a future Autoconf release.
+# They can cause serious problems if a builder's source tree is in a directory
+# whose full name contains unusual characters.
+ac_config_guess="$SHELL $ac_aux_dir/config.guess"  # Please don't use this var.
+ac_config_sub="$SHELL $ac_aux_dir/config.sub"  # Please don't use this var.
+ac_configure="$SHELL $ac_aux_dir/configure"  # Please don't use this var.
+
+
+# Make sure we can run config.sub.
+$SHELL "$ac_aux_dir/config.sub" sun4 >/dev/null 2>&1 ||
+  { { $as_echo "$as_me:$LINENO: error: cannot run $SHELL $ac_aux_dir/config.sub" >&5
+$as_echo "$as_me: error: cannot run $SHELL $ac_aux_dir/config.sub" >&2;}
+   { (exit 1); exit 1; }; }
+
+{ $as_echo "$as_me:$LINENO: checking build system type" >&5
+$as_echo_n "checking build system type... " >&6; }
+if test "${ac_cv_build+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_build_alias=$build_alias
+test "x$ac_build_alias" = x &&
+  ac_build_alias=`$SHELL "$ac_aux_dir/config.guess"`
+test "x$ac_build_alias" = x &&
+  { { $as_echo "$as_me:$LINENO: error: cannot guess build type; you must specify one" >&5
+$as_echo "$as_me: error: cannot guess build type; you must specify one" >&2;}
+   { (exit 1); exit 1; }; }
+ac_cv_build=`$SHELL "$ac_aux_dir/config.sub" $ac_build_alias` ||
+  { { $as_echo "$as_me:$LINENO: error: $SHELL $ac_aux_dir/config.sub $ac_build_alias failed" >&5
+$as_echo "$as_me: error: $SHELL $ac_aux_dir/config.sub $ac_build_alias failed" >&2;}
+   { (exit 1); exit 1; }; }
+
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_build" >&5
+$as_echo "$ac_cv_build" >&6; }
+case $ac_cv_build in
+*-*-*) ;;
+*) { { $as_echo "$as_me:$LINENO: error: invalid value of canonical build" >&5
+$as_echo "$as_me: error: invalid value of canonical build" >&2;}
+   { (exit 1); exit 1; }; };;
+esac
+build=$ac_cv_build
+ac_save_IFS=$IFS; IFS='-'
+set x $ac_cv_build
+shift
+build_cpu=$1
+build_vendor=$2
+shift; shift
+# Remember, the first character of IFS is used to create $*,
+# except with old shells:
+build_os=$*
+IFS=$ac_save_IFS
+case $build_os in *\ *) build_os=`echo "$build_os" | sed 's/ /-/g'`;; esac
+
+
+{ $as_echo "$as_me:$LINENO: checking host system type" >&5
+$as_echo_n "checking host system type... " >&6; }
+if test "${ac_cv_host+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test "x$host_alias" = x; then
+  ac_cv_host=$ac_cv_build
+else
+  ac_cv_host=`$SHELL "$ac_aux_dir/config.sub" $host_alias` ||
+    { { $as_echo "$as_me:$LINENO: error: $SHELL $ac_aux_dir/config.sub $host_alias failed" >&5
+$as_echo "$as_me: error: $SHELL $ac_aux_dir/config.sub $host_alias failed" >&2;}
+   { (exit 1); exit 1; }; }
+fi
+
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_host" >&5
+$as_echo "$ac_cv_host" >&6; }
+case $ac_cv_host in
+*-*-*) ;;
+*) { { $as_echo "$as_me:$LINENO: error: invalid value of canonical host" >&5
+$as_echo "$as_me: error: invalid value of canonical host" >&2;}
+   { (exit 1); exit 1; }; };;
+esac
+host=$ac_cv_host
+ac_save_IFS=$IFS; IFS='-'
+set x $ac_cv_host
+shift
+host_cpu=$1
+host_vendor=$2
+shift; shift
+# Remember, the first character of IFS is used to create $*,
+# except with old shells:
+host_os=$*
+IFS=$ac_save_IFS
+case $host_os in *\ *) host_os=`echo "$host_os" | sed 's/ /-/g'`;; esac
+
+
+{ $as_echo "$as_me:$LINENO: checking target system type" >&5
+$as_echo_n "checking target system type... " >&6; }
+if test "${ac_cv_target+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test "x$target_alias" = x; then
+  ac_cv_target=$ac_cv_host
+else
+  ac_cv_target=`$SHELL "$ac_aux_dir/config.sub" $target_alias` ||
+    { { $as_echo "$as_me:$LINENO: error: $SHELL $ac_aux_dir/config.sub $target_alias failed" >&5
+$as_echo "$as_me: error: $SHELL $ac_aux_dir/config.sub $target_alias failed" >&2;}
+   { (exit 1); exit 1; }; }
+fi
+
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_target" >&5
+$as_echo "$ac_cv_target" >&6; }
+case $ac_cv_target in
+*-*-*) ;;
+*) { { $as_echo "$as_me:$LINENO: error: invalid value of canonical target" >&5
+$as_echo "$as_me: error: invalid value of canonical target" >&2;}
+   { (exit 1); exit 1; }; };;
+esac
+target=$ac_cv_target
+ac_save_IFS=$IFS; IFS='-'
+set x $ac_cv_target
+shift
+target_cpu=$1
+target_vendor=$2
+shift; shift
+# Remember, the first character of IFS is used to create $*,
+# except with old shells:
+target_os=$*
+IFS=$ac_save_IFS
+case $target_os in *\ *) target_os=`echo "$target_os" | sed 's/ /-/g'`;; esac
+
+
+# The aliases save the names the user supplied, while $host etc.
+# will get canonicalized.
+test -n "$target_alias" &&
+  test "$program_prefix$program_suffix$program_transform_name" = \
+    NONENONEs,x,x, &&
+  program_prefix=${target_alias}-
+
+am__api_version='1.10'
+
+# Find a good install program.  We prefer a C program (faster),
+# so one script is as good as another.  But avoid the broken or
+# incompatible versions:
+# SysV /etc/install, /usr/sbin/install
+# SunOS /usr/etc/install
+# IRIX /sbin/install
+# AIX /bin/install
+# AmigaOS /C/install, which installs bootblocks on floppy discs
+# AIX 4 /usr/bin/installbsd, which doesn't work without a -g flag
+# AFS /usr/afsws/bin/install, which mishandles nonexistent args
+# SVR4 /usr/ucb/install, which tries to use the nonexistent group "staff"
+# OS/2's system install, which has a completely different semantic
+# ./install, which can be erroneously created by make from ./install.sh.
+# Reject install programs that cannot install multiple files.
+{ $as_echo "$as_me:$LINENO: checking for a BSD-compatible install" >&5
+$as_echo_n "checking for a BSD-compatible install... " >&6; }
+if test -z "$INSTALL"; then
+if test "${ac_cv_path_install+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  # Account for people who put trailing slashes in PATH elements.
+case $as_dir/ in
+  ./ | .// | /cC/* | \
+  /etc/* | /usr/sbin/* | /usr/etc/* | /sbin/* | /usr/afsws/bin/* | \
+  ?:\\/os2\\/install\\/* | ?:\\/OS2\\/INSTALL\\/* | \
+  /usr/ucb/* ) ;;
+  *)
+    # OSF1 and SCO ODT 3.0 have their own names for install.
+    # Don't use installbsd from OSF since it installs stuff as root
+    # by default.
+    for ac_prog in ginstall scoinst install; do
+      for ac_exec_ext in '' $ac_executable_extensions; do
+       if { test -f "$as_dir/$ac_prog$ac_exec_ext" && $as_test_x "$as_dir/$ac_prog$ac_exec_ext"; }; then
+         if test $ac_prog = install &&
+           grep dspmsg "$as_dir/$ac_prog$ac_exec_ext" >/dev/null 2>&1; then
+           # AIX install.  It has an incompatible calling convention.
+           :
+         elif test $ac_prog = install &&
+           grep pwplus "$as_dir/$ac_prog$ac_exec_ext" >/dev/null 2>&1; then
+           # program-specific install script used by HP pwplus--don't use.
+           :
+         else
+           rm -rf conftest.one conftest.two conftest.dir
+           echo one > conftest.one
+           echo two > conftest.two
+           mkdir conftest.dir
+           if "$as_dir/$ac_prog$ac_exec_ext" -c conftest.one conftest.two "`pwd`/conftest.dir" &&
+             test -s conftest.one && test -s conftest.two &&
+             test -s conftest.dir/conftest.one &&
+             test -s conftest.dir/conftest.two
+           then
+             ac_cv_path_install="$as_dir/$ac_prog$ac_exec_ext -c"
+             break 3
+           fi
+         fi
+       fi
+      done
+    done
+    ;;
+esac
+
+done
+IFS=$as_save_IFS
+
+rm -rf conftest.one conftest.two conftest.dir
+
+fi
+  if test "${ac_cv_path_install+set}" = set; then
+    INSTALL=$ac_cv_path_install
+  else
+    # As a last resort, use the slow shell script.  Don't cache a
+    # value for INSTALL within a source directory, because that will
+    # break other packages using the cache if that directory is
+    # removed, or if the value is a relative name.
+    INSTALL=$ac_install_sh
+  fi
+fi
+{ $as_echo "$as_me:$LINENO: result: $INSTALL" >&5
+$as_echo "$INSTALL" >&6; }
+
+# Use test -z because SunOS4 sh mishandles braces in ${var-val}.
+# It thinks the first close brace ends the variable substitution.
+test -z "$INSTALL_PROGRAM" && INSTALL_PROGRAM='${INSTALL}'
+
+test -z "$INSTALL_SCRIPT" && INSTALL_SCRIPT='${INSTALL}'
+
+test -z "$INSTALL_DATA" && INSTALL_DATA='${INSTALL} -m 644'
+
+{ $as_echo "$as_me:$LINENO: checking whether build environment is sane" >&5
+$as_echo_n "checking whether build environment is sane... " >&6; }
+# Just in case
+sleep 1
+echo timestamp > conftest.file
+# Do `set' in a subshell so we don't clobber the current shell's
+# arguments.  Must try -L first in case configure is actually a
+# symlink; some systems play weird games with the mod time of symlinks
+# (eg FreeBSD returns the mod time of the symlink's containing
+# directory).
+if (
+   set X `ls -Lt $srcdir/configure conftest.file 2> /dev/null`
+   if test "$*" = "X"; then
+      # -L didn't work.
+      set X `ls -t $srcdir/configure conftest.file`
+   fi
+   rm -f conftest.file
+   if test "$*" != "X $srcdir/configure conftest.file" \
+      && test "$*" != "X conftest.file $srcdir/configure"; then
+
+      # If neither matched, then we have a broken ls.  This can happen
+      # if, for instance, CONFIG_SHELL is bash and it inherits a
+      # broken ls alias from the environment.  This has actually
+      # happened.  Such a system could not be considered "sane".
+      { { $as_echo "$as_me:$LINENO: error: ls -t appears to fail.  Make sure there is not a broken
+alias in your environment" >&5
+$as_echo "$as_me: error: ls -t appears to fail.  Make sure there is not a broken
+alias in your environment" >&2;}
+   { (exit 1); exit 1; }; }
+   fi
+
+   test "$2" = conftest.file
+   )
+then
+   # Ok.
+   :
+else
+   { { $as_echo "$as_me:$LINENO: error: newly created file is older than distributed files!
+Check your system clock" >&5
+$as_echo "$as_me: error: newly created file is older than distributed files!
+Check your system clock" >&2;}
+   { (exit 1); exit 1; }; }
+fi
+{ $as_echo "$as_me:$LINENO: result: yes" >&5
+$as_echo "yes" >&6; }
+test "$program_prefix" != NONE &&
+  program_transform_name="s&^&$program_prefix&;$program_transform_name"
+# Use a double $ so make ignores it.
+test "$program_suffix" != NONE &&
+  program_transform_name="s&\$&$program_suffix&;$program_transform_name"
+# Double any \ or $.
+# By default was `s,x,x', remove it if useless.
+ac_script='s/[\\$]/&&/g;s/;s,x,x,$//'
+program_transform_name=`$as_echo "$program_transform_name" | sed "$ac_script"`
+
+# expand $ac_aux_dir to an absolute path
+am_aux_dir=`cd $ac_aux_dir && pwd`
+
+test x"${MISSING+set}" = xset || MISSING="\${SHELL} $am_aux_dir/missing"
+# Use eval to expand $SHELL
+if eval "$MISSING --run true"; then
+  am_missing_run="$MISSING --run "
+else
+  am_missing_run=
+  { $as_echo "$as_me:$LINENO: WARNING: \`missing' script is too old or missing" >&5
+$as_echo "$as_me: WARNING: \`missing' script is too old or missing" >&2;}
+fi
+
+{ $as_echo "$as_me:$LINENO: checking for a thread-safe mkdir -p" >&5
+$as_echo_n "checking for a thread-safe mkdir -p... " >&6; }
+if test -z "$MKDIR_P"; then
+  if test "${ac_cv_path_mkdir+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH$PATH_SEPARATOR/opt/sfw/bin
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_prog in mkdir gmkdir; do
+        for ac_exec_ext in '' $ac_executable_extensions; do
+          { test -f "$as_dir/$ac_prog$ac_exec_ext" && $as_test_x "$as_dir/$ac_prog$ac_exec_ext"; } || continue
+          case `"$as_dir/$ac_prog$ac_exec_ext" --version 2>&1` in #(
+            'mkdir (GNU coreutils) '* | \
+            'mkdir (coreutils) '* | \
+            'mkdir (fileutils) '4.1*)
+              ac_cv_path_mkdir=$as_dir/$ac_prog$ac_exec_ext
+              break 3;;
+          esac
+        done
+       done
+done
+IFS=$as_save_IFS
+
+fi
+
+  if test "${ac_cv_path_mkdir+set}" = set; then
+    MKDIR_P="$ac_cv_path_mkdir -p"
+  else
+    # As a last resort, use the slow shell script.  Don't cache a
+    # value for MKDIR_P within a source directory, because that will
+    # break other packages using the cache if that directory is
+    # removed, or if the value is a relative name.
+    test -d ./--version && rmdir ./--version
+    MKDIR_P="$ac_install_sh -d"
+  fi
+fi
+{ $as_echo "$as_me:$LINENO: result: $MKDIR_P" >&5
+$as_echo "$MKDIR_P" >&6; }
+
+mkdir_p="$MKDIR_P"
+case $mkdir_p in
+  [\\/$]* | ?:[\\/]*) ;;
+  */*) mkdir_p="\$(top_builddir)/$mkdir_p" ;;
+esac
+
+for ac_prog in gawk mawk nawk awk
+do
+  # Extract the first word of "$ac_prog", so it can be a program name with args.
+set dummy $ac_prog; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_AWK+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$AWK"; then
+  ac_cv_prog_AWK="$AWK" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_AWK="$ac_prog"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+AWK=$ac_cv_prog_AWK
+if test -n "$AWK"; then
+  { $as_echo "$as_me:$LINENO: result: $AWK" >&5
+$as_echo "$AWK" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+  test -n "$AWK" && break
+done
+
+{ $as_echo "$as_me:$LINENO: checking whether ${MAKE-make} sets \$(MAKE)" >&5
+$as_echo_n "checking whether ${MAKE-make} sets \$(MAKE)... " >&6; }
+set x ${MAKE-make}
+ac_make=`$as_echo "$2" | sed 's/+/p/g; s/[^a-zA-Z0-9_]/_/g'`
+if { as_var=ac_cv_prog_make_${ac_make}_set; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.make <<\_ACEOF
+SHELL = /bin/sh
+all:
+       @echo '@@@%%%=$(MAKE)=@@@%%%'
+_ACEOF
+# GNU make sometimes prints "make[1]: Entering...", which would confuse us.
+case `${MAKE-make} -f conftest.make 2>/dev/null` in
+  *@@@%%%=?*=@@@%%%*)
+    eval ac_cv_prog_make_${ac_make}_set=yes;;
+  *)
+    eval ac_cv_prog_make_${ac_make}_set=no;;
+esac
+rm -f conftest.make
+fi
+if eval test \$ac_cv_prog_make_${ac_make}_set = yes; then
+  { $as_echo "$as_me:$LINENO: result: yes" >&5
+$as_echo "yes" >&6; }
+  SET_MAKE=
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+  SET_MAKE="MAKE=${MAKE-make}"
+fi
+
+rm -rf .tst 2>/dev/null
+mkdir .tst 2>/dev/null
+if test -d .tst; then
+  am__leading_dot=.
+else
+  am__leading_dot=_
+fi
+rmdir .tst 2>/dev/null
+
+if test "`cd $srcdir && pwd`" != "`pwd`"; then
+  # Use -I$(srcdir) only when $(srcdir) != ., so that make's output
+  # is not polluted with repeated "-I."
+  am__isrc=' -I$(srcdir)'
+  # test to see if srcdir already configured
+  if test -f $srcdir/config.status; then
+    { { $as_echo "$as_me:$LINENO: error: source directory already configured; run \"make distclean\" there first" >&5
+$as_echo "$as_me: error: source directory already configured; run \"make distclean\" there first" >&2;}
+   { (exit 1); exit 1; }; }
+  fi
+fi
+
+# test whether we have cygpath
+if test -z "$CYGPATH_W"; then
+  if (cygpath --version) >/dev/null 2>/dev/null; then
+    CYGPATH_W='cygpath -w'
+  else
+    CYGPATH_W=echo
+  fi
+fi
+
+
+# Define the identity of the package.
+ PACKAGE=gnuradio
+ VERSION=3.2.2
+
+
+cat >>confdefs.h <<_ACEOF
+#define PACKAGE "$PACKAGE"
+_ACEOF
+
+
+cat >>confdefs.h <<_ACEOF
+#define VERSION "$VERSION"
+_ACEOF
+
+# Some tools Automake needs.
+
+ACLOCAL=${ACLOCAL-"${am_missing_run}aclocal-${am__api_version}"}
+
+
+AUTOCONF=${AUTOCONF-"${am_missing_run}autoconf"}
+
+
+AUTOMAKE=${AUTOMAKE-"${am_missing_run}automake-${am__api_version}"}
+
+
+AUTOHEADER=${AUTOHEADER-"${am_missing_run}autoheader"}
+
+
+MAKEINFO=${MAKEINFO-"${am_missing_run}makeinfo"}
+
+install_sh=${install_sh-"\$(SHELL) $am_aux_dir/install-sh"}
+
+# Installed binaries are usually stripped using `strip' when the user
+# run `make install-strip'.  However `strip' might not be the right
+# tool to use in cross-compilation environments, therefore Automake
+# will honor the `STRIP' environment variable to overrule this program.
+if test "$cross_compiling" != no; then
+  if test -n "$ac_tool_prefix"; then
+  # Extract the first word of "${ac_tool_prefix}strip", so it can be a program name with args.
+set dummy ${ac_tool_prefix}strip; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_STRIP+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$STRIP"; then
+  ac_cv_prog_STRIP="$STRIP" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_STRIP="${ac_tool_prefix}strip"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+STRIP=$ac_cv_prog_STRIP
+if test -n "$STRIP"; then
+  { $as_echo "$as_me:$LINENO: result: $STRIP" >&5
+$as_echo "$STRIP" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+fi
+if test -z "$ac_cv_prog_STRIP"; then
+  ac_ct_STRIP=$STRIP
+  # Extract the first word of "strip", so it can be a program name with args.
+set dummy strip; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_ac_ct_STRIP+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_STRIP"; then
+  ac_cv_prog_ac_ct_STRIP="$ac_ct_STRIP" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_ac_ct_STRIP="strip"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_STRIP=$ac_cv_prog_ac_ct_STRIP
+if test -n "$ac_ct_STRIP"; then
+  { $as_echo "$as_me:$LINENO: result: $ac_ct_STRIP" >&5
+$as_echo "$ac_ct_STRIP" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+  if test "x$ac_ct_STRIP" = x; then
+    STRIP=":"
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:$LINENO: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    STRIP=$ac_ct_STRIP
+  fi
+else
+  STRIP="$ac_cv_prog_STRIP"
+fi
+
+fi
+INSTALL_STRIP_PROGRAM="\$(install_sh) -c -s"
+
+# We need awk for the "check" target.  The system "awk" is bad on
+# some platforms.
+# Always define AMTAR for backward compatibility.
+
+AMTAR=${AMTAR-"${am_missing_run}tar"}
+
+am__tar='${AMTAR} chof - "$$tardir"'; am__untar='${AMTAR} xf -'
+
+
+
+
+
+
+DEFINES=""
+
+
+if test -n "${CFLAGS}"; then
+  user_set_cflags=yes
+fi
+if test -n "${CXXFLAGS}"; then
+  user_set_cxxflags=yes
+fi
+
+
+DEPDIR="${am__leading_dot}deps"
+
+ac_config_commands="$ac_config_commands depfiles"
+
+
+am_make=${MAKE-make}
+cat > confinc << 'END'
+am__doit:
+       @echo done
+.PHONY: am__doit
+END
+# If we don't find an include directive, just comment out the code.
+{ $as_echo "$as_me:$LINENO: checking for style of include used by $am_make" >&5
+$as_echo_n "checking for style of include used by $am_make... " >&6; }
+am__include="#"
+am__quote=
+_am_result=none
+# First try GNU make style include.
+echo "include confinc" > confmf
+# We grep out `Entering directory' and `Leaving directory'
+# messages which can occur if `w' ends up in MAKEFLAGS.
+# In particular we don't look at `^make:' because GNU make might
+# be invoked under some other name (usually "gmake"), in which
+# case it prints its new name instead of `make'.
+if test "`$am_make -s -f confmf 2> /dev/null | grep -v 'ing directory'`" = "done"; then
+   am__include=include
+   am__quote=
+   _am_result=GNU
+fi
+# Now try BSD make style include.
+if test "$am__include" = "#"; then
+   echo '.include "confinc"' > confmf
+   if test "`$am_make -s -f confmf 2> /dev/null`" = "done"; then
+      am__include=.include
+      am__quote="\""
+      _am_result=BSD
+   fi
+fi
+
+
+{ $as_echo "$as_me:$LINENO: result: $_am_result" >&5
+$as_echo "$_am_result" >&6; }
+rm -f confinc confmf
+
+# Check whether --enable-dependency-tracking was given.
+if test "${enable_dependency_tracking+set}" = set; then
+  enableval=$enable_dependency_tracking;
+fi
+
+if test "x$enable_dependency_tracking" != xno; then
+  am_depcomp="$ac_aux_dir/depcomp"
+  AMDEPBACKSLASH='\'
+fi
+ if test "x$enable_dependency_tracking" != xno; then
+  AMDEP_TRUE=
+  AMDEP_FALSE='#'
+else
+  AMDEP_TRUE='#'
+  AMDEP_FALSE=
+fi
+
+
+ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+if test -n "$ac_tool_prefix"; then
+  # Extract the first word of "${ac_tool_prefix}gcc", so it can be a program name with args.
+set dummy ${ac_tool_prefix}gcc; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_CC+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$CC"; then
+  ac_cv_prog_CC="$CC" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_CC="${ac_tool_prefix}gcc"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+CC=$ac_cv_prog_CC
+if test -n "$CC"; then
+  { $as_echo "$as_me:$LINENO: result: $CC" >&5
+$as_echo "$CC" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+fi
+if test -z "$ac_cv_prog_CC"; then
+  ac_ct_CC=$CC
+  # Extract the first word of "gcc", so it can be a program name with args.
+set dummy gcc; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_ac_ct_CC+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_CC"; then
+  ac_cv_prog_ac_ct_CC="$ac_ct_CC" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_ac_ct_CC="gcc"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_CC=$ac_cv_prog_ac_ct_CC
+if test -n "$ac_ct_CC"; then
+  { $as_echo "$as_me:$LINENO: result: $ac_ct_CC" >&5
+$as_echo "$ac_ct_CC" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+  if test "x$ac_ct_CC" = x; then
+    CC=""
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:$LINENO: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    CC=$ac_ct_CC
+  fi
+else
+  CC="$ac_cv_prog_CC"
+fi
+
+if test -z "$CC"; then
+          if test -n "$ac_tool_prefix"; then
+    # Extract the first word of "${ac_tool_prefix}cc", so it can be a program name with args.
+set dummy ${ac_tool_prefix}cc; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_CC+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$CC"; then
+  ac_cv_prog_CC="$CC" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_CC="${ac_tool_prefix}cc"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+CC=$ac_cv_prog_CC
+if test -n "$CC"; then
+  { $as_echo "$as_me:$LINENO: result: $CC" >&5
+$as_echo "$CC" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+  fi
+fi
+if test -z "$CC"; then
+  # Extract the first word of "cc", so it can be a program name with args.
+set dummy cc; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_CC+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$CC"; then
+  ac_cv_prog_CC="$CC" # Let the user override the test.
+else
+  ac_prog_rejected=no
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    if test "$as_dir/$ac_word$ac_exec_ext" = "/usr/ucb/cc"; then
+       ac_prog_rejected=yes
+       continue
+     fi
+    ac_cv_prog_CC="cc"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+if test $ac_prog_rejected = yes; then
+  # We found a bogon in the path, so make sure we never use it.
+  set dummy $ac_cv_prog_CC
+  shift
+  if test $# != 0; then
+    # We chose a different compiler from the bogus one.
+    # However, it has the same basename, so the bogon will be chosen
+    # first if we set CC to just the basename; use the full file name.
+    shift
+    ac_cv_prog_CC="$as_dir/$ac_word${1+' '}$@"
+  fi
+fi
+fi
+fi
+CC=$ac_cv_prog_CC
+if test -n "$CC"; then
+  { $as_echo "$as_me:$LINENO: result: $CC" >&5
+$as_echo "$CC" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+fi
+if test -z "$CC"; then
+  if test -n "$ac_tool_prefix"; then
+  for ac_prog in cl.exe
+  do
+    # Extract the first word of "$ac_tool_prefix$ac_prog", so it can be a program name with args.
+set dummy $ac_tool_prefix$ac_prog; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_CC+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$CC"; then
+  ac_cv_prog_CC="$CC" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_CC="$ac_tool_prefix$ac_prog"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+CC=$ac_cv_prog_CC
+if test -n "$CC"; then
+  { $as_echo "$as_me:$LINENO: result: $CC" >&5
+$as_echo "$CC" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+    test -n "$CC" && break
+  done
+fi
+if test -z "$CC"; then
+  ac_ct_CC=$CC
+  for ac_prog in cl.exe
+do
+  # Extract the first word of "$ac_prog", so it can be a program name with args.
+set dummy $ac_prog; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_ac_ct_CC+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_CC"; then
+  ac_cv_prog_ac_ct_CC="$ac_ct_CC" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_ac_ct_CC="$ac_prog"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_CC=$ac_cv_prog_ac_ct_CC
+if test -n "$ac_ct_CC"; then
+  { $as_echo "$as_me:$LINENO: result: $ac_ct_CC" >&5
+$as_echo "$ac_ct_CC" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+  test -n "$ac_ct_CC" && break
+done
+
+  if test "x$ac_ct_CC" = x; then
+    CC=""
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:$LINENO: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    CC=$ac_ct_CC
+  fi
+fi
+
+fi
+
+
+test -z "$CC" && { { $as_echo "$as_me:$LINENO: error: in \`$ac_pwd':" >&5
+$as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
+{ { $as_echo "$as_me:$LINENO: error: no acceptable C compiler found in \$PATH
+See \`config.log' for more details." >&5
+$as_echo "$as_me: error: no acceptable C compiler found in \$PATH
+See \`config.log' for more details." >&2;}
+   { (exit 1); exit 1; }; }; }
+
+# Provide some information about the compiler.
+$as_echo "$as_me:$LINENO: checking for C compiler version" >&5
+set X $ac_compile
+ac_compiler=$2
+{ (ac_try="$ac_compiler --version >&5"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compiler --version >&5") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }
+{ (ac_try="$ac_compiler -v >&5"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compiler -v >&5") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }
+{ (ac_try="$ac_compiler -V >&5"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compiler -V >&5") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }
+
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+ac_clean_files_save=$ac_clean_files
+ac_clean_files="$ac_clean_files a.out a.out.dSYM a.exe b.out"
+# Try to create an executable without -o first, disregard a.out.
+# It will help us diagnose broken compilers, and finding out an intuition
+# of exeext.
+{ $as_echo "$as_me:$LINENO: checking for C compiler default output file name" >&5
+$as_echo_n "checking for C compiler default output file name... " >&6; }
+ac_link_default=`$as_echo "$ac_link" | sed 's/ -o *conftest[^ ]*//'`
+
+# The possible output files:
+ac_files="a.out conftest.exe conftest a.exe a_out.exe b.out conftest.*"
+
+ac_rmfiles=
+for ac_file in $ac_files
+do
+  case $ac_file in
+    *.$ac_ext | *.xcoff | *.tds | *.d | *.pdb | *.xSYM | *.bb | *.bbg | *.map | *.inf | *.dSYM | *.o | *.obj ) ;;
+    * ) ac_rmfiles="$ac_rmfiles $ac_file";;
+  esac
+done
+rm -f $ac_rmfiles
+
+if { (ac_try="$ac_link_default"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link_default") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  # Autoconf-2.13 could set the ac_cv_exeext variable to `no'.
+# So ignore a value of `no', otherwise this would lead to `EXEEXT = no'
+# in a Makefile.  We should not override ac_cv_exeext if it was cached,
+# so that the user can short-circuit this test for compilers unknown to
+# Autoconf.
+for ac_file in $ac_files ''
+do
+  test -f "$ac_file" || continue
+  case $ac_file in
+    *.$ac_ext | *.xcoff | *.tds | *.d | *.pdb | *.xSYM | *.bb | *.bbg | *.map | *.inf | *.dSYM | *.o | *.obj )
+       ;;
+    [ab].out )
+       # We found the default executable, but exeext='' is most
+       # certainly right.
+       break;;
+    *.* )
+        if test "${ac_cv_exeext+set}" = set && test "$ac_cv_exeext" != no;
+       then :; else
+          ac_cv_exeext=`expr "$ac_file" : '[^.]*\(\..*\)'`
+       fi
+       # We set ac_cv_exeext here because the later test for it is not
+       # safe: cross compilers may not add the suffix if given an `-o'
+       # argument, so we may need to know it at that point already.
+       # Even if this section looks crufty: it has the advantage of
+       # actually working.
+       break;;
+    * )
+       break;;
+  esac
+done
+test "$ac_cv_exeext" = no && ac_cv_exeext=
+
+else
+  ac_file=''
+fi
+
+{ $as_echo "$as_me:$LINENO: result: $ac_file" >&5
+$as_echo "$ac_file" >&6; }
+if test -z "$ac_file"; then
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+{ { $as_echo "$as_me:$LINENO: error: in \`$ac_pwd':" >&5
+$as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
+{ { $as_echo "$as_me:$LINENO: error: C compiler cannot create executables
+See \`config.log' for more details." >&5
+$as_echo "$as_me: error: C compiler cannot create executables
+See \`config.log' for more details." >&2;}
+   { (exit 77); exit 77; }; }; }
+fi
+
+ac_exeext=$ac_cv_exeext
+
+# Check that the compiler produces executables we can run.  If not, either
+# the compiler is broken, or we cross compile.
+{ $as_echo "$as_me:$LINENO: checking whether the C compiler works" >&5
+$as_echo_n "checking whether the C compiler works... " >&6; }
+# FIXME: These cross compiler hacks should be removed for Autoconf 3.0
+# If not cross compiling, check that we can run a simple program.
+if test "$cross_compiling" != yes; then
+  if { ac_try='./$ac_file'
+  { (case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_try") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; }; then
+    cross_compiling=no
+  else
+    if test "$cross_compiling" = maybe; then
+       cross_compiling=yes
+    else
+       { { $as_echo "$as_me:$LINENO: error: in \`$ac_pwd':" >&5
+$as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
+{ { $as_echo "$as_me:$LINENO: error: cannot run C compiled programs.
+If you meant to cross compile, use \`--host'.
+See \`config.log' for more details." >&5
+$as_echo "$as_me: error: cannot run C compiled programs.
+If you meant to cross compile, use \`--host'.
+See \`config.log' for more details." >&2;}
+   { (exit 1); exit 1; }; }; }
+    fi
+  fi
+fi
+{ $as_echo "$as_me:$LINENO: result: yes" >&5
+$as_echo "yes" >&6; }
+
+rm -f -r a.out a.out.dSYM a.exe conftest$ac_cv_exeext b.out
+ac_clean_files=$ac_clean_files_save
+# Check that the compiler produces executables we can run.  If not, either
+# the compiler is broken, or we cross compile.
+{ $as_echo "$as_me:$LINENO: checking whether we are cross compiling" >&5
+$as_echo_n "checking whether we are cross compiling... " >&6; }
+{ $as_echo "$as_me:$LINENO: result: $cross_compiling" >&5
+$as_echo "$cross_compiling" >&6; }
+
+{ $as_echo "$as_me:$LINENO: checking for suffix of executables" >&5
+$as_echo_n "checking for suffix of executables... " >&6; }
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  # If both `conftest.exe' and `conftest' are `present' (well, observable)
+# catch `conftest.exe'.  For instance with Cygwin, `ls conftest' will
+# work properly (i.e., refer to `conftest.exe'), while it won't with
+# `rm'.
+for ac_file in conftest.exe conftest conftest.*; do
+  test -f "$ac_file" || continue
+  case $ac_file in
+    *.$ac_ext | *.xcoff | *.tds | *.d | *.pdb | *.xSYM | *.bb | *.bbg | *.map | *.inf | *.dSYM | *.o | *.obj ) ;;
+    *.* ) ac_cv_exeext=`expr "$ac_file" : '[^.]*\(\..*\)'`
+         break;;
+    * ) break;;
+  esac
+done
+else
+  { { $as_echo "$as_me:$LINENO: error: in \`$ac_pwd':" >&5
+$as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
+{ { $as_echo "$as_me:$LINENO: error: cannot compute suffix of executables: cannot compile and link
+See \`config.log' for more details." >&5
+$as_echo "$as_me: error: cannot compute suffix of executables: cannot compile and link
+See \`config.log' for more details." >&2;}
+   { (exit 1); exit 1; }; }; }
+fi
+
+rm -f conftest$ac_cv_exeext
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_exeext" >&5
+$as_echo "$ac_cv_exeext" >&6; }
+
+rm -f conftest.$ac_ext
+EXEEXT=$ac_cv_exeext
+ac_exeext=$EXEEXT
+{ $as_echo "$as_me:$LINENO: checking for suffix of object files" >&5
+$as_echo_n "checking for suffix of object files... " >&6; }
+if test "${ac_cv_objext+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.o conftest.obj
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  for ac_file in conftest.o conftest.obj conftest.*; do
+  test -f "$ac_file" || continue;
+  case $ac_file in
+    *.$ac_ext | *.xcoff | *.tds | *.d | *.pdb | *.xSYM | *.bb | *.bbg | *.map | *.inf | *.dSYM ) ;;
+    *) ac_cv_objext=`expr "$ac_file" : '.*\.\(.*\)'`
+       break;;
+  esac
+done
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+{ { $as_echo "$as_me:$LINENO: error: in \`$ac_pwd':" >&5
+$as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
+{ { $as_echo "$as_me:$LINENO: error: cannot compute suffix of object files: cannot compile
+See \`config.log' for more details." >&5
+$as_echo "$as_me: error: cannot compute suffix of object files: cannot compile
+See \`config.log' for more details." >&2;}
+   { (exit 1); exit 1; }; }; }
+fi
+
+rm -f conftest.$ac_cv_objext conftest.$ac_ext
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_objext" >&5
+$as_echo "$ac_cv_objext" >&6; }
+OBJEXT=$ac_cv_objext
+ac_objext=$OBJEXT
+{ $as_echo "$as_me:$LINENO: checking whether we are using the GNU C compiler" >&5
+$as_echo_n "checking whether we are using the GNU C compiler... " >&6; }
+if test "${ac_cv_c_compiler_gnu+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+int
+main ()
+{
+#ifndef __GNUC__
+       choke me
+#endif
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_compiler_gnu=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_compiler_gnu=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+ac_cv_c_compiler_gnu=$ac_compiler_gnu
+
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_c_compiler_gnu" >&5
+$as_echo "$ac_cv_c_compiler_gnu" >&6; }
+if test $ac_compiler_gnu = yes; then
+  GCC=yes
+else
+  GCC=
+fi
+ac_test_CFLAGS=${CFLAGS+set}
+ac_save_CFLAGS=$CFLAGS
+{ $as_echo "$as_me:$LINENO: checking whether $CC accepts -g" >&5
+$as_echo_n "checking whether $CC accepts -g... " >&6; }
+if test "${ac_cv_prog_cc_g+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_save_c_werror_flag=$ac_c_werror_flag
+   ac_c_werror_flag=yes
+   ac_cv_prog_cc_g=no
+   CFLAGS="-g"
+   cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_cv_prog_cc_g=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       CFLAGS=""
+      cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  :
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_c_werror_flag=$ac_save_c_werror_flag
+        CFLAGS="-g"
+        cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_cv_prog_cc_g=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+   ac_c_werror_flag=$ac_save_c_werror_flag
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_prog_cc_g" >&5
+$as_echo "$ac_cv_prog_cc_g" >&6; }
+if test "$ac_test_CFLAGS" = set; then
+  CFLAGS=$ac_save_CFLAGS
+elif test $ac_cv_prog_cc_g = yes; then
+  if test "$GCC" = yes; then
+    CFLAGS="-g -O2"
+  else
+    CFLAGS="-g"
+  fi
+else
+  if test "$GCC" = yes; then
+    CFLAGS="-O2"
+  else
+    CFLAGS=
+  fi
+fi
+{ $as_echo "$as_me:$LINENO: checking for $CC option to accept ISO C89" >&5
+$as_echo_n "checking for $CC option to accept ISO C89... " >&6; }
+if test "${ac_cv_prog_cc_c89+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_cv_prog_cc_c89=no
+ac_save_CC=$CC
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <stdarg.h>
+#include <stdio.h>
+#include <sys/types.h>
+#include <sys/stat.h>
+/* Most of the following tests are stolen from RCS 5.7's src/conf.sh.  */
+struct buf { int x; };
+FILE * (*rcsopen) (struct buf *, struct stat *, int);
+static char *e (p, i)
+     char **p;
+     int i;
+{
+  return p[i];
+}
+static char *f (char * (*g) (char **, int), char **p, ...)
+{
+  char *s;
+  va_list v;
+  va_start (v,p);
+  s = g (p, va_arg (v,int));
+  va_end (v);
+  return s;
+}
+
+/* OSF 4.0 Compaq cc is some sort of almost-ANSI by default.  It has
+   function prototypes and stuff, but not '\xHH' hex character constants.
+   These don't provoke an error unfortunately, instead are silently treated
+   as 'x'.  The following induces an error, until -std is added to get
+   proper ANSI mode.  Curiously '\x00'!='x' always comes out true, for an
+   array size at least.  It's necessary to write '\x00'==0 to get something
+   that's true only with -std.  */
+int osf4_cc_array ['\x00' == 0 ? 1 : -1];
+
+/* IBM C 6 for AIX is almost-ANSI by default, but it replaces macro parameters
+   inside strings and character constants.  */
+#define FOO(x) 'x'
+int xlc6_cc_array[FOO(a) == 'x' ? 1 : -1];
+
+int test (int i, double x);
+struct s1 {int (*f) (int a);};
+struct s2 {int (*f) (double a);};
+int pairnames (int, char **, FILE *(*)(struct buf *, struct stat *, int), int, int);
+int argc;
+char **argv;
+int
+main ()
+{
+return f (e, argv, 0) != argv[0]  ||  f (e, argv, 1) != argv[1];
+  ;
+  return 0;
+}
+_ACEOF
+for ac_arg in '' -qlanglvl=extc89 -qlanglvl=ansi -std \
+       -Ae "-Aa -D_HPUX_SOURCE" "-Xc -D__EXTENSIONS__"
+do
+  CC="$ac_save_CC $ac_arg"
+  rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_cv_prog_cc_c89=$ac_arg
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+
+fi
+
+rm -f core conftest.err conftest.$ac_objext
+  test "x$ac_cv_prog_cc_c89" != "xno" && break
+done
+rm -f conftest.$ac_ext
+CC=$ac_save_CC
+
+fi
+# AC_CACHE_VAL
+case "x$ac_cv_prog_cc_c89" in
+  x)
+    { $as_echo "$as_me:$LINENO: result: none needed" >&5
+$as_echo "none needed" >&6; } ;;
+  xno)
+    { $as_echo "$as_me:$LINENO: result: unsupported" >&5
+$as_echo "unsupported" >&6; } ;;
+  *)
+    CC="$CC $ac_cv_prog_cc_c89"
+    { $as_echo "$as_me:$LINENO: result: $ac_cv_prog_cc_c89" >&5
+$as_echo "$ac_cv_prog_cc_c89" >&6; } ;;
+esac
+
+
+ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+depcc="$CC"   am_compiler_list=
+
+{ $as_echo "$as_me:$LINENO: checking dependency style of $depcc" >&5
+$as_echo_n "checking dependency style of $depcc... " >&6; }
+if test "${am_cv_CC_dependencies_compiler_type+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -z "$AMDEP_TRUE" && test -f "$am_depcomp"; then
+  # We make a subdir and do the tests there.  Otherwise we can end up
+  # making bogus files that we don't know about and never remove.  For
+  # instance it was reported that on HP-UX the gcc test will end up
+  # making a dummy file named `D' -- because `-MD' means `put the output
+  # in D'.
+  mkdir conftest.dir
+  # Copy depcomp to subdir because otherwise we won't find it if we're
+  # using a relative directory.
+  cp "$am_depcomp" conftest.dir
+  cd conftest.dir
+  # We will build objects and dependencies in a subdirectory because
+  # it helps to detect inapplicable dependency modes.  For instance
+  # both Tru64's cc and ICC support -MD to output dependencies as a
+  # side effect of compilation, but ICC will put the dependencies in
+  # the current directory while Tru64 will put them in the object
+  # directory.
+  mkdir sub
+
+  am_cv_CC_dependencies_compiler_type=none
+  if test "$am_compiler_list" = ""; then
+     am_compiler_list=`sed -n 's/^#*\([a-zA-Z0-9]*\))$/\1/p' < ./depcomp`
+  fi
+  for depmode in $am_compiler_list; do
+    # Setup a source with many dependencies, because some compilers
+    # like to wrap large dependency lists on column 80 (with \), and
+    # we should not choose a depcomp mode which is confused by this.
+    #
+    # We need to recreate these files for each test, as the compiler may
+    # overwrite some of them when testing with obscure command lines.
+    # This happens at least with the AIX C compiler.
+    : > sub/conftest.c
+    for i in 1 2 3 4 5 6; do
+      echo '#include "conftst'$i'.h"' >> sub/conftest.c
+      # Using `: > sub/conftst$i.h' creates only sub/conftst1.h with
+      # Solaris 8's {/usr,}/bin/sh.
+      touch sub/conftst$i.h
+    done
+    echo "${am__include} ${am__quote}sub/conftest.Po${am__quote}" > confmf
+
+    case $depmode in
+    nosideeffect)
+      # after this tag, mechanisms are not by side-effect, so they'll
+      # only be used when explicitly requested
+      if test "x$enable_dependency_tracking" = xyes; then
+       continue
+      else
+       break
+      fi
+      ;;
+    none) break ;;
+    esac
+    # We check with `-c' and `-o' for the sake of the "dashmstdout"
+    # mode.  It turns out that the SunPro C++ compiler does not properly
+    # handle `-M -o', and we need to detect this.
+    if depmode=$depmode \
+       source=sub/conftest.c object=sub/conftest.${OBJEXT-o} \
+       depfile=sub/conftest.Po tmpdepfile=sub/conftest.TPo \
+       $SHELL ./depcomp $depcc -c -o sub/conftest.${OBJEXT-o} sub/conftest.c \
+         >/dev/null 2>conftest.err &&
+       grep sub/conftst1.h sub/conftest.Po > /dev/null 2>&1 &&
+       grep sub/conftst6.h sub/conftest.Po > /dev/null 2>&1 &&
+       grep sub/conftest.${OBJEXT-o} sub/conftest.Po > /dev/null 2>&1 &&
+       ${MAKE-make} -s -f confmf > /dev/null 2>&1; then
+      # icc doesn't choke on unknown options, it will just issue warnings
+      # or remarks (even with -Werror).  So we grep stderr for any message
+      # that says an option was ignored or not supported.
+      # When given -MP, icc 7.0 and 7.1 complain thusly:
+      #   icc: Command line warning: ignoring option '-M'; no argument required
+      # The diagnosis changed in icc 8.0:
+      #   icc: Command line remark: option '-MP' not supported
+      if (grep 'ignoring option' conftest.err ||
+          grep 'not supported' conftest.err) >/dev/null 2>&1; then :; else
+        am_cv_CC_dependencies_compiler_type=$depmode
+        break
+      fi
+    fi
+  done
+
+  cd ..
+  rm -rf conftest.dir
+else
+  am_cv_CC_dependencies_compiler_type=none
+fi
+
+fi
+{ $as_echo "$as_me:$LINENO: result: $am_cv_CC_dependencies_compiler_type" >&5
+$as_echo "$am_cv_CC_dependencies_compiler_type" >&6; }
+CCDEPMODE=depmode=$am_cv_CC_dependencies_compiler_type
+
+ if
+  test "x$enable_dependency_tracking" != xno \
+  && test "$am_cv_CC_dependencies_compiler_type" = gcc3; then
+  am__fastdepCC_TRUE=
+  am__fastdepCC_FALSE='#'
+else
+  am__fastdepCC_TRUE='#'
+  am__fastdepCC_FALSE=
+fi
+
+
+ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+{ $as_echo "$as_me:$LINENO: checking how to run the C preprocessor" >&5
+$as_echo_n "checking how to run the C preprocessor... " >&6; }
+# On Suns, sometimes $CPP names a directory.
+if test -n "$CPP" && test -d "$CPP"; then
+  CPP=
+fi
+if test -z "$CPP"; then
+  if test "${ac_cv_prog_CPP+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+      # Double quotes because CPP needs to be expanded
+    for CPP in "$CC -E" "$CC -E -traditional-cpp" "/lib/cpp"
+    do
+      ac_preproc_ok=false
+for ac_c_preproc_warn_flag in '' yes
+do
+  # Use a header file that comes with gcc, so configuring glibc
+  # with a fresh cross-compiler works.
+  # Prefer <limits.h> to <assert.h> if __STDC__ is defined, since
+  # <limits.h> exists even on freestanding compilers.
+  # On the NeXT, cc -E runs the code through the compiler's parser,
+  # not just through cpp. "Syntax error" is here to catch this case.
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#ifdef __STDC__
+# include <limits.h>
+#else
+# include <assert.h>
+#endif
+                    Syntax error
+_ACEOF
+if { (ac_try="$ac_cpp conftest.$ac_ext"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } >/dev/null && {
+        test -z "$ac_c_preproc_warn_flag$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       }; then
+  :
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+  # Broken: fails on valid input.
+continue
+fi
+
+rm -f conftest.err conftest.$ac_ext
+
+  # OK, works on sane cases.  Now check whether nonexistent headers
+  # can be detected and how.
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <ac_nonexistent.h>
+_ACEOF
+if { (ac_try="$ac_cpp conftest.$ac_ext"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } >/dev/null && {
+        test -z "$ac_c_preproc_warn_flag$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       }; then
+  # Broken: success on invalid input.
+continue
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+  # Passes both tests.
+ac_preproc_ok=:
+break
+fi
+
+rm -f conftest.err conftest.$ac_ext
+
+done
+# Because of `break', _AC_PREPROC_IFELSE's cleaning code was skipped.
+rm -f conftest.err conftest.$ac_ext
+if $ac_preproc_ok; then
+  break
+fi
+
+    done
+    ac_cv_prog_CPP=$CPP
+
+fi
+  CPP=$ac_cv_prog_CPP
+else
+  ac_cv_prog_CPP=$CPP
+fi
+{ $as_echo "$as_me:$LINENO: result: $CPP" >&5
+$as_echo "$CPP" >&6; }
+ac_preproc_ok=false
+for ac_c_preproc_warn_flag in '' yes
+do
+  # Use a header file that comes with gcc, so configuring glibc
+  # with a fresh cross-compiler works.
+  # Prefer <limits.h> to <assert.h> if __STDC__ is defined, since
+  # <limits.h> exists even on freestanding compilers.
+  # On the NeXT, cc -E runs the code through the compiler's parser,
+  # not just through cpp. "Syntax error" is here to catch this case.
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#ifdef __STDC__
+# include <limits.h>
+#else
+# include <assert.h>
+#endif
+                    Syntax error
+_ACEOF
+if { (ac_try="$ac_cpp conftest.$ac_ext"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } >/dev/null && {
+        test -z "$ac_c_preproc_warn_flag$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       }; then
+  :
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+  # Broken: fails on valid input.
+continue
+fi
+
+rm -f conftest.err conftest.$ac_ext
+
+  # OK, works on sane cases.  Now check whether nonexistent headers
+  # can be detected and how.
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <ac_nonexistent.h>
+_ACEOF
+if { (ac_try="$ac_cpp conftest.$ac_ext"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } >/dev/null && {
+        test -z "$ac_c_preproc_warn_flag$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       }; then
+  # Broken: success on invalid input.
+continue
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+  # Passes both tests.
+ac_preproc_ok=:
+break
+fi
+
+rm -f conftest.err conftest.$ac_ext
+
+done
+# Because of `break', _AC_PREPROC_IFELSE's cleaning code was skipped.
+rm -f conftest.err conftest.$ac_ext
+if $ac_preproc_ok; then
+  :
+else
+  { { $as_echo "$as_me:$LINENO: error: in \`$ac_pwd':" >&5
+$as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
+{ { $as_echo "$as_me:$LINENO: error: C preprocessor \"$CPP\" fails sanity check
+See \`config.log' for more details." >&5
+$as_echo "$as_me: error: C preprocessor \"$CPP\" fails sanity check
+See \`config.log' for more details." >&2;}
+   { (exit 1); exit 1; }; }; }
+fi
+
+ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+
+
+{ $as_echo "$as_me:$LINENO: checking for grep that handles long lines and -e" >&5
+$as_echo_n "checking for grep that handles long lines and -e... " >&6; }
+if test "${ac_cv_path_GREP+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -z "$GREP"; then
+  ac_path_GREP_found=false
+  # Loop through the user's path and test for each of PROGNAME-LIST
+  as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH$PATH_SEPARATOR/usr/xpg4/bin
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_prog in grep ggrep; do
+    for ac_exec_ext in '' $ac_executable_extensions; do
+      ac_path_GREP="$as_dir/$ac_prog$ac_exec_ext"
+      { test -f "$ac_path_GREP" && $as_test_x "$ac_path_GREP"; } || continue
+# Check for GNU ac_path_GREP and select it if it is found.
+  # Check for GNU $ac_path_GREP
+case `"$ac_path_GREP" --version 2>&1` in
+*GNU*)
+  ac_cv_path_GREP="$ac_path_GREP" ac_path_GREP_found=:;;
+*)
+  ac_count=0
+  $as_echo_n 0123456789 >"conftest.in"
+  while :
+  do
+    cat "conftest.in" "conftest.in" >"conftest.tmp"
+    mv "conftest.tmp" "conftest.in"
+    cp "conftest.in" "conftest.nl"
+    $as_echo 'GREP' >> "conftest.nl"
+    "$ac_path_GREP" -e 'GREP$' -e '-(cannot match)-' < "conftest.nl" >"conftest.out" 2>/dev/null || break
+    diff "conftest.out" "conftest.nl" >/dev/null 2>&1 || break
+    ac_count=`expr $ac_count + 1`
+    if test $ac_count -gt ${ac_path_GREP_max-0}; then
+      # Best one so far, save it but keep looking for a better one
+      ac_cv_path_GREP="$ac_path_GREP"
+      ac_path_GREP_max=$ac_count
+    fi
+    # 10*(2^10) chars as input seems more than enough
+    test $ac_count -gt 10 && break
+  done
+  rm -f conftest.in conftest.tmp conftest.nl conftest.out;;
+esac
+
+      $ac_path_GREP_found && break 3
+    done
+  done
+done
+IFS=$as_save_IFS
+  if test -z "$ac_cv_path_GREP"; then
+    { { $as_echo "$as_me:$LINENO: error: no acceptable grep could be found in $PATH$PATH_SEPARATOR/usr/xpg4/bin" >&5
+$as_echo "$as_me: error: no acceptable grep could be found in $PATH$PATH_SEPARATOR/usr/xpg4/bin" >&2;}
+   { (exit 1); exit 1; }; }
+  fi
+else
+  ac_cv_path_GREP=$GREP
+fi
+
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_path_GREP" >&5
+$as_echo "$ac_cv_path_GREP" >&6; }
+ GREP="$ac_cv_path_GREP"
+
+
+{ $as_echo "$as_me:$LINENO: checking for egrep" >&5
+$as_echo_n "checking for egrep... " >&6; }
+if test "${ac_cv_path_EGREP+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if echo a | $GREP -E '(a|b)' >/dev/null 2>&1
+   then ac_cv_path_EGREP="$GREP -E"
+   else
+     if test -z "$EGREP"; then
+  ac_path_EGREP_found=false
+  # Loop through the user's path and test for each of PROGNAME-LIST
+  as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH$PATH_SEPARATOR/usr/xpg4/bin
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_prog in egrep; do
+    for ac_exec_ext in '' $ac_executable_extensions; do
+      ac_path_EGREP="$as_dir/$ac_prog$ac_exec_ext"
+      { test -f "$ac_path_EGREP" && $as_test_x "$ac_path_EGREP"; } || continue
+# Check for GNU ac_path_EGREP and select it if it is found.
+  # Check for GNU $ac_path_EGREP
+case `"$ac_path_EGREP" --version 2>&1` in
+*GNU*)
+  ac_cv_path_EGREP="$ac_path_EGREP" ac_path_EGREP_found=:;;
+*)
+  ac_count=0
+  $as_echo_n 0123456789 >"conftest.in"
+  while :
+  do
+    cat "conftest.in" "conftest.in" >"conftest.tmp"
+    mv "conftest.tmp" "conftest.in"
+    cp "conftest.in" "conftest.nl"
+    $as_echo 'EGREP' >> "conftest.nl"
+    "$ac_path_EGREP" 'EGREP$' < "conftest.nl" >"conftest.out" 2>/dev/null || break
+    diff "conftest.out" "conftest.nl" >/dev/null 2>&1 || break
+    ac_count=`expr $ac_count + 1`
+    if test $ac_count -gt ${ac_path_EGREP_max-0}; then
+      # Best one so far, save it but keep looking for a better one
+      ac_cv_path_EGREP="$ac_path_EGREP"
+      ac_path_EGREP_max=$ac_count
+    fi
+    # 10*(2^10) chars as input seems more than enough
+    test $ac_count -gt 10 && break
+  done
+  rm -f conftest.in conftest.tmp conftest.nl conftest.out;;
+esac
+
+      $ac_path_EGREP_found && break 3
+    done
+  done
+done
+IFS=$as_save_IFS
+  if test -z "$ac_cv_path_EGREP"; then
+    { { $as_echo "$as_me:$LINENO: error: no acceptable egrep could be found in $PATH$PATH_SEPARATOR/usr/xpg4/bin" >&5
+$as_echo "$as_me: error: no acceptable egrep could be found in $PATH$PATH_SEPARATOR/usr/xpg4/bin" >&2;}
+   { (exit 1); exit 1; }; }
+  fi
+else
+  ac_cv_path_EGREP=$EGREP
+fi
+
+   fi
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_path_EGREP" >&5
+$as_echo "$ac_cv_path_EGREP" >&6; }
+ EGREP="$ac_cv_path_EGREP"
+
+
+{ $as_echo "$as_me:$LINENO: checking for ANSI C header files" >&5
+$as_echo_n "checking for ANSI C header files... " >&6; }
+if test "${ac_cv_header_stdc+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <stdlib.h>
+#include <stdarg.h>
+#include <string.h>
+#include <float.h>
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_cv_header_stdc=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_header_stdc=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+
+if test $ac_cv_header_stdc = yes; then
+  # SunOS 4.x string.h does not declare mem*, contrary to ANSI.
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <string.h>
+
+_ACEOF
+if (eval "$ac_cpp conftest.$ac_ext") 2>&5 |
+  $EGREP "memchr" >/dev/null 2>&1; then
+  :
+else
+  ac_cv_header_stdc=no
+fi
+rm -f conftest*
+
+fi
+
+if test $ac_cv_header_stdc = yes; then
+  # ISC 2.0.2 stdlib.h does not declare free, contrary to ANSI.
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <stdlib.h>
+
+_ACEOF
+if (eval "$ac_cpp conftest.$ac_ext") 2>&5 |
+  $EGREP "free" >/dev/null 2>&1; then
+  :
+else
+  ac_cv_header_stdc=no
+fi
+rm -f conftest*
+
+fi
+
+if test $ac_cv_header_stdc = yes; then
+  # /bin/cc in Irix-4.0.5 gets non-ANSI ctype macros unless using -ansi.
+  if test "$cross_compiling" = yes; then
+  :
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <ctype.h>
+#include <stdlib.h>
+#if ((' ' & 0x0FF) == 0x020)
+# define ISLOWER(c) ('a' <= (c) && (c) <= 'z')
+# define TOUPPER(c) (ISLOWER(c) ? 'A' + ((c) - 'a') : (c))
+#else
+# define ISLOWER(c) \
+                  (('a' <= (c) && (c) <= 'i') \
+                    || ('j' <= (c) && (c) <= 'r') \
+                    || ('s' <= (c) && (c) <= 'z'))
+# define TOUPPER(c) (ISLOWER(c) ? ((c) | 0x40) : (c))
+#endif
+
+#define XOR(e, f) (((e) && !(f)) || (!(e) && (f)))
+int
+main ()
+{
+  int i;
+  for (i = 0; i < 256; i++)
+    if (XOR (islower (i), ISLOWER (i))
+       || toupper (i) != TOUPPER (i))
+      return 2;
+  return 0;
+}
+_ACEOF
+rm -f conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && { ac_try='./conftest$ac_exeext'
+  { (case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_try") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; }; then
+  :
+else
+  $as_echo "$as_me: program exited with status $ac_status" >&5
+$as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+( exit $ac_status )
+ac_cv_header_stdc=no
+fi
+rm -rf conftest.dSYM
+rm -f core *.core core.conftest.* gmon.out bb.out conftest$ac_exeext conftest.$ac_objext conftest.$ac_ext
+fi
+
+
+fi
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_header_stdc" >&5
+$as_echo "$ac_cv_header_stdc" >&6; }
+if test $ac_cv_header_stdc = yes; then
+
+cat >>confdefs.h <<\_ACEOF
+#define STDC_HEADERS 1
+_ACEOF
+
+fi
+
+# On IRIX 5.3, sys/types and inttypes.h are conflicting.
+
+
+
+
+
+
+
+
+
+for ac_header in sys/types.h sys/stat.h stdlib.h string.h memory.h strings.h \
+                 inttypes.h stdint.h unistd.h
+do
+as_ac_Header=`$as_echo "ac_cv_header_$ac_header" | $as_tr_sh`
+{ $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
+$as_echo_n "checking for $ac_header... " >&6; }
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+$ac_includes_default
+
+#include <$ac_header>
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  eval "$as_ac_Header=yes"
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       eval "$as_ac_Header=no"
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+fi
+ac_res=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+as_val=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+   if test "x$as_val" = x""yes; then
+  cat >>confdefs.h <<_ACEOF
+#define `$as_echo "HAVE_$ac_header" | $as_tr_cpp` 1
+_ACEOF
+
+fi
+
+done
+
+
+
+  if test "${ac_cv_header_minix_config_h+set}" = set; then
+  { $as_echo "$as_me:$LINENO: checking for minix/config.h" >&5
+$as_echo_n "checking for minix/config.h... " >&6; }
+if test "${ac_cv_header_minix_config_h+set}" = set; then
+  $as_echo_n "(cached) " >&6
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_header_minix_config_h" >&5
+$as_echo "$ac_cv_header_minix_config_h" >&6; }
+else
+  # Is the header compilable?
+{ $as_echo "$as_me:$LINENO: checking minix/config.h usability" >&5
+$as_echo_n "checking minix/config.h usability... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+$ac_includes_default
+#include <minix/config.h>
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_header_compiler=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_header_compiler=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_compiler" >&5
+$as_echo "$ac_header_compiler" >&6; }
+
+# Is the header present?
+{ $as_echo "$as_me:$LINENO: checking minix/config.h presence" >&5
+$as_echo_n "checking minix/config.h presence... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <minix/config.h>
+_ACEOF
+if { (ac_try="$ac_cpp conftest.$ac_ext"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } >/dev/null && {
+        test -z "$ac_c_preproc_warn_flag$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       }; then
+  ac_header_preproc=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+  ac_header_preproc=no
+fi
+
+rm -f conftest.err conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_preproc" >&5
+$as_echo "$ac_header_preproc" >&6; }
+
+# So?  What about this header?
+case $ac_header_compiler:$ac_header_preproc:$ac_c_preproc_warn_flag in
+  yes:no: )
+    { $as_echo "$as_me:$LINENO: WARNING: minix/config.h: accepted by the compiler, rejected by the preprocessor!" >&5
+$as_echo "$as_me: WARNING: minix/config.h: accepted by the compiler, rejected by the preprocessor!" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: minix/config.h: proceeding with the compiler's result" >&5
+$as_echo "$as_me: WARNING: minix/config.h: proceeding with the compiler's result" >&2;}
+    ac_header_preproc=yes
+    ;;
+  no:yes:* )
+    { $as_echo "$as_me:$LINENO: WARNING: minix/config.h: present but cannot be compiled" >&5
+$as_echo "$as_me: WARNING: minix/config.h: present but cannot be compiled" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: minix/config.h:     check for missing prerequisite headers?" >&5
+$as_echo "$as_me: WARNING: minix/config.h:     check for missing prerequisite headers?" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: minix/config.h: see the Autoconf documentation" >&5
+$as_echo "$as_me: WARNING: minix/config.h: see the Autoconf documentation" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: minix/config.h:     section \"Present But Cannot Be Compiled\"" >&5
+$as_echo "$as_me: WARNING: minix/config.h:     section \"Present But Cannot Be Compiled\"" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: minix/config.h: proceeding with the preprocessor's result" >&5
+$as_echo "$as_me: WARNING: minix/config.h: proceeding with the preprocessor's result" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: minix/config.h: in the future, the compiler will take precedence" >&5
+$as_echo "$as_me: WARNING: minix/config.h: in the future, the compiler will take precedence" >&2;}
+
+    ;;
+esac
+{ $as_echo "$as_me:$LINENO: checking for minix/config.h" >&5
+$as_echo_n "checking for minix/config.h... " >&6; }
+if test "${ac_cv_header_minix_config_h+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_cv_header_minix_config_h=$ac_header_preproc
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_header_minix_config_h" >&5
+$as_echo "$ac_cv_header_minix_config_h" >&6; }
+
+fi
+if test "x$ac_cv_header_minix_config_h" = x""yes; then
+  MINIX=yes
+else
+  MINIX=
+fi
+
+
+  if test "$MINIX" = yes; then
+
+cat >>confdefs.h <<\_ACEOF
+#define _POSIX_SOURCE 1
+_ACEOF
+
+
+cat >>confdefs.h <<\_ACEOF
+#define _POSIX_1_SOURCE 2
+_ACEOF
+
+
+cat >>confdefs.h <<\_ACEOF
+#define _MINIX 1
+_ACEOF
+
+  fi
+
+
+
+  { $as_echo "$as_me:$LINENO: checking whether it is safe to define __EXTENSIONS__" >&5
+$as_echo_n "checking whether it is safe to define __EXTENSIONS__... " >&6; }
+if test "${ac_cv_safe_to_define___extensions__+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+#        define __EXTENSIONS__ 1
+         $ac_includes_default
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_cv_safe_to_define___extensions__=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_safe_to_define___extensions__=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_safe_to_define___extensions__" >&5
+$as_echo "$ac_cv_safe_to_define___extensions__" >&6; }
+  test $ac_cv_safe_to_define___extensions__ = yes &&
+    cat >>confdefs.h <<\_ACEOF
+#define __EXTENSIONS__ 1
+_ACEOF
+
+  cat >>confdefs.h <<\_ACEOF
+#define _ALL_SOURCE 1
+_ACEOF
+
+  cat >>confdefs.h <<\_ACEOF
+#define _GNU_SOURCE 1
+_ACEOF
+
+  cat >>confdefs.h <<\_ACEOF
+#define _POSIX_PTHREAD_SEMANTICS 1
+_ACEOF
+
+  cat >>confdefs.h <<\_ACEOF
+#define _TANDEM_SOURCE 1
+_ACEOF
+
+
+{ $as_echo "$as_me:$LINENO: checking for library containing strerror" >&5
+$as_echo_n "checking for library containing strerror... " >&6; }
+if test "${ac_cv_search_strerror+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_func_search_save_LIBS=$LIBS
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char strerror ();
+int
+main ()
+{
+return strerror ();
+  ;
+  return 0;
+}
+_ACEOF
+for ac_lib in '' cposix; do
+  if test -z "$ac_lib"; then
+    ac_res="none required"
+  else
+    ac_res=-l$ac_lib
+    LIBS="-l$ac_lib  $ac_func_search_save_LIBS"
+  fi
+  rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  ac_cv_search_strerror=$ac_res
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext
+  if test "${ac_cv_search_strerror+set}" = set; then
+  break
+fi
+done
+if test "${ac_cv_search_strerror+set}" = set; then
+  :
+else
+  ac_cv_search_strerror=no
+fi
+rm conftest.$ac_ext
+LIBS=$ac_func_search_save_LIBS
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_search_strerror" >&5
+$as_echo "$ac_cv_search_strerror" >&6; }
+ac_res=$ac_cv_search_strerror
+if test "$ac_res" != no; then
+  test "$ac_res" = "none required" || LIBS="$ac_res $LIBS"
+
+fi
+
+
+
+ac_ext=cpp
+ac_cpp='$CXXCPP $CPPFLAGS'
+ac_compile='$CXX -c $CXXFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CXX -o conftest$ac_exeext $CXXFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_cxx_compiler_gnu
+if test -z "$CXX"; then
+  if test -n "$CCC"; then
+    CXX=$CCC
+  else
+    if test -n "$ac_tool_prefix"; then
+  for ac_prog in g++ c++ gpp aCC CC cxx cc++ cl.exe FCC KCC RCC xlC_r xlC
+  do
+    # Extract the first word of "$ac_tool_prefix$ac_prog", so it can be a program name with args.
+set dummy $ac_tool_prefix$ac_prog; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_CXX+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$CXX"; then
+  ac_cv_prog_CXX="$CXX" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_CXX="$ac_tool_prefix$ac_prog"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+CXX=$ac_cv_prog_CXX
+if test -n "$CXX"; then
+  { $as_echo "$as_me:$LINENO: result: $CXX" >&5
+$as_echo "$CXX" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+    test -n "$CXX" && break
+  done
+fi
+if test -z "$CXX"; then
+  ac_ct_CXX=$CXX
+  for ac_prog in g++ c++ gpp aCC CC cxx cc++ cl.exe FCC KCC RCC xlC_r xlC
+do
+  # Extract the first word of "$ac_prog", so it can be a program name with args.
+set dummy $ac_prog; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_ac_ct_CXX+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_CXX"; then
+  ac_cv_prog_ac_ct_CXX="$ac_ct_CXX" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_ac_ct_CXX="$ac_prog"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_CXX=$ac_cv_prog_ac_ct_CXX
+if test -n "$ac_ct_CXX"; then
+  { $as_echo "$as_me:$LINENO: result: $ac_ct_CXX" >&5
+$as_echo "$ac_ct_CXX" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+  test -n "$ac_ct_CXX" && break
+done
+
+  if test "x$ac_ct_CXX" = x; then
+    CXX="g++"
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:$LINENO: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    CXX=$ac_ct_CXX
+  fi
+fi
+
+  fi
+fi
+# Provide some information about the compiler.
+$as_echo "$as_me:$LINENO: checking for C++ compiler version" >&5
+set X $ac_compile
+ac_compiler=$2
+{ (ac_try="$ac_compiler --version >&5"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compiler --version >&5") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }
+{ (ac_try="$ac_compiler -v >&5"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compiler -v >&5") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }
+{ (ac_try="$ac_compiler -V >&5"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compiler -V >&5") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }
+
+{ $as_echo "$as_me:$LINENO: checking whether we are using the GNU C++ compiler" >&5
+$as_echo_n "checking whether we are using the GNU C++ compiler... " >&6; }
+if test "${ac_cv_cxx_compiler_gnu+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+int
+main ()
+{
+#ifndef __GNUC__
+       choke me
+#endif
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_cxx_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_compiler_gnu=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_compiler_gnu=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+ac_cv_cxx_compiler_gnu=$ac_compiler_gnu
+
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_cxx_compiler_gnu" >&5
+$as_echo "$ac_cv_cxx_compiler_gnu" >&6; }
+if test $ac_compiler_gnu = yes; then
+  GXX=yes
+else
+  GXX=
+fi
+ac_test_CXXFLAGS=${CXXFLAGS+set}
+ac_save_CXXFLAGS=$CXXFLAGS
+{ $as_echo "$as_me:$LINENO: checking whether $CXX accepts -g" >&5
+$as_echo_n "checking whether $CXX accepts -g... " >&6; }
+if test "${ac_cv_prog_cxx_g+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_save_cxx_werror_flag=$ac_cxx_werror_flag
+   ac_cxx_werror_flag=yes
+   ac_cv_prog_cxx_g=no
+   CXXFLAGS="-g"
+   cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_cxx_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_cv_prog_cxx_g=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       CXXFLAGS=""
+      cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_cxx_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  :
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cxx_werror_flag=$ac_save_cxx_werror_flag
+        CXXFLAGS="-g"
+        cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_cxx_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_cv_prog_cxx_g=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+   ac_cxx_werror_flag=$ac_save_cxx_werror_flag
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_prog_cxx_g" >&5
+$as_echo "$ac_cv_prog_cxx_g" >&6; }
+if test "$ac_test_CXXFLAGS" = set; then
+  CXXFLAGS=$ac_save_CXXFLAGS
+elif test $ac_cv_prog_cxx_g = yes; then
+  if test "$GXX" = yes; then
+    CXXFLAGS="-g -O2"
+  else
+    CXXFLAGS="-g"
+  fi
+else
+  if test "$GXX" = yes; then
+    CXXFLAGS="-O2"
+  else
+    CXXFLAGS=
+  fi
+fi
+ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+depcc="$CXX"  am_compiler_list=
+
+{ $as_echo "$as_me:$LINENO: checking dependency style of $depcc" >&5
+$as_echo_n "checking dependency style of $depcc... " >&6; }
+if test "${am_cv_CXX_dependencies_compiler_type+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -z "$AMDEP_TRUE" && test -f "$am_depcomp"; then
+  # We make a subdir and do the tests there.  Otherwise we can end up
+  # making bogus files that we don't know about and never remove.  For
+  # instance it was reported that on HP-UX the gcc test will end up
+  # making a dummy file named `D' -- because `-MD' means `put the output
+  # in D'.
+  mkdir conftest.dir
+  # Copy depcomp to subdir because otherwise we won't find it if we're
+  # using a relative directory.
+  cp "$am_depcomp" conftest.dir
+  cd conftest.dir
+  # We will build objects and dependencies in a subdirectory because
+  # it helps to detect inapplicable dependency modes.  For instance
+  # both Tru64's cc and ICC support -MD to output dependencies as a
+  # side effect of compilation, but ICC will put the dependencies in
+  # the current directory while Tru64 will put them in the object
+  # directory.
+  mkdir sub
+
+  am_cv_CXX_dependencies_compiler_type=none
+  if test "$am_compiler_list" = ""; then
+     am_compiler_list=`sed -n 's/^#*\([a-zA-Z0-9]*\))$/\1/p' < ./depcomp`
+  fi
+  for depmode in $am_compiler_list; do
+    # Setup a source with many dependencies, because some compilers
+    # like to wrap large dependency lists on column 80 (with \), and
+    # we should not choose a depcomp mode which is confused by this.
+    #
+    # We need to recreate these files for each test, as the compiler may
+    # overwrite some of them when testing with obscure command lines.
+    # This happens at least with the AIX C compiler.
+    : > sub/conftest.c
+    for i in 1 2 3 4 5 6; do
+      echo '#include "conftst'$i'.h"' >> sub/conftest.c
+      # Using `: > sub/conftst$i.h' creates only sub/conftst1.h with
+      # Solaris 8's {/usr,}/bin/sh.
+      touch sub/conftst$i.h
+    done
+    echo "${am__include} ${am__quote}sub/conftest.Po${am__quote}" > confmf
+
+    case $depmode in
+    nosideeffect)
+      # after this tag, mechanisms are not by side-effect, so they'll
+      # only be used when explicitly requested
+      if test "x$enable_dependency_tracking" = xyes; then
+       continue
+      else
+       break
+      fi
+      ;;
+    none) break ;;
+    esac
+    # We check with `-c' and `-o' for the sake of the "dashmstdout"
+    # mode.  It turns out that the SunPro C++ compiler does not properly
+    # handle `-M -o', and we need to detect this.
+    if depmode=$depmode \
+       source=sub/conftest.c object=sub/conftest.${OBJEXT-o} \
+       depfile=sub/conftest.Po tmpdepfile=sub/conftest.TPo \
+       $SHELL ./depcomp $depcc -c -o sub/conftest.${OBJEXT-o} sub/conftest.c \
+         >/dev/null 2>conftest.err &&
+       grep sub/conftst1.h sub/conftest.Po > /dev/null 2>&1 &&
+       grep sub/conftst6.h sub/conftest.Po > /dev/null 2>&1 &&
+       grep sub/conftest.${OBJEXT-o} sub/conftest.Po > /dev/null 2>&1 &&
+       ${MAKE-make} -s -f confmf > /dev/null 2>&1; then
+      # icc doesn't choke on unknown options, it will just issue warnings
+      # or remarks (even with -Werror).  So we grep stderr for any message
+      # that says an option was ignored or not supported.
+      # When given -MP, icc 7.0 and 7.1 complain thusly:
+      #   icc: Command line warning: ignoring option '-M'; no argument required
+      # The diagnosis changed in icc 8.0:
+      #   icc: Command line remark: option '-MP' not supported
+      if (grep 'ignoring option' conftest.err ||
+          grep 'not supported' conftest.err) >/dev/null 2>&1; then :; else
+        am_cv_CXX_dependencies_compiler_type=$depmode
+        break
+      fi
+    fi
+  done
+
+  cd ..
+  rm -rf conftest.dir
+else
+  am_cv_CXX_dependencies_compiler_type=none
+fi
+
+fi
+{ $as_echo "$as_me:$LINENO: result: $am_cv_CXX_dependencies_compiler_type" >&5
+$as_echo "$am_cv_CXX_dependencies_compiler_type" >&6; }
+CXXDEPMODE=depmode=$am_cv_CXX_dependencies_compiler_type
+
+ if
+  test "x$enable_dependency_tracking" != xno \
+  && test "$am_cv_CXX_dependencies_compiler_type" = gcc3; then
+  am__fastdepCXX_TRUE=
+  am__fastdepCXX_FALSE='#'
+else
+  am__fastdepCXX_TRUE='#'
+  am__fastdepCXX_FALSE=
+fi
+
+
+ac_ext=cpp
+ac_cpp='$CXXCPP $CPPFLAGS'
+ac_compile='$CXX -c $CXXFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CXX -o conftest$ac_exeext $CXXFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_cxx_compiler_gnu
+{ $as_echo "$as_me:$LINENO: checking how to run the C++ preprocessor" >&5
+$as_echo_n "checking how to run the C++ preprocessor... " >&6; }
+if test -z "$CXXCPP"; then
+  if test "${ac_cv_prog_CXXCPP+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+      # Double quotes because CXXCPP needs to be expanded
+    for CXXCPP in "$CXX -E" "/lib/cpp"
+    do
+      ac_preproc_ok=false
+for ac_cxx_preproc_warn_flag in '' yes
+do
+  # Use a header file that comes with gcc, so configuring glibc
+  # with a fresh cross-compiler works.
+  # Prefer <limits.h> to <assert.h> if __STDC__ is defined, since
+  # <limits.h> exists even on freestanding compilers.
+  # On the NeXT, cc -E runs the code through the compiler's parser,
+  # not just through cpp. "Syntax error" is here to catch this case.
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#ifdef __STDC__
+# include <limits.h>
+#else
+# include <assert.h>
+#endif
+                    Syntax error
+_ACEOF
+if { (ac_try="$ac_cpp conftest.$ac_ext"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } >/dev/null && {
+        test -z "$ac_cxx_preproc_warn_flag$ac_cxx_werror_flag" ||
+        test ! -s conftest.err
+       }; then
+  :
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+  # Broken: fails on valid input.
+continue
+fi
+
+rm -f conftest.err conftest.$ac_ext
+
+  # OK, works on sane cases.  Now check whether nonexistent headers
+  # can be detected and how.
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <ac_nonexistent.h>
+_ACEOF
+if { (ac_try="$ac_cpp conftest.$ac_ext"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } >/dev/null && {
+        test -z "$ac_cxx_preproc_warn_flag$ac_cxx_werror_flag" ||
+        test ! -s conftest.err
+       }; then
+  # Broken: success on invalid input.
+continue
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+  # Passes both tests.
+ac_preproc_ok=:
+break
+fi
+
+rm -f conftest.err conftest.$ac_ext
+
+done
+# Because of `break', _AC_PREPROC_IFELSE's cleaning code was skipped.
+rm -f conftest.err conftest.$ac_ext
+if $ac_preproc_ok; then
+  break
+fi
+
+    done
+    ac_cv_prog_CXXCPP=$CXXCPP
+
+fi
+  CXXCPP=$ac_cv_prog_CXXCPP
+else
+  ac_cv_prog_CXXCPP=$CXXCPP
+fi
+{ $as_echo "$as_me:$LINENO: result: $CXXCPP" >&5
+$as_echo "$CXXCPP" >&6; }
+ac_preproc_ok=false
+for ac_cxx_preproc_warn_flag in '' yes
+do
+  # Use a header file that comes with gcc, so configuring glibc
+  # with a fresh cross-compiler works.
+  # Prefer <limits.h> to <assert.h> if __STDC__ is defined, since
+  # <limits.h> exists even on freestanding compilers.
+  # On the NeXT, cc -E runs the code through the compiler's parser,
+  # not just through cpp. "Syntax error" is here to catch this case.
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#ifdef __STDC__
+# include <limits.h>
+#else
+# include <assert.h>
+#endif
+                    Syntax error
+_ACEOF
+if { (ac_try="$ac_cpp conftest.$ac_ext"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } >/dev/null && {
+        test -z "$ac_cxx_preproc_warn_flag$ac_cxx_werror_flag" ||
+        test ! -s conftest.err
+       }; then
+  :
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+  # Broken: fails on valid input.
+continue
+fi
+
+rm -f conftest.err conftest.$ac_ext
+
+  # OK, works on sane cases.  Now check whether nonexistent headers
+  # can be detected and how.
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <ac_nonexistent.h>
+_ACEOF
+if { (ac_try="$ac_cpp conftest.$ac_ext"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } >/dev/null && {
+        test -z "$ac_cxx_preproc_warn_flag$ac_cxx_werror_flag" ||
+        test ! -s conftest.err
+       }; then
+  # Broken: success on invalid input.
+continue
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+  # Passes both tests.
+ac_preproc_ok=:
+break
+fi
+
+rm -f conftest.err conftest.$ac_ext
+
+done
+# Because of `break', _AC_PREPROC_IFELSE's cleaning code was skipped.
+rm -f conftest.err conftest.$ac_ext
+if $ac_preproc_ok; then
+  :
+else
+  { { $as_echo "$as_me:$LINENO: error: in \`$ac_pwd':" >&5
+$as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
+{ { $as_echo "$as_me:$LINENO: error: C++ preprocessor \"$CXXCPP\" fails sanity check
+See \`config.log' for more details." >&5
+$as_echo "$as_me: error: C++ preprocessor \"$CXXCPP\" fails sanity check
+See \`config.log' for more details." >&2;}
+   { (exit 1); exit 1; }; }; }
+fi
+
+ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+
+
+
+
+
+
+  ac_ext=cpp
+ac_cpp='$CXXCPP $CPPFLAGS'
+ac_compile='$CXX -c $CXXFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CXX -o conftest$ac_exeext $CXXFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_cxx_compiler_gnu
+
+
+
+    { $as_echo "$as_me:$LINENO: checking whether C++ has std::isnan" >&5
+$as_echo_n "checking whether C++ has std::isnan... " >&6; }
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <cmath>
+int
+main ()
+{
+
+   std::isnan(0);
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_cxx_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+   { $as_echo "$as_me:$LINENO: result: yes" >&5
+$as_echo "yes" >&6; }
+
+cat >>confdefs.h <<\_ACEOF
+#define CXX_HAS_STD_ISNAN /**/
+_ACEOF
+
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+        { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+
+      ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+
+
+
+
+
+
+  { $as_echo "$as_me:$LINENO: checking gr_libdir_suffix" >&5
+$as_echo_n "checking gr_libdir_suffix... " >&6; }
+  gr_libdir_suffix=""
+
+
+  case "$host_os" in
+    linux*) is_linux=yes ;;
+    *)      is_linux=no  ;;
+  esac
+
+  if test "$is_linux" = no || test "$host_cpu" != "x86_64" && test "$host_cpu" != "powerpc64"; then
+    gr_libdir_suffix=""
+  elif test "$cross_compiling" = yes; then
+
+  if test "$ac_cv_cxx_compiler_gnu" = "yes";
+  then
+    gr_libdir_suffix=`$CXX -Wl,--verbose 2>/dev/null | sed -n -e '/SEARCH_DIR/{s/;.*$//; s,^.*/,,; s/".*$//; s/^lib//; p}'`
+  fi
+
+  elif test "$host_cpu" = "x86_64"; then
+    if test -d /lib64 && test ! -L /lib64; then
+      gr_libdir_suffix=64
+    fi
+  else
+
+  if test "$ac_cv_cxx_compiler_gnu" = "yes";
+  then
+    gr_libdir_suffix=`$CXX -Wl,--verbose 2>/dev/null | sed -n -e '/SEARCH_DIR/{s/;.*$//; s,^.*/,,; s/".*$//; s/^lib//; p}'`
+  fi
+
+  fi
+  { $as_echo "$as_me:$LINENO: result: $gr_libdir_suffix" >&5
+$as_echo "$gr_libdir_suffix" >&6; }
+
+
+  { $as_echo "$as_me:$LINENO: checking whether to append 64 to libdir" >&5
+$as_echo_n "checking whether to append 64 to libdir... " >&6; }
+  t=${libdir##*/lib}
+  if test "$t" != 64 && test "$gr_libdir_suffix" = "64"; then
+    libdir=${libdir}64
+    { $as_echo "$as_me:$LINENO: result: yes. Setting libdir to $libdir" >&5
+$as_echo "yes. Setting libdir to $libdir" >&6; }
+  else
+    { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+  fi
+
+
+if test "$user_set_cflags" != yes; then
+  autoconf_default_CFLAGS="$CFLAGS"
+  CFLAGS=""
+fi
+
+
+
+if test "$user_set_cxxflags" != yes; then
+  autoconf_default_CXXFLAGS="$CXXFLAGS"
+  CXXFLAGS=""
+  if test "$GXX" = yes; then
+    case "$host_cpu" in
+       powerpc*)
+                          swig_CXXFLAGS="-g1 -O2 -Wno-strict-aliasing -Wno-parentheses"
+       ;;
+       *)
+       swig_CXXFLAGS="-g -O1 -Wno-strict-aliasing -Wno-parentheses"
+       ;;
+    esac
+  fi
+fi
+
+
+
+if test x${PKG_CONFIG_PATH} = x; then
+    PKG_CONFIG_PATH=${prefix}/lib${gr_libdir_suffix}/pkgconfig
+else
+    PKG_CONFIG_PATH=${prefix}/lib${gr_libdir_suffix}/pkgconfig:${PKG_CONFIG_PATH}
+fi
+export PKG_CONFIG_PATH
+
+
+      cc_warning_flags="-Wall -Werror-implicit-function-declaration"
+  cxx_warning_flags="-Wall -Woverloaded-virtual"
+  if test -n "${CC}"
+  then
+
+  echo 'void f(){}' > conftest.c
+  for i in $cc_warning_flags
+  do
+    { $as_echo "$as_me:$LINENO: checking whether $CC accepts $i" >&5
+$as_echo_n "checking whether $CC accepts $i... " >&6; }
+    if test -z "`${CC} $i -c conftest.c 2>&1`"
+    then
+      lf_CFLAGS="${lf_CFLAGS} $i"
+      { $as_echo "$as_me:$LINENO: result: yes" >&5
+$as_echo "yes" >&6; }
+    else
+      { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+    fi
+  done
+  rm -f conftest.c conftest.o
+
+
+  fi
+  if test -n "${CXX}"
+  then
+
+  echo 'void f(){}' > conftest.cc
+  for i in $cxx_warning_flags
+  do
+    { $as_echo "$as_me:$LINENO: checking whether $CXX accepts $i" >&5
+$as_echo_n "checking whether $CXX accepts $i... " >&6; }
+    if test -z "`${CXX} $i -c conftest.cc 2>&1`"
+    then
+      lf_CXXFLAGS="${lf_CXXFLAGS} $i"
+      { $as_echo "$as_me:$LINENO: result: yes" >&5
+$as_echo "yes" >&6; }
+    else
+      { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+    fi
+  done
+  rm -f conftest.cc conftest.o
+
+
+  fi
+
+
+    { $as_echo "$as_me:$LINENO: checking whether user wants gprof" >&5
+$as_echo_n "checking whether user wants gprof... " >&6; }
+
+# Check whether --with-gprof was given.
+if test "${with_gprof+set}" = set; then
+  withval=$with_gprof;
+else
+   with_gprof=no
+fi
+
+  { $as_echo "$as_me:$LINENO: result: $with_gprof" >&5
+$as_echo "$with_gprof" >&6; }
+
+    cc_profiling_flags="-pg"
+  cxx_profiling_flags="-pg"
+  ld_profiling_flags="-pg"
+  if test $with_gprof = yes
+  then
+    if test -n "${CC}"
+    then
+
+  echo 'void f(){}' > conftest.c
+  for i in $cc_profiling_flags
+  do
+    { $as_echo "$as_me:$LINENO: checking whether $CC accepts $i" >&5
+$as_echo_n "checking whether $CC accepts $i... " >&6; }
+    if test -z "`${CC} $i -c conftest.c 2>&1`"
+    then
+      lf_CFLAGS="${lf_CFLAGS} $i"
+      { $as_echo "$as_me:$LINENO: result: yes" >&5
+$as_echo "yes" >&6; }
+    else
+      { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+    fi
+  done
+  rm -f conftest.c conftest.o
+
+
+    fi
+    if test -n "${CXX}"
+    then
+
+  echo 'void f(){}' > conftest.cc
+  for i in $cxx_profiling_flags
+  do
+    { $as_echo "$as_me:$LINENO: checking whether $CXX accepts $i" >&5
+$as_echo_n "checking whether $CXX accepts $i... " >&6; }
+    if test -z "`${CXX} $i -c conftest.cc 2>&1`"
+    then
+      lf_CXXFLAGS="${lf_CXXFLAGS} $i"
+      { $as_echo "$as_me:$LINENO: result: yes" >&5
+$as_echo "yes" >&6; }
+    else
+      { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+    fi
+  done
+  rm -f conftest.cc conftest.o
+
+
+    fi
+  fi
+
+
+    { $as_echo "$as_me:$LINENO: checking whether user wants prof" >&5
+$as_echo_n "checking whether user wants prof... " >&6; }
+
+# Check whether --with-prof was given.
+if test "${with_prof+set}" = set; then
+  withval=$with_prof;
+else
+   with_prof=no
+fi
+
+  { $as_echo "$as_me:$LINENO: result: $with_prof" >&5
+$as_echo "$with_prof" >&6; }
+
+    cc_profiling_flags="-p"
+  cxx_profiling_flags="-p"
+  ld_profiling_flags="-p"
+  if test $with_prof = yes
+  then
+    if test -n "${CC}"
+    then
+
+  echo 'void f(){}' > conftest.c
+  for i in $cc_profiling_flags
+  do
+    { $as_echo "$as_me:$LINENO: checking whether $CC accepts $i" >&5
+$as_echo_n "checking whether $CC accepts $i... " >&6; }
+    if test -z "`${CC} $i -c conftest.c 2>&1`"
+    then
+      lf_CFLAGS="${lf_CFLAGS} $i"
+      { $as_echo "$as_me:$LINENO: result: yes" >&5
+$as_echo "yes" >&6; }
+    else
+      { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+    fi
+  done
+  rm -f conftest.c conftest.o
+
+
+    fi
+    if test -n "${CXX}"
+    then
+
+  echo 'void f(){}' > conftest.cc
+  for i in $cxx_profiling_flags
+  do
+    { $as_echo "$as_me:$LINENO: checking whether $CXX accepts $i" >&5
+$as_echo_n "checking whether $CXX accepts $i... " >&6; }
+    if test -z "`${CXX} $i -c conftest.cc 2>&1`"
+    then
+      lf_CXXFLAGS="${lf_CXXFLAGS} $i"
+      { $as_echo "$as_me:$LINENO: result: yes" >&5
+$as_echo "yes" >&6; }
+    else
+      { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+    fi
+  done
+  rm -f conftest.cc conftest.o
+
+
+    fi
+  fi
+
+# By default we simply use the C compiler to build assembly code.
+
+test "${CCAS+set}" = set || CCAS=$CC
+test "${CCASFLAGS+set}" = set || CCASFLAGS=$CFLAGS
+
+
+
+depcc="$CCAS"   am_compiler_list=
+
+{ $as_echo "$as_me:$LINENO: checking dependency style of $depcc" >&5
+$as_echo_n "checking dependency style of $depcc... " >&6; }
+if test "${am_cv_CCAS_dependencies_compiler_type+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -z "$AMDEP_TRUE" && test -f "$am_depcomp"; then
+  # We make a subdir and do the tests there.  Otherwise we can end up
+  # making bogus files that we don't know about and never remove.  For
+  # instance it was reported that on HP-UX the gcc test will end up
+  # making a dummy file named `D' -- because `-MD' means `put the output
+  # in D'.
+  mkdir conftest.dir
+  # Copy depcomp to subdir because otherwise we won't find it if we're
+  # using a relative directory.
+  cp "$am_depcomp" conftest.dir
+  cd conftest.dir
+  # We will build objects and dependencies in a subdirectory because
+  # it helps to detect inapplicable dependency modes.  For instance
+  # both Tru64's cc and ICC support -MD to output dependencies as a
+  # side effect of compilation, but ICC will put the dependencies in
+  # the current directory while Tru64 will put them in the object
+  # directory.
+  mkdir sub
+
+  am_cv_CCAS_dependencies_compiler_type=none
+  if test "$am_compiler_list" = ""; then
+     am_compiler_list=`sed -n 's/^#*\([a-zA-Z0-9]*\))$/\1/p' < ./depcomp`
+  fi
+  for depmode in $am_compiler_list; do
+    # Setup a source with many dependencies, because some compilers
+    # like to wrap large dependency lists on column 80 (with \), and
+    # we should not choose a depcomp mode which is confused by this.
+    #
+    # We need to recreate these files for each test, as the compiler may
+    # overwrite some of them when testing with obscure command lines.
+    # This happens at least with the AIX C compiler.
+    : > sub/conftest.c
+    for i in 1 2 3 4 5 6; do
+      echo '#include "conftst'$i'.h"' >> sub/conftest.c
+      # Using `: > sub/conftst$i.h' creates only sub/conftst1.h with
+      # Solaris 8's {/usr,}/bin/sh.
+      touch sub/conftst$i.h
+    done
+    echo "${am__include} ${am__quote}sub/conftest.Po${am__quote}" > confmf
+
+    case $depmode in
+    nosideeffect)
+      # after this tag, mechanisms are not by side-effect, so they'll
+      # only be used when explicitly requested
+      if test "x$enable_dependency_tracking" = xyes; then
+       continue
+      else
+       break
+      fi
+      ;;
+    none) break ;;
+    esac
+    # We check with `-c' and `-o' for the sake of the "dashmstdout"
+    # mode.  It turns out that the SunPro C++ compiler does not properly
+    # handle `-M -o', and we need to detect this.
+    if depmode=$depmode \
+       source=sub/conftest.c object=sub/conftest.${OBJEXT-o} \
+       depfile=sub/conftest.Po tmpdepfile=sub/conftest.TPo \
+       $SHELL ./depcomp $depcc -c -o sub/conftest.${OBJEXT-o} sub/conftest.c \
+         >/dev/null 2>conftest.err &&
+       grep sub/conftst1.h sub/conftest.Po > /dev/null 2>&1 &&
+       grep sub/conftst6.h sub/conftest.Po > /dev/null 2>&1 &&
+       grep sub/conftest.${OBJEXT-o} sub/conftest.Po > /dev/null 2>&1 &&
+       ${MAKE-make} -s -f confmf > /dev/null 2>&1; then
+      # icc doesn't choke on unknown options, it will just issue warnings
+      # or remarks (even with -Werror).  So we grep stderr for any message
+      # that says an option was ignored or not supported.
+      # When given -MP, icc 7.0 and 7.1 complain thusly:
+      #   icc: Command line warning: ignoring option '-M'; no argument required
+      # The diagnosis changed in icc 8.0:
+      #   icc: Command line remark: option '-MP' not supported
+      if (grep 'ignoring option' conftest.err ||
+          grep 'not supported' conftest.err) >/dev/null 2>&1; then :; else
+        am_cv_CCAS_dependencies_compiler_type=$depmode
+        break
+      fi
+    fi
+  done
+
+  cd ..
+  rm -rf conftest.dir
+else
+  am_cv_CCAS_dependencies_compiler_type=none
+fi
+
+fi
+{ $as_echo "$as_me:$LINENO: result: $am_cv_CCAS_dependencies_compiler_type" >&5
+$as_echo "$am_cv_CCAS_dependencies_compiler_type" >&6; }
+CCASDEPMODE=depmode=$am_cv_CCAS_dependencies_compiler_type
+
+ if
+  test "x$enable_dependency_tracking" != xno \
+  && test "$am_cv_CCAS_dependencies_compiler_type" = gcc3; then
+  am__fastdepCCAS_TRUE=
+  am__fastdepCCAS_FALSE='#'
+else
+  am__fastdepCCAS_TRUE='#'
+  am__fastdepCCAS_FALSE=
+fi
+
+
+{ $as_echo "$as_me:$LINENO: checking whether ln -s works" >&5
+$as_echo_n "checking whether ln -s works... " >&6; }
+LN_S=$as_ln_s
+if test "$LN_S" = "ln -s"; then
+  { $as_echo "$as_me:$LINENO: result: yes" >&5
+$as_echo "yes" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no, using $LN_S" >&5
+$as_echo "no, using $LN_S" >&6; }
+fi
+
+{ $as_echo "$as_me:$LINENO: checking whether ${MAKE-make} sets \$(MAKE)" >&5
+$as_echo_n "checking whether ${MAKE-make} sets \$(MAKE)... " >&6; }
+set x ${MAKE-make}
+ac_make=`$as_echo "$2" | sed 's/+/p/g; s/[^a-zA-Z0-9_]/_/g'`
+if { as_var=ac_cv_prog_make_${ac_make}_set; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.make <<\_ACEOF
+SHELL = /bin/sh
+all:
+       @echo '@@@%%%=$(MAKE)=@@@%%%'
+_ACEOF
+# GNU make sometimes prints "make[1]: Entering...", which would confuse us.
+case `${MAKE-make} -f conftest.make 2>/dev/null` in
+  *@@@%%%=?*=@@@%%%*)
+    eval ac_cv_prog_make_${ac_make}_set=yes;;
+  *)
+    eval ac_cv_prog_make_${ac_make}_set=no;;
+esac
+rm -f conftest.make
+fi
+if eval test \$ac_cv_prog_make_${ac_make}_set = yes; then
+  { $as_echo "$as_me:$LINENO: result: yes" >&5
+$as_echo "yes" >&6; }
+  SET_MAKE=
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+  SET_MAKE="MAKE=${MAKE-make}"
+fi
+
+# Find a good install program.  We prefer a C program (faster),
+# so one script is as good as another.  But avoid the broken or
+# incompatible versions:
+# SysV /etc/install, /usr/sbin/install
+# SunOS /usr/etc/install
+# IRIX /sbin/install
+# AIX /bin/install
+# AmigaOS /C/install, which installs bootblocks on floppy discs
+# AIX 4 /usr/bin/installbsd, which doesn't work without a -g flag
+# AFS /usr/afsws/bin/install, which mishandles nonexistent args
+# SVR4 /usr/ucb/install, which tries to use the nonexistent group "staff"
+# OS/2's system install, which has a completely different semantic
+# ./install, which can be erroneously created by make from ./install.sh.
+# Reject install programs that cannot install multiple files.
+{ $as_echo "$as_me:$LINENO: checking for a BSD-compatible install" >&5
+$as_echo_n "checking for a BSD-compatible install... " >&6; }
+if test -z "$INSTALL"; then
+if test "${ac_cv_path_install+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  # Account for people who put trailing slashes in PATH elements.
+case $as_dir/ in
+  ./ | .// | /cC/* | \
+  /etc/* | /usr/sbin/* | /usr/etc/* | /sbin/* | /usr/afsws/bin/* | \
+  ?:\\/os2\\/install\\/* | ?:\\/OS2\\/INSTALL\\/* | \
+  /usr/ucb/* ) ;;
+  *)
+    # OSF1 and SCO ODT 3.0 have their own names for install.
+    # Don't use installbsd from OSF since it installs stuff as root
+    # by default.
+    for ac_prog in ginstall scoinst install; do
+      for ac_exec_ext in '' $ac_executable_extensions; do
+       if { test -f "$as_dir/$ac_prog$ac_exec_ext" && $as_test_x "$as_dir/$ac_prog$ac_exec_ext"; }; then
+         if test $ac_prog = install &&
+           grep dspmsg "$as_dir/$ac_prog$ac_exec_ext" >/dev/null 2>&1; then
+           # AIX install.  It has an incompatible calling convention.
+           :
+         elif test $ac_prog = install &&
+           grep pwplus "$as_dir/$ac_prog$ac_exec_ext" >/dev/null 2>&1; then
+           # program-specific install script used by HP pwplus--don't use.
+           :
+         else
+           rm -rf conftest.one conftest.two conftest.dir
+           echo one > conftest.one
+           echo two > conftest.two
+           mkdir conftest.dir
+           if "$as_dir/$ac_prog$ac_exec_ext" -c conftest.one conftest.two "`pwd`/conftest.dir" &&
+             test -s conftest.one && test -s conftest.two &&
+             test -s conftest.dir/conftest.one &&
+             test -s conftest.dir/conftest.two
+           then
+             ac_cv_path_install="$as_dir/$ac_prog$ac_exec_ext -c"
+             break 3
+           fi
+         fi
+       fi
+      done
+    done
+    ;;
+esac
+
+done
+IFS=$as_save_IFS
+
+rm -rf conftest.one conftest.two conftest.dir
+
+fi
+  if test "${ac_cv_path_install+set}" = set; then
+    INSTALL=$ac_cv_path_install
+  else
+    # As a last resort, use the slow shell script.  Don't cache a
+    # value for INSTALL within a source directory, because that will
+    # break other packages using the cache if that directory is
+    # removed, or if the value is a relative name.
+    INSTALL=$ac_install_sh
+  fi
+fi
+{ $as_echo "$as_me:$LINENO: result: $INSTALL" >&5
+$as_echo "$INSTALL" >&6; }
+
+# Use test -z because SunOS4 sh mishandles braces in ${var-val}.
+# It thinks the first close brace ends the variable substitution.
+test -z "$INSTALL_PROGRAM" && INSTALL_PROGRAM='${INSTALL}'
+
+test -z "$INSTALL_SCRIPT" && INSTALL_SCRIPT='${INSTALL}'
+
+test -z "$INSTALL_DATA" && INSTALL_DATA='${INSTALL} -m 644'
+
+
+# AC_PROG_MKDIR_P
+# is a backport of autoconf-2.60's AC_PROG_MKDIR_P.
+# Remove this macro when we can assume autoconf >= 2.60.
+
+{ $as_echo "$as_me:$LINENO: checking for a thread-safe mkdir -p" >&5
+$as_echo_n "checking for a thread-safe mkdir -p... " >&6; }
+if test -z "$MKDIR_P"; then
+  if test "${ac_cv_path_mkdir+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH$PATH_SEPARATOR/opt/sfw/bin
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_prog in mkdir gmkdir; do
+        for ac_exec_ext in '' $ac_executable_extensions; do
+          { test -f "$as_dir/$ac_prog$ac_exec_ext" && $as_test_x "$as_dir/$ac_prog$ac_exec_ext"; } || continue
+          case `"$as_dir/$ac_prog$ac_exec_ext" --version 2>&1` in #(
+            'mkdir (GNU coreutils) '* | \
+            'mkdir (coreutils) '* | \
+            'mkdir (fileutils) '4.1*)
+              ac_cv_path_mkdir=$as_dir/$ac_prog$ac_exec_ext
+              break 3;;
+          esac
+        done
+       done
+done
+IFS=$as_save_IFS
+
+fi
+
+  if test "${ac_cv_path_mkdir+set}" = set; then
+    MKDIR_P="$ac_cv_path_mkdir -p"
+  else
+    # As a last resort, use the slow shell script.  Don't cache a
+    # value for MKDIR_P within a source directory, because that will
+    # break other packages using the cache if that directory is
+    # removed, or if the value is a relative name.
+    test -d ./--version && rmdir ./--version
+    MKDIR_P="$ac_install_sh -d"
+  fi
+fi
+{ $as_echo "$as_me:$LINENO: result: $MKDIR_P" >&5
+$as_echo "$MKDIR_P" >&6; }
+
+
+# Extract the first word of "rm", so it can be a program name with args.
+set dummy rm; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_path_RM_PROG+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  case $RM_PROG in
+  [\\/]* | ?:[\\/]*)
+  ac_cv_path_RM_PROG="$RM_PROG" # Let the user override the test with a path.
+  ;;
+  *)
+  as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_path_RM_PROG="$as_dir/$ac_word$ac_exec_ext"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+  ;;
+esac
+fi
+RM_PROG=$ac_cv_path_RM_PROG
+if test -n "$RM_PROG"; then
+  { $as_echo "$as_me:$LINENO: result: $RM_PROG" >&5
+$as_echo "$RM_PROG" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+
+enable_win32_dll=yes
+
+case $host in
+*-*-cygwin* | *-*-mingw* | *-*-pw32* | *-cegcc*)
+  if test -n "$ac_tool_prefix"; then
+  # Extract the first word of "${ac_tool_prefix}as", so it can be a program name with args.
+set dummy ${ac_tool_prefix}as; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_AS+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$AS"; then
+  ac_cv_prog_AS="$AS" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_AS="${ac_tool_prefix}as"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+AS=$ac_cv_prog_AS
+if test -n "$AS"; then
+  { $as_echo "$as_me:$LINENO: result: $AS" >&5
+$as_echo "$AS" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+fi
+if test -z "$ac_cv_prog_AS"; then
+  ac_ct_AS=$AS
+  # Extract the first word of "as", so it can be a program name with args.
+set dummy as; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_ac_ct_AS+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_AS"; then
+  ac_cv_prog_ac_ct_AS="$ac_ct_AS" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_ac_ct_AS="as"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_AS=$ac_cv_prog_ac_ct_AS
+if test -n "$ac_ct_AS"; then
+  { $as_echo "$as_me:$LINENO: result: $ac_ct_AS" >&5
+$as_echo "$ac_ct_AS" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+  if test "x$ac_ct_AS" = x; then
+    AS="false"
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:$LINENO: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    AS=$ac_ct_AS
+  fi
+else
+  AS="$ac_cv_prog_AS"
+fi
+
+  if test -n "$ac_tool_prefix"; then
+  # Extract the first word of "${ac_tool_prefix}dlltool", so it can be a program name with args.
+set dummy ${ac_tool_prefix}dlltool; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_DLLTOOL+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$DLLTOOL"; then
+  ac_cv_prog_DLLTOOL="$DLLTOOL" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_DLLTOOL="${ac_tool_prefix}dlltool"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+DLLTOOL=$ac_cv_prog_DLLTOOL
+if test -n "$DLLTOOL"; then
+  { $as_echo "$as_me:$LINENO: result: $DLLTOOL" >&5
+$as_echo "$DLLTOOL" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+fi
+if test -z "$ac_cv_prog_DLLTOOL"; then
+  ac_ct_DLLTOOL=$DLLTOOL
+  # Extract the first word of "dlltool", so it can be a program name with args.
+set dummy dlltool; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_ac_ct_DLLTOOL+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_DLLTOOL"; then
+  ac_cv_prog_ac_ct_DLLTOOL="$ac_ct_DLLTOOL" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_ac_ct_DLLTOOL="dlltool"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_DLLTOOL=$ac_cv_prog_ac_ct_DLLTOOL
+if test -n "$ac_ct_DLLTOOL"; then
+  { $as_echo "$as_me:$LINENO: result: $ac_ct_DLLTOOL" >&5
+$as_echo "$ac_ct_DLLTOOL" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+  if test "x$ac_ct_DLLTOOL" = x; then
+    DLLTOOL="false"
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:$LINENO: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    DLLTOOL=$ac_ct_DLLTOOL
+  fi
+else
+  DLLTOOL="$ac_cv_prog_DLLTOOL"
+fi
+
+  if test -n "$ac_tool_prefix"; then
+  # Extract the first word of "${ac_tool_prefix}objdump", so it can be a program name with args.
+set dummy ${ac_tool_prefix}objdump; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_OBJDUMP+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$OBJDUMP"; then
+  ac_cv_prog_OBJDUMP="$OBJDUMP" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_OBJDUMP="${ac_tool_prefix}objdump"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+OBJDUMP=$ac_cv_prog_OBJDUMP
+if test -n "$OBJDUMP"; then
+  { $as_echo "$as_me:$LINENO: result: $OBJDUMP" >&5
+$as_echo "$OBJDUMP" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+fi
+if test -z "$ac_cv_prog_OBJDUMP"; then
+  ac_ct_OBJDUMP=$OBJDUMP
+  # Extract the first word of "objdump", so it can be a program name with args.
+set dummy objdump; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_ac_ct_OBJDUMP+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_OBJDUMP"; then
+  ac_cv_prog_ac_ct_OBJDUMP="$ac_ct_OBJDUMP" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_ac_ct_OBJDUMP="objdump"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_OBJDUMP=$ac_cv_prog_ac_ct_OBJDUMP
+if test -n "$ac_ct_OBJDUMP"; then
+  { $as_echo "$as_me:$LINENO: result: $ac_ct_OBJDUMP" >&5
+$as_echo "$ac_ct_OBJDUMP" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+  if test "x$ac_ct_OBJDUMP" = x; then
+    OBJDUMP="false"
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:$LINENO: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    OBJDUMP=$ac_ct_OBJDUMP
+  fi
+else
+  OBJDUMP="$ac_cv_prog_OBJDUMP"
+fi
+
+  ;;
+esac
+
+test -z "$AS" && AS=as
+
+
+
+
+
+test -z "$DLLTOOL" && DLLTOOL=dlltool
+
+
+
+
+
+test -z "$OBJDUMP" && OBJDUMP=objdump
+
+
+
+
+
+
+
+# Check whether --enable-shared was given.
+if test "${enable_shared+set}" = set; then
+  enableval=$enable_shared; p=${PACKAGE-default}
+    case $enableval in
+    yes) enable_shared=yes ;;
+    no) enable_shared=no ;;
+    *)
+      enable_shared=no
+      # Look at the argument we got.  We use all the common list separators.
+      lt_save_ifs="$IFS"; IFS="${IFS}$PATH_SEPARATOR,"
+      for pkg in $enableval; do
+       IFS="$lt_save_ifs"
+       if test "X$pkg" = "X$p"; then
+         enable_shared=yes
+       fi
+      done
+      IFS="$lt_save_ifs"
+      ;;
+    esac
+else
+  enable_shared=yes
+fi
+
+
+
+
+
+
+
+
+       # Check whether --enable-static was given.
+if test "${enable_static+set}" = set; then
+  enableval=$enable_static; p=${PACKAGE-default}
+    case $enableval in
+    yes) enable_static=yes ;;
+    no) enable_static=no ;;
+    *)
+     enable_static=no
+      # Look at the argument we got.  We use all the common list separators.
+      lt_save_ifs="$IFS"; IFS="${IFS}$PATH_SEPARATOR,"
+      for pkg in $enableval; do
+       IFS="$lt_save_ifs"
+       if test "X$pkg" = "X$p"; then
+         enable_static=yes
+       fi
+      done
+      IFS="$lt_save_ifs"
+      ;;
+    esac
+else
+  enable_static=no
+fi
+
+
+
+
+
+
+
+
+       case `pwd` in
+  *\ * | *\    *)
+    { $as_echo "$as_me:$LINENO: WARNING: Libtool does not cope well with whitespace in \`pwd\`" >&5
+$as_echo "$as_me: WARNING: Libtool does not cope well with whitespace in \`pwd\`" >&2;} ;;
+esac
+
+
+
+macro_version='2.2.6'
+macro_revision='1.3012'
+
+
+
+
+
+
+
+
+
+
+
+
+
+ltmain="$ac_aux_dir/ltmain.sh"
+
+{ $as_echo "$as_me:$LINENO: checking for a sed that does not truncate output" >&5
+$as_echo_n "checking for a sed that does not truncate output... " >&6; }
+if test "${ac_cv_path_SED+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+            ac_script=s/aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa/bbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbbb/
+     for ac_i in 1 2 3 4 5 6 7; do
+       ac_script="$ac_script$as_nl$ac_script"
+     done
+     echo "$ac_script" 2>/dev/null | sed 99q >conftest.sed
+     $as_unset ac_script || ac_script=
+     if test -z "$SED"; then
+  ac_path_SED_found=false
+  # Loop through the user's path and test for each of PROGNAME-LIST
+  as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_prog in sed gsed; do
+    for ac_exec_ext in '' $ac_executable_extensions; do
+      ac_path_SED="$as_dir/$ac_prog$ac_exec_ext"
+      { test -f "$ac_path_SED" && $as_test_x "$ac_path_SED"; } || continue
+# Check for GNU ac_path_SED and select it if it is found.
+  # Check for GNU $ac_path_SED
+case `"$ac_path_SED" --version 2>&1` in
+*GNU*)
+  ac_cv_path_SED="$ac_path_SED" ac_path_SED_found=:;;
+*)
+  ac_count=0
+  $as_echo_n 0123456789 >"conftest.in"
+  while :
+  do
+    cat "conftest.in" "conftest.in" >"conftest.tmp"
+    mv "conftest.tmp" "conftest.in"
+    cp "conftest.in" "conftest.nl"
+    $as_echo '' >> "conftest.nl"
+    "$ac_path_SED" -f conftest.sed < "conftest.nl" >"conftest.out" 2>/dev/null || break
+    diff "conftest.out" "conftest.nl" >/dev/null 2>&1 || break
+    ac_count=`expr $ac_count + 1`
+    if test $ac_count -gt ${ac_path_SED_max-0}; then
+      # Best one so far, save it but keep looking for a better one
+      ac_cv_path_SED="$ac_path_SED"
+      ac_path_SED_max=$ac_count
+    fi
+    # 10*(2^10) chars as input seems more than enough
+    test $ac_count -gt 10 && break
+  done
+  rm -f conftest.in conftest.tmp conftest.nl conftest.out;;
+esac
+
+      $ac_path_SED_found && break 3
+    done
+  done
+done
+IFS=$as_save_IFS
+  if test -z "$ac_cv_path_SED"; then
+    { { $as_echo "$as_me:$LINENO: error: no acceptable sed could be found in \$PATH" >&5
+$as_echo "$as_me: error: no acceptable sed could be found in \$PATH" >&2;}
+   { (exit 1); exit 1; }; }
+  fi
+else
+  ac_cv_path_SED=$SED
+fi
+
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_path_SED" >&5
+$as_echo "$ac_cv_path_SED" >&6; }
+ SED="$ac_cv_path_SED"
+  rm -f conftest.sed
+
+test -z "$SED" && SED=sed
+Xsed="$SED -e 1s/^X//"
+
+
+
+
+
+
+
+
+
+
+
+{ $as_echo "$as_me:$LINENO: checking for fgrep" >&5
+$as_echo_n "checking for fgrep... " >&6; }
+if test "${ac_cv_path_FGREP+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if echo 'ab*c' | $GREP -F 'ab*c' >/dev/null 2>&1
+   then ac_cv_path_FGREP="$GREP -F"
+   else
+     if test -z "$FGREP"; then
+  ac_path_FGREP_found=false
+  # Loop through the user's path and test for each of PROGNAME-LIST
+  as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH$PATH_SEPARATOR/usr/xpg4/bin
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_prog in fgrep; do
+    for ac_exec_ext in '' $ac_executable_extensions; do
+      ac_path_FGREP="$as_dir/$ac_prog$ac_exec_ext"
+      { test -f "$ac_path_FGREP" && $as_test_x "$ac_path_FGREP"; } || continue
+# Check for GNU ac_path_FGREP and select it if it is found.
+  # Check for GNU $ac_path_FGREP
+case `"$ac_path_FGREP" --version 2>&1` in
+*GNU*)
+  ac_cv_path_FGREP="$ac_path_FGREP" ac_path_FGREP_found=:;;
+*)
+  ac_count=0
+  $as_echo_n 0123456789 >"conftest.in"
+  while :
+  do
+    cat "conftest.in" "conftest.in" >"conftest.tmp"
+    mv "conftest.tmp" "conftest.in"
+    cp "conftest.in" "conftest.nl"
+    $as_echo 'FGREP' >> "conftest.nl"
+    "$ac_path_FGREP" FGREP < "conftest.nl" >"conftest.out" 2>/dev/null || break
+    diff "conftest.out" "conftest.nl" >/dev/null 2>&1 || break
+    ac_count=`expr $ac_count + 1`
+    if test $ac_count -gt ${ac_path_FGREP_max-0}; then
+      # Best one so far, save it but keep looking for a better one
+      ac_cv_path_FGREP="$ac_path_FGREP"
+      ac_path_FGREP_max=$ac_count
+    fi
+    # 10*(2^10) chars as input seems more than enough
+    test $ac_count -gt 10 && break
+  done
+  rm -f conftest.in conftest.tmp conftest.nl conftest.out;;
+esac
+
+      $ac_path_FGREP_found && break 3
+    done
+  done
+done
+IFS=$as_save_IFS
+  if test -z "$ac_cv_path_FGREP"; then
+    { { $as_echo "$as_me:$LINENO: error: no acceptable fgrep could be found in $PATH$PATH_SEPARATOR/usr/xpg4/bin" >&5
+$as_echo "$as_me: error: no acceptable fgrep could be found in $PATH$PATH_SEPARATOR/usr/xpg4/bin" >&2;}
+   { (exit 1); exit 1; }; }
+  fi
+else
+  ac_cv_path_FGREP=$FGREP
+fi
+
+   fi
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_path_FGREP" >&5
+$as_echo "$ac_cv_path_FGREP" >&6; }
+ FGREP="$ac_cv_path_FGREP"
+
+
+test -z "$GREP" && GREP=grep
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+# Check whether --with-gnu-ld was given.
+if test "${with_gnu_ld+set}" = set; then
+  withval=$with_gnu_ld; test "$withval" = no || with_gnu_ld=yes
+else
+  with_gnu_ld=no
+fi
+
+ac_prog=ld
+if test "$GCC" = yes; then
+  # Check if gcc -print-prog-name=ld gives a path.
+  { $as_echo "$as_me:$LINENO: checking for ld used by $CC" >&5
+$as_echo_n "checking for ld used by $CC... " >&6; }
+  case $host in
+  *-*-mingw*)
+    # gcc leaves a trailing carriage return which upsets mingw
+    ac_prog=`($CC -print-prog-name=ld) 2>&5 | tr -d '\015'` ;;
+  *)
+    ac_prog=`($CC -print-prog-name=ld) 2>&5` ;;
+  esac
+  case $ac_prog in
+    # Accept absolute paths.
+    [\\/]* | ?:[\\/]*)
+      re_direlt='/[^/][^/]*/\.\./'
+      # Canonicalize the pathname of ld
+      ac_prog=`$ECHO "$ac_prog"| $SED 's%\\\\%/%g'`
+      while $ECHO "$ac_prog" | $GREP "$re_direlt" > /dev/null 2>&1; do
+       ac_prog=`$ECHO $ac_prog| $SED "s%$re_direlt%/%"`
+      done
+      test -z "$LD" && LD="$ac_prog"
+      ;;
+  "")
+    # If it fails, then pretend we aren't using GCC.
+    ac_prog=ld
+    ;;
+  *)
+    # If it is relative, then search for the first ld in PATH.
+    with_gnu_ld=unknown
+    ;;
+  esac
+elif test "$with_gnu_ld" = yes; then
+  { $as_echo "$as_me:$LINENO: checking for GNU ld" >&5
+$as_echo_n "checking for GNU ld... " >&6; }
+else
+  { $as_echo "$as_me:$LINENO: checking for non-GNU ld" >&5
+$as_echo_n "checking for non-GNU ld... " >&6; }
+fi
+if test "${lt_cv_path_LD+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -z "$LD"; then
+  lt_save_ifs="$IFS"; IFS=$PATH_SEPARATOR
+  for ac_dir in $PATH; do
+    IFS="$lt_save_ifs"
+    test -z "$ac_dir" && ac_dir=.
+    if test -f "$ac_dir/$ac_prog" || test -f "$ac_dir/$ac_prog$ac_exeext"; then
+      lt_cv_path_LD="$ac_dir/$ac_prog"
+      # Check to see if the program is GNU ld.  I'd rather use --version,
+      # but apparently some variants of GNU ld only accept -v.
+      # Break only if it was the GNU/non-GNU ld that we prefer.
+      case `"$lt_cv_path_LD" -v 2>&1 </dev/null` in
+      *GNU* | *'with BFD'*)
+       test "$with_gnu_ld" != no && break
+       ;;
+      *)
+       test "$with_gnu_ld" != yes && break
+       ;;
+      esac
+    fi
+  done
+  IFS="$lt_save_ifs"
+else
+  lt_cv_path_LD="$LD" # Let the user override the test with a path.
+fi
+fi
+
+LD="$lt_cv_path_LD"
+if test -n "$LD"; then
+  { $as_echo "$as_me:$LINENO: result: $LD" >&5
+$as_echo "$LD" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+test -z "$LD" && { { $as_echo "$as_me:$LINENO: error: no acceptable ld found in \$PATH" >&5
+$as_echo "$as_me: error: no acceptable ld found in \$PATH" >&2;}
+   { (exit 1); exit 1; }; }
+{ $as_echo "$as_me:$LINENO: checking if the linker ($LD) is GNU ld" >&5
+$as_echo_n "checking if the linker ($LD) is GNU ld... " >&6; }
+if test "${lt_cv_prog_gnu_ld+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  # I'd rather use --version here, but apparently some GNU lds only accept -v.
+case `$LD -v 2>&1 </dev/null` in
+*GNU* | *'with BFD'*)
+  lt_cv_prog_gnu_ld=yes
+  ;;
+*)
+  lt_cv_prog_gnu_ld=no
+  ;;
+esac
+fi
+{ $as_echo "$as_me:$LINENO: result: $lt_cv_prog_gnu_ld" >&5
+$as_echo "$lt_cv_prog_gnu_ld" >&6; }
+with_gnu_ld=$lt_cv_prog_gnu_ld
+
+
+
+
+
+
+
+
+
+{ $as_echo "$as_me:$LINENO: checking for BSD- or MS-compatible name lister (nm)" >&5
+$as_echo_n "checking for BSD- or MS-compatible name lister (nm)... " >&6; }
+if test "${lt_cv_path_NM+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$NM"; then
+  # Let the user override the test.
+  lt_cv_path_NM="$NM"
+else
+  lt_nm_to_check="${ac_tool_prefix}nm"
+  if test -n "$ac_tool_prefix" && test "$build" = "$host"; then
+    lt_nm_to_check="$lt_nm_to_check nm"
+  fi
+  for lt_tmp_nm in $lt_nm_to_check; do
+    lt_save_ifs="$IFS"; IFS=$PATH_SEPARATOR
+    for ac_dir in $PATH /usr/ccs/bin/elf /usr/ccs/bin /usr/ucb /bin; do
+      IFS="$lt_save_ifs"
+      test -z "$ac_dir" && ac_dir=.
+      tmp_nm="$ac_dir/$lt_tmp_nm"
+      if test -f "$tmp_nm" || test -f "$tmp_nm$ac_exeext" ; then
+       # Check to see if the nm accepts a BSD-compat flag.
+       # Adding the `sed 1q' prevents false positives on HP-UX, which says:
+       #   nm: unknown option "B" ignored
+       # Tru64's nm complains that /dev/null is an invalid object file
+       case `"$tmp_nm" -B /dev/null 2>&1 | sed '1q'` in
+       */dev/null* | *'Invalid file or object type'*)
+         lt_cv_path_NM="$tmp_nm -B"
+         break
+         ;;
+       *)
+         case `"$tmp_nm" -p /dev/null 2>&1 | sed '1q'` in
+         */dev/null*)
+           lt_cv_path_NM="$tmp_nm -p"
+           break
+           ;;
+         *)
+           lt_cv_path_NM=${lt_cv_path_NM="$tmp_nm"} # keep the first match, but
+           continue # so that we can try to find one that supports BSD flags
+           ;;
+         esac
+         ;;
+       esac
+      fi
+    done
+    IFS="$lt_save_ifs"
+  done
+  : ${lt_cv_path_NM=no}
+fi
+fi
+{ $as_echo "$as_me:$LINENO: result: $lt_cv_path_NM" >&5
+$as_echo "$lt_cv_path_NM" >&6; }
+if test "$lt_cv_path_NM" != "no"; then
+  NM="$lt_cv_path_NM"
+else
+  # Didn't find any BSD compatible name lister, look for dumpbin.
+  if test -n "$ac_tool_prefix"; then
+  for ac_prog in "dumpbin -symbols" "link -dump -symbols"
+  do
+    # Extract the first word of "$ac_tool_prefix$ac_prog", so it can be a program name with args.
+set dummy $ac_tool_prefix$ac_prog; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_DUMPBIN+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$DUMPBIN"; then
+  ac_cv_prog_DUMPBIN="$DUMPBIN" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_DUMPBIN="$ac_tool_prefix$ac_prog"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+DUMPBIN=$ac_cv_prog_DUMPBIN
+if test -n "$DUMPBIN"; then
+  { $as_echo "$as_me:$LINENO: result: $DUMPBIN" >&5
+$as_echo "$DUMPBIN" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+    test -n "$DUMPBIN" && break
+  done
+fi
+if test -z "$DUMPBIN"; then
+  ac_ct_DUMPBIN=$DUMPBIN
+  for ac_prog in "dumpbin -symbols" "link -dump -symbols"
+do
+  # Extract the first word of "$ac_prog", so it can be a program name with args.
+set dummy $ac_prog; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_ac_ct_DUMPBIN+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_DUMPBIN"; then
+  ac_cv_prog_ac_ct_DUMPBIN="$ac_ct_DUMPBIN" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_ac_ct_DUMPBIN="$ac_prog"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_DUMPBIN=$ac_cv_prog_ac_ct_DUMPBIN
+if test -n "$ac_ct_DUMPBIN"; then
+  { $as_echo "$as_me:$LINENO: result: $ac_ct_DUMPBIN" >&5
+$as_echo "$ac_ct_DUMPBIN" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+  test -n "$ac_ct_DUMPBIN" && break
+done
+
+  if test "x$ac_ct_DUMPBIN" = x; then
+    DUMPBIN=":"
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:$LINENO: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    DUMPBIN=$ac_ct_DUMPBIN
+  fi
+fi
+
+
+  if test "$DUMPBIN" != ":"; then
+    NM="$DUMPBIN"
+  fi
+fi
+test -z "$NM" && NM=nm
+
+
+
+
+
+
+{ $as_echo "$as_me:$LINENO: checking the name lister ($NM) interface" >&5
+$as_echo_n "checking the name lister ($NM) interface... " >&6; }
+if test "${lt_cv_nm_interface+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  lt_cv_nm_interface="BSD nm"
+  echo "int some_variable = 0;" > conftest.$ac_ext
+  (eval echo "\"\$as_me:7557: $ac_compile\"" >&5)
+  (eval "$ac_compile" 2>conftest.err)
+  cat conftest.err >&5
+  (eval echo "\"\$as_me:7560: $NM \\\"conftest.$ac_objext\\\"\"" >&5)
+  (eval "$NM \"conftest.$ac_objext\"" 2>conftest.err > conftest.out)
+  cat conftest.err >&5
+  (eval echo "\"\$as_me:7563: output\"" >&5)
+  cat conftest.out >&5
+  if $GREP 'External.*some_variable' conftest.out > /dev/null; then
+    lt_cv_nm_interface="MS dumpbin"
+  fi
+  rm -f conftest*
+fi
+{ $as_echo "$as_me:$LINENO: result: $lt_cv_nm_interface" >&5
+$as_echo "$lt_cv_nm_interface" >&6; }
+
+# find the maximum length of command line arguments
+{ $as_echo "$as_me:$LINENO: checking the maximum length of command line arguments" >&5
+$as_echo_n "checking the maximum length of command line arguments... " >&6; }
+if test "${lt_cv_sys_max_cmd_len+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+    i=0
+  teststring="ABCD"
+
+  case $build_os in
+  msdosdjgpp*)
+    # On DJGPP, this test can blow up pretty badly due to problems in libc
+    # (any single argument exceeding 2000 bytes causes a buffer overrun
+    # during glob expansion).  Even if it were fixed, the result of this
+    # check would be larger than it should be.
+    lt_cv_sys_max_cmd_len=12288;    # 12K is about right
+    ;;
+
+  gnu*)
+    # Under GNU Hurd, this test is not required because there is
+    # no limit to the length of command line arguments.
+    # Libtool will interpret -1 as no limit whatsoever
+    lt_cv_sys_max_cmd_len=-1;
+    ;;
+
+  cygwin* | mingw* | cegcc*)
+    # On Win9x/ME, this test blows up -- it succeeds, but takes
+    # about 5 minutes as the teststring grows exponentially.
+    # Worse, since 9x/ME are not pre-emptively multitasking,
+    # you end up with a "frozen" computer, even though with patience
+    # the test eventually succeeds (with a max line length of 256k).
+    # Instead, let's just punt: use the minimum linelength reported by
+    # all of the supported platforms: 8192 (on NT/2K/XP).
+    lt_cv_sys_max_cmd_len=8192;
+    ;;
+
+  amigaos*)
+    # On AmigaOS with pdksh, this test takes hours, literally.
+    # So we just punt and use a minimum line length of 8192.
+    lt_cv_sys_max_cmd_len=8192;
+    ;;
+
+  netbsd* | freebsd* | openbsd* | darwin* | dragonfly*)
+    # This has been around since 386BSD, at least.  Likely further.
+    if test -x /sbin/sysctl; then
+      lt_cv_sys_max_cmd_len=`/sbin/sysctl -n kern.argmax`
+    elif test -x /usr/sbin/sysctl; then
+      lt_cv_sys_max_cmd_len=`/usr/sbin/sysctl -n kern.argmax`
+    else
+      lt_cv_sys_max_cmd_len=65536      # usable default for all BSDs
+    fi
+    # And add a safety zone
+    lt_cv_sys_max_cmd_len=`expr $lt_cv_sys_max_cmd_len \/ 4`
+    lt_cv_sys_max_cmd_len=`expr $lt_cv_sys_max_cmd_len \* 3`
+    ;;
+
+  interix*)
+    # We know the value 262144 and hardcode it with a safety zone (like BSD)
+    lt_cv_sys_max_cmd_len=196608
+    ;;
+
+  osf*)
+    # Dr. Hans Ekkehard Plesser reports seeing a kernel panic running configure
+    # due to this test when exec_disable_arg_limit is 1 on Tru64. It is not
+    # nice to cause kernel panics so lets avoid the loop below.
+    # First set a reasonable default.
+    lt_cv_sys_max_cmd_len=16384
+    #
+    if test -x /sbin/sysconfig; then
+      case `/sbin/sysconfig -q proc exec_disable_arg_limit` in
+        *1*) lt_cv_sys_max_cmd_len=-1 ;;
+      esac
+    fi
+    ;;
+  sco3.2v5*)
+    lt_cv_sys_max_cmd_len=102400
+    ;;
+  sysv5* | sco5v6* | sysv4.2uw2*)
+    kargmax=`grep ARG_MAX /etc/conf/cf.d/stune 2>/dev/null`
+    if test -n "$kargmax"; then
+      lt_cv_sys_max_cmd_len=`echo $kargmax | sed 's/.*[         ]//'`
+    else
+      lt_cv_sys_max_cmd_len=32768
+    fi
+    ;;
+  *)
+    lt_cv_sys_max_cmd_len=`(getconf ARG_MAX) 2> /dev/null`
+    if test -n "$lt_cv_sys_max_cmd_len"; then
+      lt_cv_sys_max_cmd_len=`expr $lt_cv_sys_max_cmd_len \/ 4`
+      lt_cv_sys_max_cmd_len=`expr $lt_cv_sys_max_cmd_len \* 3`
+    else
+      # Make teststring a little bigger before we do anything with it.
+      # a 1K string should be a reasonable start.
+      for i in 1 2 3 4 5 6 7 8 ; do
+        teststring=$teststring$teststring
+      done
+      SHELL=${SHELL-${CONFIG_SHELL-/bin/sh}}
+      # If test is not a shell built-in, we'll probably end up computing a
+      # maximum length that is only half of the actual maximum length, but
+      # we can't tell.
+      while { test "X"`$SHELL $0 --fallback-echo "X$teststring$teststring" 2>/dev/null` \
+                = "XX$teststring$teststring"; } >/dev/null 2>&1 &&
+             test $i != 17 # 1/2 MB should be enough
+      do
+        i=`expr $i + 1`
+        teststring=$teststring$teststring
+      done
+      # Only check the string length outside the loop.
+      lt_cv_sys_max_cmd_len=`expr "X$teststring" : ".*" 2>&1`
+      teststring=
+      # Add a significant safety factor because C++ compilers can tack on
+      # massive amounts of additional arguments before passing them to the
+      # linker.  It appears as though 1/2 is a usable value.
+      lt_cv_sys_max_cmd_len=`expr $lt_cv_sys_max_cmd_len \/ 2`
+    fi
+    ;;
+  esac
+
+fi
+
+if test -n $lt_cv_sys_max_cmd_len ; then
+  { $as_echo "$as_me:$LINENO: result: $lt_cv_sys_max_cmd_len" >&5
+$as_echo "$lt_cv_sys_max_cmd_len" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: none" >&5
+$as_echo "none" >&6; }
+fi
+max_cmd_len=$lt_cv_sys_max_cmd_len
+
+
+
+
+
+
+: ${CP="cp -f"}
+: ${MV="mv -f"}
+: ${RM="rm -f"}
+
+{ $as_echo "$as_me:$LINENO: checking whether the shell understands some XSI constructs" >&5
+$as_echo_n "checking whether the shell understands some XSI constructs... " >&6; }
+# Try some XSI features
+xsi_shell=no
+( _lt_dummy="a/b/c"
+  test "${_lt_dummy##*/},${_lt_dummy%/*},"${_lt_dummy%"$_lt_dummy"}, \
+      = c,a/b,, \
+    && eval 'test $(( 1 + 1 )) -eq 2 \
+    && test "${#_lt_dummy}" -eq 5' ) >/dev/null 2>&1 \
+  && xsi_shell=yes
+{ $as_echo "$as_me:$LINENO: result: $xsi_shell" >&5
+$as_echo "$xsi_shell" >&6; }
+
+
+{ $as_echo "$as_me:$LINENO: checking whether the shell understands \"+=\"" >&5
+$as_echo_n "checking whether the shell understands \"+=\"... " >&6; }
+lt_shell_append=no
+( foo=bar; set foo baz; eval "$1+=\$2" && test "$foo" = barbaz ) \
+    >/dev/null 2>&1 \
+  && lt_shell_append=yes
+{ $as_echo "$as_me:$LINENO: result: $lt_shell_append" >&5
+$as_echo "$lt_shell_append" >&6; }
+
+
+if ( (MAIL=60; unset MAIL) || exit) >/dev/null 2>&1; then
+  lt_unset=unset
+else
+  lt_unset=false
+fi
+
+
+
+
+
+# test EBCDIC or ASCII
+case `echo X|tr X '\101'` in
+ A) # ASCII based system
+    # \n is not interpreted correctly by Solaris 8 /usr/ucb/tr
+  lt_SP2NL='tr \040 \012'
+  lt_NL2SP='tr \015\012 \040\040'
+  ;;
+ *) # EBCDIC based system
+  lt_SP2NL='tr \100 \n'
+  lt_NL2SP='tr \r\n \100\100'
+  ;;
+esac
+
+
+
+
+
+
+
+
+
+{ $as_echo "$as_me:$LINENO: checking for $LD option to reload object files" >&5
+$as_echo_n "checking for $LD option to reload object files... " >&6; }
+if test "${lt_cv_ld_reload_flag+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  lt_cv_ld_reload_flag='-r'
+fi
+{ $as_echo "$as_me:$LINENO: result: $lt_cv_ld_reload_flag" >&5
+$as_echo "$lt_cv_ld_reload_flag" >&6; }
+reload_flag=$lt_cv_ld_reload_flag
+case $reload_flag in
+"" | " "*) ;;
+*) reload_flag=" $reload_flag" ;;
+esac
+reload_cmds='$LD$reload_flag -o $output$reload_objs'
+case $host_os in
+  darwin*)
+    if test "$GCC" = yes; then
+      reload_cmds='$LTCC $LTCFLAGS -nostdlib ${wl}-r -o $output$reload_objs'
+    else
+      reload_cmds='$LD$reload_flag -o $output$reload_objs'
+    fi
+    ;;
+esac
+
+
+
+
+
+
+
+
+
+if test -n "$ac_tool_prefix"; then
+  # Extract the first word of "${ac_tool_prefix}objdump", so it can be a program name with args.
+set dummy ${ac_tool_prefix}objdump; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_OBJDUMP+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$OBJDUMP"; then
+  ac_cv_prog_OBJDUMP="$OBJDUMP" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_OBJDUMP="${ac_tool_prefix}objdump"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+OBJDUMP=$ac_cv_prog_OBJDUMP
+if test -n "$OBJDUMP"; then
+  { $as_echo "$as_me:$LINENO: result: $OBJDUMP" >&5
+$as_echo "$OBJDUMP" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+fi
+if test -z "$ac_cv_prog_OBJDUMP"; then
+  ac_ct_OBJDUMP=$OBJDUMP
+  # Extract the first word of "objdump", so it can be a program name with args.
+set dummy objdump; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_ac_ct_OBJDUMP+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_OBJDUMP"; then
+  ac_cv_prog_ac_ct_OBJDUMP="$ac_ct_OBJDUMP" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_ac_ct_OBJDUMP="objdump"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_OBJDUMP=$ac_cv_prog_ac_ct_OBJDUMP
+if test -n "$ac_ct_OBJDUMP"; then
+  { $as_echo "$as_me:$LINENO: result: $ac_ct_OBJDUMP" >&5
+$as_echo "$ac_ct_OBJDUMP" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+  if test "x$ac_ct_OBJDUMP" = x; then
+    OBJDUMP="false"
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:$LINENO: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    OBJDUMP=$ac_ct_OBJDUMP
+  fi
+else
+  OBJDUMP="$ac_cv_prog_OBJDUMP"
+fi
+
+test -z "$OBJDUMP" && OBJDUMP=objdump
+
+
+
+
+
+
+{ $as_echo "$as_me:$LINENO: checking how to recognize dependent libraries" >&5
+$as_echo_n "checking how to recognize dependent libraries... " >&6; }
+if test "${lt_cv_deplibs_check_method+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  lt_cv_file_magic_cmd='$MAGIC_CMD'
+lt_cv_file_magic_test_file=
+lt_cv_deplibs_check_method='unknown'
+# Need to set the preceding variable on all platforms that support
+# interlibrary dependencies.
+# 'none' -- dependencies not supported.
+# `unknown' -- same as none, but documents that we really don't know.
+# 'pass_all' -- all dependencies passed with no checks.
+# 'test_compile' -- check by making test program.
+# 'file_magic [[regex]]' -- check by looking for files in library path
+# which responds to the $file_magic_cmd with a given extended regex.
+# If you have `file' or equivalent on your system and you're not sure
+# whether `pass_all' will *always* work, you probably want this one.
+
+case $host_os in
+aix[4-9]*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+beos*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+bsdi[45]*)
+  lt_cv_deplibs_check_method='file_magic ELF [0-9][0-9]*-bit [ML]SB (shared object|dynamic lib)'
+  lt_cv_file_magic_cmd='/usr/bin/file -L'
+  lt_cv_file_magic_test_file=/shlib/libc.so
+  ;;
+
+cygwin*)
+  # func_win32_libid is a shell function defined in ltmain.sh
+  lt_cv_deplibs_check_method='file_magic ^x86 archive import|^x86 DLL'
+  lt_cv_file_magic_cmd='func_win32_libid'
+  ;;
+
+mingw* | pw32*)
+  # Base MSYS/MinGW do not provide the 'file' command needed by
+  # func_win32_libid shell function, so use a weaker test based on 'objdump',
+  # unless we find 'file', for example because we are cross-compiling.
+  if ( file / ) >/dev/null 2>&1; then
+    lt_cv_deplibs_check_method='file_magic ^x86 archive import|^x86 DLL'
+    lt_cv_file_magic_cmd='func_win32_libid'
+  else
+    lt_cv_deplibs_check_method='file_magic file format pei*-i386(.*architecture: i386)?'
+    lt_cv_file_magic_cmd='$OBJDUMP -f'
+  fi
+  ;;
+
+cegcc)
+  # use the weaker test based on 'objdump'. See mingw*.
+  lt_cv_deplibs_check_method='file_magic file format pe-arm-.*little(.*architecture: arm)?'
+  lt_cv_file_magic_cmd='$OBJDUMP -f'
+  ;;
+
+darwin* | rhapsody*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+freebsd* | dragonfly*)
+  if echo __ELF__ | $CC -E - | $GREP __ELF__ > /dev/null; then
+    case $host_cpu in
+    i*86 )
+      # Not sure whether the presence of OpenBSD here was a mistake.
+      # Let's accept both of them until this is cleared up.
+      lt_cv_deplibs_check_method='file_magic (FreeBSD|OpenBSD|DragonFly)/i[3-9]86 (compact )?demand paged shared library'
+      lt_cv_file_magic_cmd=/usr/bin/file
+      lt_cv_file_magic_test_file=`echo /usr/lib/libc.so.*`
+      ;;
+    esac
+  else
+    lt_cv_deplibs_check_method=pass_all
+  fi
+  ;;
+
+gnu*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+hpux10.20* | hpux11*)
+  lt_cv_file_magic_cmd=/usr/bin/file
+  case $host_cpu in
+  ia64*)
+    lt_cv_deplibs_check_method='file_magic (s[0-9][0-9][0-9]|ELF-[0-9][0-9]) shared object file - IA64'
+    lt_cv_file_magic_test_file=/usr/lib/hpux32/libc.so
+    ;;
+  hppa*64*)
+    lt_cv_deplibs_check_method='file_magic (s[0-9][0-9][0-9]|ELF-[0-9][0-9]) shared object file - PA-RISC [0-9].[0-9]'
+    lt_cv_file_magic_test_file=/usr/lib/pa20_64/libc.sl
+    ;;
+  *)
+    lt_cv_deplibs_check_method='file_magic (s[0-9][0-9][0-9]|PA-RISC[0-9].[0-9]) shared library'
+    lt_cv_file_magic_test_file=/usr/lib/libc.sl
+    ;;
+  esac
+  ;;
+
+interix[3-9]*)
+  # PIC code is broken on Interix 3.x, that's why |\.a not |_pic\.a here
+  lt_cv_deplibs_check_method='match_pattern /lib[^/]+(\.so|\.a)$'
+  ;;
+
+irix5* | irix6* | nonstopux*)
+  case $LD in
+  *-32|*"-32 ") libmagic=32-bit;;
+  *-n32|*"-n32 ") libmagic=N32;;
+  *-64|*"-64 ") libmagic=64-bit;;
+  *) libmagic=never-match;;
+  esac
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+# This must be Linux ELF.
+linux* | k*bsd*-gnu)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+netbsd* | netbsdelf*-gnu)
+  if echo __ELF__ | $CC -E - | $GREP __ELF__ > /dev/null; then
+    lt_cv_deplibs_check_method='match_pattern /lib[^/]+(\.so\.[0-9]+\.[0-9]+|_pic\.a)$'
+  else
+    lt_cv_deplibs_check_method='match_pattern /lib[^/]+(\.so|_pic\.a)$'
+  fi
+  ;;
+
+newos6*)
+  lt_cv_deplibs_check_method='file_magic ELF [0-9][0-9]*-bit [ML]SB (executable|dynamic lib)'
+  lt_cv_file_magic_cmd=/usr/bin/file
+  lt_cv_file_magic_test_file=/usr/lib/libnls.so
+  ;;
+
+*nto* | *qnx*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+openbsd*)
+  if test -z "`echo __ELF__ | $CC -E - | $GREP __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
+    lt_cv_deplibs_check_method='match_pattern /lib[^/]+(\.so\.[0-9]+\.[0-9]+|\.so|_pic\.a)$'
+  else
+    lt_cv_deplibs_check_method='match_pattern /lib[^/]+(\.so\.[0-9]+\.[0-9]+|_pic\.a)$'
+  fi
+  ;;
+
+osf3* | osf4* | osf5*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+rdos*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+solaris*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+sysv5* | sco3.2v5* | sco5v6* | unixware* | OpenUNIX* | sysv4*uw2*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+
+sysv4 | sysv4.3*)
+  case $host_vendor in
+  motorola)
+    lt_cv_deplibs_check_method='file_magic ELF [0-9][0-9]*-bit [ML]SB (shared object|dynamic lib) M[0-9][0-9]* Version [0-9]'
+    lt_cv_file_magic_test_file=`echo /usr/lib/libc.so*`
+    ;;
+  ncr)
+    lt_cv_deplibs_check_method=pass_all
+    ;;
+  sequent)
+    lt_cv_file_magic_cmd='/bin/file'
+    lt_cv_deplibs_check_method='file_magic ELF [0-9][0-9]*-bit [LM]SB (shared object|dynamic lib )'
+    ;;
+  sni)
+    lt_cv_file_magic_cmd='/bin/file'
+    lt_cv_deplibs_check_method="file_magic ELF [0-9][0-9]*-bit [LM]SB dynamic lib"
+    lt_cv_file_magic_test_file=/lib/libc.so
+    ;;
+  siemens)
+    lt_cv_deplibs_check_method=pass_all
+    ;;
+  pc)
+    lt_cv_deplibs_check_method=pass_all
+    ;;
+  esac
+  ;;
+
+tpf*)
+  lt_cv_deplibs_check_method=pass_all
+  ;;
+esac
+
+fi
+{ $as_echo "$as_me:$LINENO: result: $lt_cv_deplibs_check_method" >&5
+$as_echo "$lt_cv_deplibs_check_method" >&6; }
+file_magic_cmd=$lt_cv_file_magic_cmd
+deplibs_check_method=$lt_cv_deplibs_check_method
+test -z "$deplibs_check_method" && deplibs_check_method=unknown
+
+
+
+
+
+
+
+
+
+
+
+
+if test -n "$ac_tool_prefix"; then
+  # Extract the first word of "${ac_tool_prefix}ar", so it can be a program name with args.
+set dummy ${ac_tool_prefix}ar; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_AR+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$AR"; then
+  ac_cv_prog_AR="$AR" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_AR="${ac_tool_prefix}ar"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+AR=$ac_cv_prog_AR
+if test -n "$AR"; then
+  { $as_echo "$as_me:$LINENO: result: $AR" >&5
+$as_echo "$AR" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+fi
+if test -z "$ac_cv_prog_AR"; then
+  ac_ct_AR=$AR
+  # Extract the first word of "ar", so it can be a program name with args.
+set dummy ar; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_ac_ct_AR+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_AR"; then
+  ac_cv_prog_ac_ct_AR="$ac_ct_AR" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_ac_ct_AR="ar"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_AR=$ac_cv_prog_ac_ct_AR
+if test -n "$ac_ct_AR"; then
+  { $as_echo "$as_me:$LINENO: result: $ac_ct_AR" >&5
+$as_echo "$ac_ct_AR" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+  if test "x$ac_ct_AR" = x; then
+    AR="false"
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:$LINENO: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    AR=$ac_ct_AR
+  fi
+else
+  AR="$ac_cv_prog_AR"
+fi
+
+test -z "$AR" && AR=ar
+test -z "$AR_FLAGS" && AR_FLAGS=cru
+
+
+
+
+
+
+
+
+
+
+
+if test -n "$ac_tool_prefix"; then
+  # Extract the first word of "${ac_tool_prefix}strip", so it can be a program name with args.
+set dummy ${ac_tool_prefix}strip; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_STRIP+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$STRIP"; then
+  ac_cv_prog_STRIP="$STRIP" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_STRIP="${ac_tool_prefix}strip"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+STRIP=$ac_cv_prog_STRIP
+if test -n "$STRIP"; then
+  { $as_echo "$as_me:$LINENO: result: $STRIP" >&5
+$as_echo "$STRIP" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+fi
+if test -z "$ac_cv_prog_STRIP"; then
+  ac_ct_STRIP=$STRIP
+  # Extract the first word of "strip", so it can be a program name with args.
+set dummy strip; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_ac_ct_STRIP+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_STRIP"; then
+  ac_cv_prog_ac_ct_STRIP="$ac_ct_STRIP" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_ac_ct_STRIP="strip"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_STRIP=$ac_cv_prog_ac_ct_STRIP
+if test -n "$ac_ct_STRIP"; then
+  { $as_echo "$as_me:$LINENO: result: $ac_ct_STRIP" >&5
+$as_echo "$ac_ct_STRIP" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+  if test "x$ac_ct_STRIP" = x; then
+    STRIP=":"
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:$LINENO: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    STRIP=$ac_ct_STRIP
+  fi
+else
+  STRIP="$ac_cv_prog_STRIP"
+fi
+
+test -z "$STRIP" && STRIP=:
+
+
+
+
+
+
+if test -n "$ac_tool_prefix"; then
+  # Extract the first word of "${ac_tool_prefix}ranlib", so it can be a program name with args.
+set dummy ${ac_tool_prefix}ranlib; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_RANLIB+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$RANLIB"; then
+  ac_cv_prog_RANLIB="$RANLIB" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_RANLIB="${ac_tool_prefix}ranlib"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+RANLIB=$ac_cv_prog_RANLIB
+if test -n "$RANLIB"; then
+  { $as_echo "$as_me:$LINENO: result: $RANLIB" >&5
+$as_echo "$RANLIB" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+fi
+if test -z "$ac_cv_prog_RANLIB"; then
+  ac_ct_RANLIB=$RANLIB
+  # Extract the first word of "ranlib", so it can be a program name with args.
+set dummy ranlib; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_ac_ct_RANLIB+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_RANLIB"; then
+  ac_cv_prog_ac_ct_RANLIB="$ac_ct_RANLIB" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_ac_ct_RANLIB="ranlib"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_RANLIB=$ac_cv_prog_ac_ct_RANLIB
+if test -n "$ac_ct_RANLIB"; then
+  { $as_echo "$as_me:$LINENO: result: $ac_ct_RANLIB" >&5
+$as_echo "$ac_ct_RANLIB" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+  if test "x$ac_ct_RANLIB" = x; then
+    RANLIB=":"
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:$LINENO: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    RANLIB=$ac_ct_RANLIB
+  fi
+else
+  RANLIB="$ac_cv_prog_RANLIB"
+fi
+
+test -z "$RANLIB" && RANLIB=:
+
+
+
+
+
+
+# Determine commands to create old-style static archives.
+old_archive_cmds='$AR $AR_FLAGS $oldlib$oldobjs'
+old_postinstall_cmds='chmod 644 $oldlib'
+old_postuninstall_cmds=
+
+if test -n "$RANLIB"; then
+  case $host_os in
+  openbsd*)
+    old_postinstall_cmds="$old_postinstall_cmds~\$RANLIB -t \$oldlib"
+    ;;
+  *)
+    old_postinstall_cmds="$old_postinstall_cmds~\$RANLIB \$oldlib"
+    ;;
+  esac
+  old_archive_cmds="$old_archive_cmds~\$RANLIB \$oldlib"
+fi
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+# If no C compiler was specified, use CC.
+LTCC=${LTCC-"$CC"}
+
+# If no C compiler flags were specified, use CFLAGS.
+LTCFLAGS=${LTCFLAGS-"$CFLAGS"}
+
+# Allow CC to be a program name with arguments.
+compiler=$CC
+
+
+# Check for command to grab the raw symbol name followed by C symbol from nm.
+{ $as_echo "$as_me:$LINENO: checking command to parse $NM output from $compiler object" >&5
+$as_echo_n "checking command to parse $NM output from $compiler object... " >&6; }
+if test "${lt_cv_sys_global_symbol_pipe+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+
+# These are sane defaults that work on at least a few old systems.
+# [They come from Ultrix.  What could be older than Ultrix?!! ;)]
+
+# Character class describing NM global symbol codes.
+symcode='[BCDEGRST]'
+
+# Regexp to match symbols that can be accessed directly from C.
+sympat='\([_A-Za-z][_A-Za-z0-9]*\)'
+
+# Define system-specific variables.
+case $host_os in
+aix*)
+  symcode='[BCDT]'
+  ;;
+cygwin* | mingw* | pw32* | cegcc*)
+  symcode='[ABCDGISTW]'
+  ;;
+hpux*)
+  if test "$host_cpu" = ia64; then
+    symcode='[ABCDEGRST]'
+  fi
+  ;;
+irix* | nonstopux*)
+  symcode='[BCDEGRST]'
+  ;;
+osf*)
+  symcode='[BCDEGQRST]'
+  ;;
+solaris*)
+  symcode='[BDRT]'
+  ;;
+sco3.2v5*)
+  symcode='[DT]'
+  ;;
+sysv4.2uw2*)
+  symcode='[DT]'
+  ;;
+sysv5* | sco5v6* | unixware* | OpenUNIX*)
+  symcode='[ABDT]'
+  ;;
+sysv4)
+  symcode='[DFNSTU]'
+  ;;
+esac
+
+# If we're using GNU nm, then use its standard symbol codes.
+case `$NM -V 2>&1` in
+*GNU* | *'with BFD'*)
+  symcode='[ABCDGIRSTW]' ;;
+esac
+
+# Transform an extracted symbol line into a proper C declaration.
+# Some systems (esp. on ia64) link data and code symbols differently,
+# so use this general approach.
+lt_cv_sys_global_symbol_to_cdecl="sed -n -e 's/^T .* \(.*\)$/extern int \1();/p' -e 's/^$symcode* .* \(.*\)$/extern char \1;/p'"
+
+# Transform an extracted symbol line into symbol name and symbol address
+lt_cv_sys_global_symbol_to_c_name_address="sed -n -e 's/^: \([^ ]*\) $/  {\\\"\1\\\", (void *) 0},/p' -e 's/^$symcode* \([^ ]*\) \([^ ]*\)$/  {\"\2\", (void *) \&\2},/p'"
+lt_cv_sys_global_symbol_to_c_name_address_lib_prefix="sed -n -e 's/^: \([^ ]*\) $/  {\\\"\1\\\", (void *) 0},/p' -e 's/^$symcode* \([^ ]*\) \(lib[^ ]*\)$/  {\"\2\", (void *) \&\2},/p' -e 's/^$symcode* \([^ ]*\) \([^ ]*\)$/  {\"lib\2\", (void *) \&\2},/p'"
+
+# Handle CRLF in mingw tool chain
+opt_cr=
+case $build_os in
+mingw*)
+  opt_cr=`$ECHO 'x\{0,1\}' | tr x '\015'` # option cr in regexp
+  ;;
+esac
+
+# Try without a prefix underscore, then with it.
+for ac_symprfx in "" "_"; do
+
+  # Transform symcode, sympat, and symprfx into a raw symbol and a C symbol.
+  symxfrm="\\1 $ac_symprfx\\2 \\2"
+
+  # Write the raw and C identifiers.
+  if test "$lt_cv_nm_interface" = "MS dumpbin"; then
+    # Fake it for dumpbin and say T for any non-static function
+    # and D for any global variable.
+    # Also find C++ and __fastcall symbols from MSVC++,
+    # which start with @ or ?.
+    lt_cv_sys_global_symbol_pipe="$AWK '"\
+"     {last_section=section; section=\$ 3};"\
+"     /Section length .*#relocs.*(pick any)/{hide[last_section]=1};"\
+"     \$ 0!~/External *\|/{next};"\
+"     / 0+ UNDEF /{next}; / UNDEF \([^|]\)*()/{next};"\
+"     {if(hide[section]) next};"\
+"     {f=0}; \$ 0~/\(\).*\|/{f=1}; {printf f ? \"T \" : \"D \"};"\
+"     {split(\$ 0, a, /\||\r/); split(a[2], s)};"\
+"     s[1]~/^[@?]/{print s[1], s[1]; next};"\
+"     s[1]~prfx {split(s[1],t,\"@\"); print t[1], substr(t[1],length(prfx))}"\
+"     ' prfx=^$ac_symprfx"
+  else
+    lt_cv_sys_global_symbol_pipe="sed -n -e 's/^.*[     ]\($symcode$symcode*\)[         ][      ]*$ac_symprfx$sympat$opt_cr$/$symxfrm/p'"
+  fi
+
+  # Check to see that the pipe works correctly.
+  pipe_works=no
+
+  rm -f conftest*
+  cat > conftest.$ac_ext <<_LT_EOF
+#ifdef __cplusplus
+extern "C" {
+#endif
+char nm_test_var;
+void nm_test_func(void);
+void nm_test_func(void){}
+#ifdef __cplusplus
+}
+#endif
+int main(){nm_test_var='a';nm_test_func();return(0);}
+_LT_EOF
+
+  if { (eval echo "$as_me:$LINENO: \"$ac_compile\"") >&5
+  (eval $ac_compile) 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+    # Now try to grab the symbols.
+    nlist=conftest.nm
+    if { (eval echo "$as_me:$LINENO: \"$NM conftest.$ac_objext \| $lt_cv_sys_global_symbol_pipe \> $nlist\"") >&5
+  (eval $NM conftest.$ac_objext \| $lt_cv_sys_global_symbol_pipe \> $nlist) 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && test -s "$nlist"; then
+      # Try sorting and uniquifying the output.
+      if sort "$nlist" | uniq > "$nlist"T; then
+       mv -f "$nlist"T "$nlist"
+      else
+       rm -f "$nlist"T
+      fi
+
+      # Make sure that we snagged all the symbols we need.
+      if $GREP ' nm_test_var$' "$nlist" >/dev/null; then
+       if $GREP ' nm_test_func$' "$nlist" >/dev/null; then
+         cat <<_LT_EOF > conftest.$ac_ext
+#ifdef __cplusplus
+extern "C" {
+#endif
+
+_LT_EOF
+         # Now generate the symbol file.
+         eval "$lt_cv_sys_global_symbol_to_cdecl"' < "$nlist" | $GREP -v main >> conftest.$ac_ext'
+
+         cat <<_LT_EOF >> conftest.$ac_ext
+
+/* The mapping between symbol names and symbols.  */
+const struct {
+  const char *name;
+  void       *address;
+}
+lt__PROGRAM__LTX_preloaded_symbols[] =
+{
+  { "@PROGRAM@", (void *) 0 },
+_LT_EOF
+         $SED "s/^$symcode$symcode* \(.*\) \(.*\)$/  {\"\2\", (void *) \&\2},/" < "$nlist" | $GREP -v main >> conftest.$ac_ext
+         cat <<\_LT_EOF >> conftest.$ac_ext
+  {0, (void *) 0}
+};
+
+/* This works around a problem in FreeBSD linker */
+#ifdef FREEBSD_WORKAROUND
+static const void *lt_preloaded_setup() {
+  return lt__PROGRAM__LTX_preloaded_symbols;
+}
+#endif
+
+#ifdef __cplusplus
+}
+#endif
+_LT_EOF
+         # Now try linking the two files.
+         mv conftest.$ac_objext conftstm.$ac_objext
+         lt_save_LIBS="$LIBS"
+         lt_save_CFLAGS="$CFLAGS"
+         LIBS="conftstm.$ac_objext"
+         CFLAGS="$CFLAGS$lt_prog_compiler_no_builtin_flag"
+         if { (eval echo "$as_me:$LINENO: \"$ac_link\"") >&5
+  (eval $ac_link) 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && test -s conftest${ac_exeext}; then
+           pipe_works=yes
+         fi
+         LIBS="$lt_save_LIBS"
+         CFLAGS="$lt_save_CFLAGS"
+       else
+         echo "cannot find nm_test_func in $nlist" >&5
+       fi
+      else
+       echo "cannot find nm_test_var in $nlist" >&5
+      fi
+    else
+      echo "cannot run $lt_cv_sys_global_symbol_pipe" >&5
+    fi
+  else
+    echo "$progname: failed program was:" >&5
+    cat conftest.$ac_ext >&5
+  fi
+  rm -rf conftest* conftst*
+
+  # Do not use the global_symbol_pipe unless it works.
+  if test "$pipe_works" = yes; then
+    break
+  else
+    lt_cv_sys_global_symbol_pipe=
+  fi
+done
+
+fi
+
+if test -z "$lt_cv_sys_global_symbol_pipe"; then
+  lt_cv_sys_global_symbol_to_cdecl=
+fi
+if test -z "$lt_cv_sys_global_symbol_pipe$lt_cv_sys_global_symbol_to_cdecl"; then
+  { $as_echo "$as_me:$LINENO: result: failed" >&5
+$as_echo "failed" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: ok" >&5
+$as_echo "ok" >&6; }
+fi
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+# Check whether --enable-libtool-lock was given.
+if test "${enable_libtool_lock+set}" = set; then
+  enableval=$enable_libtool_lock;
+fi
+
+test "x$enable_libtool_lock" != xno && enable_libtool_lock=yes
+
+# Some flags need to be propagated to the compiler or linker for good
+# libtool support.
+case $host in
+ia64-*-hpux*)
+  # Find out which ABI we are using.
+  echo 'int i;' > conftest.$ac_ext
+  if { (eval echo "$as_me:$LINENO: \"$ac_compile\"") >&5
+  (eval $ac_compile) 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+    case `/usr/bin/file conftest.$ac_objext` in
+      *ELF-32*)
+       HPUX_IA64_MODE="32"
+       ;;
+      *ELF-64*)
+       HPUX_IA64_MODE="64"
+       ;;
+    esac
+  fi
+  rm -rf conftest*
+  ;;
+*-*-irix6*)
+  # Find out which ABI we are using.
+  echo '#line 8754 "configure"' > conftest.$ac_ext
+  if { (eval echo "$as_me:$LINENO: \"$ac_compile\"") >&5
+  (eval $ac_compile) 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+    if test "$lt_cv_prog_gnu_ld" = yes; then
+      case `/usr/bin/file conftest.$ac_objext` in
+       *32-bit*)
+         LD="${LD-ld} -melf32bsmip"
+         ;;
+       *N32*)
+         LD="${LD-ld} -melf32bmipn32"
+         ;;
+       *64-bit*)
+         LD="${LD-ld} -melf64bmip"
+       ;;
+      esac
+    else
+      case `/usr/bin/file conftest.$ac_objext` in
+       *32-bit*)
+         LD="${LD-ld} -32"
+         ;;
+       *N32*)
+         LD="${LD-ld} -n32"
+         ;;
+       *64-bit*)
+         LD="${LD-ld} -64"
+         ;;
+      esac
+    fi
+  fi
+  rm -rf conftest*
+  ;;
+
+x86_64-*kfreebsd*-gnu|x86_64-*linux*|ppc*-*linux*|powerpc*-*linux*| \
+s390*-*linux*|s390*-*tpf*|sparc*-*linux*)
+  # Find out which ABI we are using.
+  echo 'int i;' > conftest.$ac_ext
+  if { (eval echo "$as_me:$LINENO: \"$ac_compile\"") >&5
+  (eval $ac_compile) 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+    case `/usr/bin/file conftest.o` in
+      *32-bit*)
+       case $host in
+         x86_64-*kfreebsd*-gnu)
+           LD="${LD-ld} -m elf_i386_fbsd"
+           ;;
+         x86_64-*linux*)
+           LD="${LD-ld} -m elf_i386"
+           ;;
+         ppc64-*linux*|powerpc64-*linux*)
+           LD="${LD-ld} -m elf32ppclinux"
+           ;;
+         s390x-*linux*)
+           LD="${LD-ld} -m elf_s390"
+           ;;
+         sparc64-*linux*)
+           LD="${LD-ld} -m elf32_sparc"
+           ;;
+       esac
+       ;;
+      *64-bit*)
+       case $host in
+         x86_64-*kfreebsd*-gnu)
+           LD="${LD-ld} -m elf_x86_64_fbsd"
+           ;;
+         x86_64-*linux*)
+           LD="${LD-ld} -m elf_x86_64"
+           ;;
+         ppc*-*linux*|powerpc*-*linux*)
+           LD="${LD-ld} -m elf64ppc"
+           ;;
+         s390*-*linux*|s390*-*tpf*)
+           LD="${LD-ld} -m elf64_s390"
+           ;;
+         sparc*-*linux*)
+           LD="${LD-ld} -m elf64_sparc"
+           ;;
+       esac
+       ;;
+    esac
+  fi
+  rm -rf conftest*
+  ;;
+
+*-*-sco3.2v5*)
+  # On SCO OpenServer 5, we need -belf to get full-featured binaries.
+  SAVE_CFLAGS="$CFLAGS"
+  CFLAGS="$CFLAGS -belf"
+  { $as_echo "$as_me:$LINENO: checking whether the C compiler needs -belf" >&5
+$as_echo_n "checking whether the C compiler needs -belf... " >&6; }
+if test "${lt_cv_cc_needs_belf+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+     cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  lt_cv_cc_needs_belf=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       lt_cv_cc_needs_belf=no
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+     ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+fi
+{ $as_echo "$as_me:$LINENO: result: $lt_cv_cc_needs_belf" >&5
+$as_echo "$lt_cv_cc_needs_belf" >&6; }
+  if test x"$lt_cv_cc_needs_belf" != x"yes"; then
+    # this is probably gcc 2.8.0, egcs 1.0 or newer; no need for -belf
+    CFLAGS="$SAVE_CFLAGS"
+  fi
+  ;;
+sparc*-*solaris*)
+  # Find out which ABI we are using.
+  echo 'int i;' > conftest.$ac_ext
+  if { (eval echo "$as_me:$LINENO: \"$ac_compile\"") >&5
+  (eval $ac_compile) 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+    case `/usr/bin/file conftest.o` in
+    *64-bit*)
+      case $lt_cv_prog_gnu_ld in
+      yes*) LD="${LD-ld} -m elf64_sparc" ;;
+      *)
+       if ${LD-ld} -64 -r -o conftest2.o conftest.o >/dev/null 2>&1; then
+         LD="${LD-ld} -64"
+       fi
+       ;;
+      esac
+      ;;
+    esac
+  fi
+  rm -rf conftest*
+  ;;
+esac
+
+need_locks="$enable_libtool_lock"
+
+
+  case $host_os in
+    rhapsody* | darwin*)
+    if test -n "$ac_tool_prefix"; then
+  # Extract the first word of "${ac_tool_prefix}dsymutil", so it can be a program name with args.
+set dummy ${ac_tool_prefix}dsymutil; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_DSYMUTIL+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$DSYMUTIL"; then
+  ac_cv_prog_DSYMUTIL="$DSYMUTIL" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_DSYMUTIL="${ac_tool_prefix}dsymutil"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+DSYMUTIL=$ac_cv_prog_DSYMUTIL
+if test -n "$DSYMUTIL"; then
+  { $as_echo "$as_me:$LINENO: result: $DSYMUTIL" >&5
+$as_echo "$DSYMUTIL" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+fi
+if test -z "$ac_cv_prog_DSYMUTIL"; then
+  ac_ct_DSYMUTIL=$DSYMUTIL
+  # Extract the first word of "dsymutil", so it can be a program name with args.
+set dummy dsymutil; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_ac_ct_DSYMUTIL+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_DSYMUTIL"; then
+  ac_cv_prog_ac_ct_DSYMUTIL="$ac_ct_DSYMUTIL" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_ac_ct_DSYMUTIL="dsymutil"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_DSYMUTIL=$ac_cv_prog_ac_ct_DSYMUTIL
+if test -n "$ac_ct_DSYMUTIL"; then
+  { $as_echo "$as_me:$LINENO: result: $ac_ct_DSYMUTIL" >&5
+$as_echo "$ac_ct_DSYMUTIL" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+  if test "x$ac_ct_DSYMUTIL" = x; then
+    DSYMUTIL=":"
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:$LINENO: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    DSYMUTIL=$ac_ct_DSYMUTIL
+  fi
+else
+  DSYMUTIL="$ac_cv_prog_DSYMUTIL"
+fi
+
+    if test -n "$ac_tool_prefix"; then
+  # Extract the first word of "${ac_tool_prefix}nmedit", so it can be a program name with args.
+set dummy ${ac_tool_prefix}nmedit; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_NMEDIT+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$NMEDIT"; then
+  ac_cv_prog_NMEDIT="$NMEDIT" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_NMEDIT="${ac_tool_prefix}nmedit"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+NMEDIT=$ac_cv_prog_NMEDIT
+if test -n "$NMEDIT"; then
+  { $as_echo "$as_me:$LINENO: result: $NMEDIT" >&5
+$as_echo "$NMEDIT" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+fi
+if test -z "$ac_cv_prog_NMEDIT"; then
+  ac_ct_NMEDIT=$NMEDIT
+  # Extract the first word of "nmedit", so it can be a program name with args.
+set dummy nmedit; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_ac_ct_NMEDIT+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_NMEDIT"; then
+  ac_cv_prog_ac_ct_NMEDIT="$ac_ct_NMEDIT" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_ac_ct_NMEDIT="nmedit"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_NMEDIT=$ac_cv_prog_ac_ct_NMEDIT
+if test -n "$ac_ct_NMEDIT"; then
+  { $as_echo "$as_me:$LINENO: result: $ac_ct_NMEDIT" >&5
+$as_echo "$ac_ct_NMEDIT" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+  if test "x$ac_ct_NMEDIT" = x; then
+    NMEDIT=":"
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:$LINENO: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    NMEDIT=$ac_ct_NMEDIT
+  fi
+else
+  NMEDIT="$ac_cv_prog_NMEDIT"
+fi
+
+    if test -n "$ac_tool_prefix"; then
+  # Extract the first word of "${ac_tool_prefix}lipo", so it can be a program name with args.
+set dummy ${ac_tool_prefix}lipo; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_LIPO+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$LIPO"; then
+  ac_cv_prog_LIPO="$LIPO" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_LIPO="${ac_tool_prefix}lipo"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+LIPO=$ac_cv_prog_LIPO
+if test -n "$LIPO"; then
+  { $as_echo "$as_me:$LINENO: result: $LIPO" >&5
+$as_echo "$LIPO" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+fi
+if test -z "$ac_cv_prog_LIPO"; then
+  ac_ct_LIPO=$LIPO
+  # Extract the first word of "lipo", so it can be a program name with args.
+set dummy lipo; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_ac_ct_LIPO+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_LIPO"; then
+  ac_cv_prog_ac_ct_LIPO="$ac_ct_LIPO" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_ac_ct_LIPO="lipo"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_LIPO=$ac_cv_prog_ac_ct_LIPO
+if test -n "$ac_ct_LIPO"; then
+  { $as_echo "$as_me:$LINENO: result: $ac_ct_LIPO" >&5
+$as_echo "$ac_ct_LIPO" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+  if test "x$ac_ct_LIPO" = x; then
+    LIPO=":"
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:$LINENO: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    LIPO=$ac_ct_LIPO
+  fi
+else
+  LIPO="$ac_cv_prog_LIPO"
+fi
+
+    if test -n "$ac_tool_prefix"; then
+  # Extract the first word of "${ac_tool_prefix}otool", so it can be a program name with args.
+set dummy ${ac_tool_prefix}otool; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_OTOOL+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$OTOOL"; then
+  ac_cv_prog_OTOOL="$OTOOL" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_OTOOL="${ac_tool_prefix}otool"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+OTOOL=$ac_cv_prog_OTOOL
+if test -n "$OTOOL"; then
+  { $as_echo "$as_me:$LINENO: result: $OTOOL" >&5
+$as_echo "$OTOOL" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+fi
+if test -z "$ac_cv_prog_OTOOL"; then
+  ac_ct_OTOOL=$OTOOL
+  # Extract the first word of "otool", so it can be a program name with args.
+set dummy otool; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_ac_ct_OTOOL+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_OTOOL"; then
+  ac_cv_prog_ac_ct_OTOOL="$ac_ct_OTOOL" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_ac_ct_OTOOL="otool"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_OTOOL=$ac_cv_prog_ac_ct_OTOOL
+if test -n "$ac_ct_OTOOL"; then
+  { $as_echo "$as_me:$LINENO: result: $ac_ct_OTOOL" >&5
+$as_echo "$ac_ct_OTOOL" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+  if test "x$ac_ct_OTOOL" = x; then
+    OTOOL=":"
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:$LINENO: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    OTOOL=$ac_ct_OTOOL
+  fi
+else
+  OTOOL="$ac_cv_prog_OTOOL"
+fi
+
+    if test -n "$ac_tool_prefix"; then
+  # Extract the first word of "${ac_tool_prefix}otool64", so it can be a program name with args.
+set dummy ${ac_tool_prefix}otool64; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_OTOOL64+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$OTOOL64"; then
+  ac_cv_prog_OTOOL64="$OTOOL64" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_OTOOL64="${ac_tool_prefix}otool64"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+OTOOL64=$ac_cv_prog_OTOOL64
+if test -n "$OTOOL64"; then
+  { $as_echo "$as_me:$LINENO: result: $OTOOL64" >&5
+$as_echo "$OTOOL64" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+fi
+if test -z "$ac_cv_prog_OTOOL64"; then
+  ac_ct_OTOOL64=$OTOOL64
+  # Extract the first word of "otool64", so it can be a program name with args.
+set dummy otool64; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_ac_ct_OTOOL64+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_OTOOL64"; then
+  ac_cv_prog_ac_ct_OTOOL64="$ac_ct_OTOOL64" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_ac_ct_OTOOL64="otool64"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_OTOOL64=$ac_cv_prog_ac_ct_OTOOL64
+if test -n "$ac_ct_OTOOL64"; then
+  { $as_echo "$as_me:$LINENO: result: $ac_ct_OTOOL64" >&5
+$as_echo "$ac_ct_OTOOL64" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+  if test "x$ac_ct_OTOOL64" = x; then
+    OTOOL64=":"
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:$LINENO: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    OTOOL64=$ac_ct_OTOOL64
+  fi
+else
+  OTOOL64="$ac_cv_prog_OTOOL64"
+fi
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+    { $as_echo "$as_me:$LINENO: checking for -single_module linker flag" >&5
+$as_echo_n "checking for -single_module linker flag... " >&6; }
+if test "${lt_cv_apple_cc_single_mod+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  lt_cv_apple_cc_single_mod=no
+      if test -z "${LT_MULTI_MODULE}"; then
+       # By default we will add the -single_module flag. You can override
+       # by either setting the environment variable LT_MULTI_MODULE
+       # non-empty at configure time, or by adding -multi_module to the
+       # link flags.
+       rm -rf libconftest.dylib*
+       echo "int foo(void){return 1;}" > conftest.c
+       echo "$LTCC $LTCFLAGS $LDFLAGS -o libconftest.dylib \
+-dynamiclib -Wl,-single_module conftest.c" >&5
+       $LTCC $LTCFLAGS $LDFLAGS -o libconftest.dylib \
+         -dynamiclib -Wl,-single_module conftest.c 2>conftest.err
+        _lt_result=$?
+       if test -f libconftest.dylib && test ! -s conftest.err && test $_lt_result = 0; then
+         lt_cv_apple_cc_single_mod=yes
+       else
+         cat conftest.err >&5
+       fi
+       rm -rf libconftest.dylib*
+       rm -f conftest.*
+      fi
+fi
+{ $as_echo "$as_me:$LINENO: result: $lt_cv_apple_cc_single_mod" >&5
+$as_echo "$lt_cv_apple_cc_single_mod" >&6; }
+    { $as_echo "$as_me:$LINENO: checking for -exported_symbols_list linker flag" >&5
+$as_echo_n "checking for -exported_symbols_list linker flag... " >&6; }
+if test "${lt_cv_ld_exported_symbols_list+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  lt_cv_ld_exported_symbols_list=no
+      save_LDFLAGS=$LDFLAGS
+      echo "_main" > conftest.sym
+      LDFLAGS="$LDFLAGS -Wl,-exported_symbols_list,conftest.sym"
+      cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  lt_cv_ld_exported_symbols_list=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       lt_cv_ld_exported_symbols_list=no
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+       LDFLAGS="$save_LDFLAGS"
+
+fi
+{ $as_echo "$as_me:$LINENO: result: $lt_cv_ld_exported_symbols_list" >&5
+$as_echo "$lt_cv_ld_exported_symbols_list" >&6; }
+    case $host_os in
+    rhapsody* | darwin1.[012])
+      _lt_dar_allow_undefined='${wl}-undefined ${wl}suppress' ;;
+    darwin1.*)
+      _lt_dar_allow_undefined='${wl}-flat_namespace ${wl}-undefined ${wl}suppress' ;;
+    darwin*) # darwin 5.x on
+      # if running on 10.5 or later, the deployment target defaults
+      # to the OS version, if on x86, and 10.4, the deployment
+      # target defaults to 10.4. Don't you love it?
+      case ${MACOSX_DEPLOYMENT_TARGET-10.0},$host in
+       10.0,*86*-darwin8*|10.0,*-darwin[91]*)
+         _lt_dar_allow_undefined='${wl}-undefined ${wl}dynamic_lookup' ;;
+       10.[012]*)
+         _lt_dar_allow_undefined='${wl}-flat_namespace ${wl}-undefined ${wl}suppress' ;;
+       10.*)
+         _lt_dar_allow_undefined='${wl}-undefined ${wl}dynamic_lookup' ;;
+      esac
+    ;;
+  esac
+    if test "$lt_cv_apple_cc_single_mod" = "yes"; then
+      _lt_dar_single_mod='$single_module'
+    fi
+    if test "$lt_cv_ld_exported_symbols_list" = "yes"; then
+      _lt_dar_export_syms=' ${wl}-exported_symbols_list,$output_objdir/${libname}-symbols.expsym'
+    else
+      _lt_dar_export_syms='~$NMEDIT -s $output_objdir/${libname}-symbols.expsym ${lib}'
+    fi
+    if test "$DSYMUTIL" != ":"; then
+      _lt_dsymutil='~$DSYMUTIL $lib || :'
+    else
+      _lt_dsymutil=
+    fi
+    ;;
+  esac
+
+
+for ac_header in dlfcn.h
+do
+as_ac_Header=`$as_echo "ac_cv_header_$ac_header" | $as_tr_sh`
+{ $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
+$as_echo_n "checking for $ac_header... " >&6; }
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+$ac_includes_default
+
+#include <$ac_header>
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  eval "$as_ac_Header=yes"
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       eval "$as_ac_Header=no"
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+fi
+ac_res=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+as_val=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+   if test "x$as_val" = x""yes; then
+  cat >>confdefs.h <<_ACEOF
+#define `$as_echo "HAVE_$ac_header" | $as_tr_cpp` 1
+_ACEOF
+
+fi
+
+done
+
+
+
+ac_ext=cpp
+ac_cpp='$CXXCPP $CPPFLAGS'
+ac_compile='$CXX -c $CXXFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CXX -o conftest$ac_exeext $CXXFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_cxx_compiler_gnu
+if test -z "$CXX"; then
+  if test -n "$CCC"; then
+    CXX=$CCC
+  else
+    if test -n "$ac_tool_prefix"; then
+  for ac_prog in g++ c++ gpp aCC CC cxx cc++ cl.exe FCC KCC RCC xlC_r xlC
+  do
+    # Extract the first word of "$ac_tool_prefix$ac_prog", so it can be a program name with args.
+set dummy $ac_tool_prefix$ac_prog; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_CXX+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$CXX"; then
+  ac_cv_prog_CXX="$CXX" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_CXX="$ac_tool_prefix$ac_prog"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+CXX=$ac_cv_prog_CXX
+if test -n "$CXX"; then
+  { $as_echo "$as_me:$LINENO: result: $CXX" >&5
+$as_echo "$CXX" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+    test -n "$CXX" && break
+  done
+fi
+if test -z "$CXX"; then
+  ac_ct_CXX=$CXX
+  for ac_prog in g++ c++ gpp aCC CC cxx cc++ cl.exe FCC KCC RCC xlC_r xlC
+do
+  # Extract the first word of "$ac_prog", so it can be a program name with args.
+set dummy $ac_prog; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_ac_ct_CXX+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_CXX"; then
+  ac_cv_prog_ac_ct_CXX="$ac_ct_CXX" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_ac_ct_CXX="$ac_prog"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_CXX=$ac_cv_prog_ac_ct_CXX
+if test -n "$ac_ct_CXX"; then
+  { $as_echo "$as_me:$LINENO: result: $ac_ct_CXX" >&5
+$as_echo "$ac_ct_CXX" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+  test -n "$ac_ct_CXX" && break
+done
+
+  if test "x$ac_ct_CXX" = x; then
+    CXX="g++"
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:$LINENO: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    CXX=$ac_ct_CXX
+  fi
+fi
+
+  fi
+fi
+# Provide some information about the compiler.
+$as_echo "$as_me:$LINENO: checking for C++ compiler version" >&5
+set X $ac_compile
+ac_compiler=$2
+{ (ac_try="$ac_compiler --version >&5"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compiler --version >&5") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }
+{ (ac_try="$ac_compiler -v >&5"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compiler -v >&5") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }
+{ (ac_try="$ac_compiler -V >&5"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compiler -V >&5") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }
+
+{ $as_echo "$as_me:$LINENO: checking whether we are using the GNU C++ compiler" >&5
+$as_echo_n "checking whether we are using the GNU C++ compiler... " >&6; }
+if test "${ac_cv_cxx_compiler_gnu+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+int
+main ()
+{
+#ifndef __GNUC__
+       choke me
+#endif
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_cxx_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_compiler_gnu=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_compiler_gnu=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+ac_cv_cxx_compiler_gnu=$ac_compiler_gnu
+
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_cxx_compiler_gnu" >&5
+$as_echo "$ac_cv_cxx_compiler_gnu" >&6; }
+if test $ac_compiler_gnu = yes; then
+  GXX=yes
+else
+  GXX=
+fi
+ac_test_CXXFLAGS=${CXXFLAGS+set}
+ac_save_CXXFLAGS=$CXXFLAGS
+{ $as_echo "$as_me:$LINENO: checking whether $CXX accepts -g" >&5
+$as_echo_n "checking whether $CXX accepts -g... " >&6; }
+if test "${ac_cv_prog_cxx_g+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_save_cxx_werror_flag=$ac_cxx_werror_flag
+   ac_cxx_werror_flag=yes
+   ac_cv_prog_cxx_g=no
+   CXXFLAGS="-g"
+   cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_cxx_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_cv_prog_cxx_g=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       CXXFLAGS=""
+      cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_cxx_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  :
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cxx_werror_flag=$ac_save_cxx_werror_flag
+        CXXFLAGS="-g"
+        cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_cxx_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_cv_prog_cxx_g=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+   ac_cxx_werror_flag=$ac_save_cxx_werror_flag
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_prog_cxx_g" >&5
+$as_echo "$ac_cv_prog_cxx_g" >&6; }
+if test "$ac_test_CXXFLAGS" = set; then
+  CXXFLAGS=$ac_save_CXXFLAGS
+elif test $ac_cv_prog_cxx_g = yes; then
+  if test "$GXX" = yes; then
+    CXXFLAGS="-g -O2"
+  else
+    CXXFLAGS="-g"
+  fi
+else
+  if test "$GXX" = yes; then
+    CXXFLAGS="-O2"
+  else
+    CXXFLAGS=
+  fi
+fi
+ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+depcc="$CXX"  am_compiler_list=
+
+{ $as_echo "$as_me:$LINENO: checking dependency style of $depcc" >&5
+$as_echo_n "checking dependency style of $depcc... " >&6; }
+if test "${am_cv_CXX_dependencies_compiler_type+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -z "$AMDEP_TRUE" && test -f "$am_depcomp"; then
+  # We make a subdir and do the tests there.  Otherwise we can end up
+  # making bogus files that we don't know about and never remove.  For
+  # instance it was reported that on HP-UX the gcc test will end up
+  # making a dummy file named `D' -- because `-MD' means `put the output
+  # in D'.
+  mkdir conftest.dir
+  # Copy depcomp to subdir because otherwise we won't find it if we're
+  # using a relative directory.
+  cp "$am_depcomp" conftest.dir
+  cd conftest.dir
+  # We will build objects and dependencies in a subdirectory because
+  # it helps to detect inapplicable dependency modes.  For instance
+  # both Tru64's cc and ICC support -MD to output dependencies as a
+  # side effect of compilation, but ICC will put the dependencies in
+  # the current directory while Tru64 will put them in the object
+  # directory.
+  mkdir sub
+
+  am_cv_CXX_dependencies_compiler_type=none
+  if test "$am_compiler_list" = ""; then
+     am_compiler_list=`sed -n 's/^#*\([a-zA-Z0-9]*\))$/\1/p' < ./depcomp`
+  fi
+  for depmode in $am_compiler_list; do
+    # Setup a source with many dependencies, because some compilers
+    # like to wrap large dependency lists on column 80 (with \), and
+    # we should not choose a depcomp mode which is confused by this.
+    #
+    # We need to recreate these files for each test, as the compiler may
+    # overwrite some of them when testing with obscure command lines.
+    # This happens at least with the AIX C compiler.
+    : > sub/conftest.c
+    for i in 1 2 3 4 5 6; do
+      echo '#include "conftst'$i'.h"' >> sub/conftest.c
+      # Using `: > sub/conftst$i.h' creates only sub/conftst1.h with
+      # Solaris 8's {/usr,}/bin/sh.
+      touch sub/conftst$i.h
+    done
+    echo "${am__include} ${am__quote}sub/conftest.Po${am__quote}" > confmf
+
+    case $depmode in
+    nosideeffect)
+      # after this tag, mechanisms are not by side-effect, so they'll
+      # only be used when explicitly requested
+      if test "x$enable_dependency_tracking" = xyes; then
+       continue
+      else
+       break
+      fi
+      ;;
+    none) break ;;
+    esac
+    # We check with `-c' and `-o' for the sake of the "dashmstdout"
+    # mode.  It turns out that the SunPro C++ compiler does not properly
+    # handle `-M -o', and we need to detect this.
+    if depmode=$depmode \
+       source=sub/conftest.c object=sub/conftest.${OBJEXT-o} \
+       depfile=sub/conftest.Po tmpdepfile=sub/conftest.TPo \
+       $SHELL ./depcomp $depcc -c -o sub/conftest.${OBJEXT-o} sub/conftest.c \
+         >/dev/null 2>conftest.err &&
+       grep sub/conftst1.h sub/conftest.Po > /dev/null 2>&1 &&
+       grep sub/conftst6.h sub/conftest.Po > /dev/null 2>&1 &&
+       grep sub/conftest.${OBJEXT-o} sub/conftest.Po > /dev/null 2>&1 &&
+       ${MAKE-make} -s -f confmf > /dev/null 2>&1; then
+      # icc doesn't choke on unknown options, it will just issue warnings
+      # or remarks (even with -Werror).  So we grep stderr for any message
+      # that says an option was ignored or not supported.
+      # When given -MP, icc 7.0 and 7.1 complain thusly:
+      #   icc: Command line warning: ignoring option '-M'; no argument required
+      # The diagnosis changed in icc 8.0:
+      #   icc: Command line remark: option '-MP' not supported
+      if (grep 'ignoring option' conftest.err ||
+          grep 'not supported' conftest.err) >/dev/null 2>&1; then :; else
+        am_cv_CXX_dependencies_compiler_type=$depmode
+        break
+      fi
+    fi
+  done
+
+  cd ..
+  rm -rf conftest.dir
+else
+  am_cv_CXX_dependencies_compiler_type=none
+fi
+
+fi
+{ $as_echo "$as_me:$LINENO: result: $am_cv_CXX_dependencies_compiler_type" >&5
+$as_echo "$am_cv_CXX_dependencies_compiler_type" >&6; }
+CXXDEPMODE=depmode=$am_cv_CXX_dependencies_compiler_type
+
+ if
+  test "x$enable_dependency_tracking" != xno \
+  && test "$am_cv_CXX_dependencies_compiler_type" = gcc3; then
+  am__fastdepCXX_TRUE=
+  am__fastdepCXX_FALSE='#'
+else
+  am__fastdepCXX_TRUE='#'
+  am__fastdepCXX_FALSE=
+fi
+
+
+if test -n "$CXX" && ( test "X$CXX" != "Xno" &&
+    ( (test "X$CXX" = "Xg++" && `g++ -v >/dev/null 2>&1` ) ||
+    (test "X$CXX" != "Xg++"))) ; then
+  ac_ext=cpp
+ac_cpp='$CXXCPP $CPPFLAGS'
+ac_compile='$CXX -c $CXXFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CXX -o conftest$ac_exeext $CXXFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_cxx_compiler_gnu
+{ $as_echo "$as_me:$LINENO: checking how to run the C++ preprocessor" >&5
+$as_echo_n "checking how to run the C++ preprocessor... " >&6; }
+if test -z "$CXXCPP"; then
+  if test "${ac_cv_prog_CXXCPP+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+      # Double quotes because CXXCPP needs to be expanded
+    for CXXCPP in "$CXX -E" "/lib/cpp"
+    do
+      ac_preproc_ok=false
+for ac_cxx_preproc_warn_flag in '' yes
+do
+  # Use a header file that comes with gcc, so configuring glibc
+  # with a fresh cross-compiler works.
+  # Prefer <limits.h> to <assert.h> if __STDC__ is defined, since
+  # <limits.h> exists even on freestanding compilers.
+  # On the NeXT, cc -E runs the code through the compiler's parser,
+  # not just through cpp. "Syntax error" is here to catch this case.
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#ifdef __STDC__
+# include <limits.h>
+#else
+# include <assert.h>
+#endif
+                    Syntax error
+_ACEOF
+if { (ac_try="$ac_cpp conftest.$ac_ext"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } >/dev/null && {
+        test -z "$ac_cxx_preproc_warn_flag$ac_cxx_werror_flag" ||
+        test ! -s conftest.err
+       }; then
+  :
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+  # Broken: fails on valid input.
+continue
+fi
+
+rm -f conftest.err conftest.$ac_ext
+
+  # OK, works on sane cases.  Now check whether nonexistent headers
+  # can be detected and how.
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <ac_nonexistent.h>
+_ACEOF
+if { (ac_try="$ac_cpp conftest.$ac_ext"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } >/dev/null && {
+        test -z "$ac_cxx_preproc_warn_flag$ac_cxx_werror_flag" ||
+        test ! -s conftest.err
+       }; then
+  # Broken: success on invalid input.
+continue
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+  # Passes both tests.
+ac_preproc_ok=:
+break
+fi
+
+rm -f conftest.err conftest.$ac_ext
+
+done
+# Because of `break', _AC_PREPROC_IFELSE's cleaning code was skipped.
+rm -f conftest.err conftest.$ac_ext
+if $ac_preproc_ok; then
+  break
+fi
+
+    done
+    ac_cv_prog_CXXCPP=$CXXCPP
+
+fi
+  CXXCPP=$ac_cv_prog_CXXCPP
+else
+  ac_cv_prog_CXXCPP=$CXXCPP
+fi
+{ $as_echo "$as_me:$LINENO: result: $CXXCPP" >&5
+$as_echo "$CXXCPP" >&6; }
+ac_preproc_ok=false
+for ac_cxx_preproc_warn_flag in '' yes
+do
+  # Use a header file that comes with gcc, so configuring glibc
+  # with a fresh cross-compiler works.
+  # Prefer <limits.h> to <assert.h> if __STDC__ is defined, since
+  # <limits.h> exists even on freestanding compilers.
+  # On the NeXT, cc -E runs the code through the compiler's parser,
+  # not just through cpp. "Syntax error" is here to catch this case.
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#ifdef __STDC__
+# include <limits.h>
+#else
+# include <assert.h>
+#endif
+                    Syntax error
+_ACEOF
+if { (ac_try="$ac_cpp conftest.$ac_ext"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } >/dev/null && {
+        test -z "$ac_cxx_preproc_warn_flag$ac_cxx_werror_flag" ||
+        test ! -s conftest.err
+       }; then
+  :
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+  # Broken: fails on valid input.
+continue
+fi
+
+rm -f conftest.err conftest.$ac_ext
+
+  # OK, works on sane cases.  Now check whether nonexistent headers
+  # can be detected and how.
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <ac_nonexistent.h>
+_ACEOF
+if { (ac_try="$ac_cpp conftest.$ac_ext"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } >/dev/null && {
+        test -z "$ac_cxx_preproc_warn_flag$ac_cxx_werror_flag" ||
+        test ! -s conftest.err
+       }; then
+  # Broken: success on invalid input.
+continue
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+  # Passes both tests.
+ac_preproc_ok=:
+break
+fi
+
+rm -f conftest.err conftest.$ac_ext
+
+done
+# Because of `break', _AC_PREPROC_IFELSE's cleaning code was skipped.
+rm -f conftest.err conftest.$ac_ext
+if $ac_preproc_ok; then
+  :
+else
+  { { $as_echo "$as_me:$LINENO: error: in \`$ac_pwd':" >&5
+$as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
+_lt_caught_CXX_error=yes; }
+fi
+
+ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+else
+  _lt_caught_CXX_error=yes
+fi
+
+
+
+
+# Set options
+
+
+
+        enable_dlopen=no
+
+
+
+
+
+
+# Check whether --with-pic was given.
+if test "${with_pic+set}" = set; then
+  withval=$with_pic; pic_mode="$withval"
+else
+  pic_mode=default
+fi
+
+
+test -z "$pic_mode" && pic_mode=default
+
+
+
+
+
+
+
+  # Check whether --enable-fast-install was given.
+if test "${enable_fast_install+set}" = set; then
+  enableval=$enable_fast_install; p=${PACKAGE-default}
+    case $enableval in
+    yes) enable_fast_install=yes ;;
+    no) enable_fast_install=no ;;
+    *)
+      enable_fast_install=no
+      # Look at the argument we got.  We use all the common list separators.
+      lt_save_ifs="$IFS"; IFS="${IFS}$PATH_SEPARATOR,"
+      for pkg in $enableval; do
+       IFS="$lt_save_ifs"
+       if test "X$pkg" = "X$p"; then
+         enable_fast_install=yes
+       fi
+      done
+      IFS="$lt_save_ifs"
+      ;;
+    esac
+else
+  enable_fast_install=yes
+fi
+
+
+
+
+
+
+
+
+
+
+
+# This can be used to rebuild libtool when needed
+LIBTOOL_DEPS="$ltmain"
+
+# Always use our own libtool.
+LIBTOOL='$(SHELL) $(top_builddir)/libtool'
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+test -z "$LN_S" && LN_S="ln -s"
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+if test -n "${ZSH_VERSION+set}" ; then
+   setopt NO_GLOB_SUBST
+fi
+
+{ $as_echo "$as_me:$LINENO: checking for objdir" >&5
+$as_echo_n "checking for objdir... " >&6; }
+if test "${lt_cv_objdir+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  rm -f .libs 2>/dev/null
+mkdir .libs 2>/dev/null
+if test -d .libs; then
+  lt_cv_objdir=.libs
+else
+  # MS-DOS does not allow filenames that begin with a dot.
+  lt_cv_objdir=_libs
+fi
+rmdir .libs 2>/dev/null
+fi
+{ $as_echo "$as_me:$LINENO: result: $lt_cv_objdir" >&5
+$as_echo "$lt_cv_objdir" >&6; }
+objdir=$lt_cv_objdir
+
+
+
+
+
+cat >>confdefs.h <<_ACEOF
+#define LT_OBJDIR "$lt_cv_objdir/"
+_ACEOF
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+case $host_os in
+aix3*)
+  # AIX sometimes has problems with the GCC collect2 program.  For some
+  # reason, if we set the COLLECT_NAMES environment variable, the problems
+  # vanish in a puff of smoke.
+  if test "X${COLLECT_NAMES+set}" != Xset; then
+    COLLECT_NAMES=
+    export COLLECT_NAMES
+  fi
+  ;;
+esac
+
+# Sed substitution that helps us do robust quoting.  It backslashifies
+# metacharacters that are still active within double-quoted strings.
+sed_quote_subst='s/\(["`$\\]\)/\\\1/g'
+
+# Same as above, but do not quote variable references.
+double_quote_subst='s/\(["`\\]\)/\\\1/g'
+
+# Sed substitution to delay expansion of an escaped shell variable in a
+# double_quote_subst'ed string.
+delay_variable_subst='s/\\\\\\\\\\\$/\\\\\\$/g'
+
+# Sed substitution to delay expansion of an escaped single quote.
+delay_single_quote_subst='s/'\''/'\'\\\\\\\'\''/g'
+
+# Sed substitution to avoid accidental globbing in evaled expressions
+no_glob_subst='s/\*/\\\*/g'
+
+# Global variables:
+ofile=libtool
+can_build_shared=yes
+
+# All known linkers require a `.a' archive for static linking (except MSVC,
+# which needs '.lib').
+libext=a
+
+with_gnu_ld="$lt_cv_prog_gnu_ld"
+
+old_CC="$CC"
+old_CFLAGS="$CFLAGS"
+
+# Set sane defaults for various variables
+test -z "$CC" && CC=cc
+test -z "$LTCC" && LTCC=$CC
+test -z "$LTCFLAGS" && LTCFLAGS=$CFLAGS
+test -z "$LD" && LD=ld
+test -z "$ac_objext" && ac_objext=o
+
+for cc_temp in $compiler""; do
+  case $cc_temp in
+    compile | *[\\/]compile | ccache | *[\\/]ccache ) ;;
+    distcc | *[\\/]distcc | purify | *[\\/]purify ) ;;
+    \-*) ;;
+    *) break;;
+  esac
+done
+cc_basename=`$ECHO "X$cc_temp" | $Xsed -e 's%.*/%%' -e "s%^$host_alias-%%"`
+
+
+# Only perform the check for file, if the check method requires it
+test -z "$MAGIC_CMD" && MAGIC_CMD=file
+case $deplibs_check_method in
+file_magic*)
+  if test "$file_magic_cmd" = '$MAGIC_CMD'; then
+    { $as_echo "$as_me:$LINENO: checking for ${ac_tool_prefix}file" >&5
+$as_echo_n "checking for ${ac_tool_prefix}file... " >&6; }
+if test "${lt_cv_path_MAGIC_CMD+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  case $MAGIC_CMD in
+[\\/*] |  ?:[\\/]*)
+  lt_cv_path_MAGIC_CMD="$MAGIC_CMD" # Let the user override the test with a path.
+  ;;
+*)
+  lt_save_MAGIC_CMD="$MAGIC_CMD"
+  lt_save_ifs="$IFS"; IFS=$PATH_SEPARATOR
+  ac_dummy="/usr/bin$PATH_SEPARATOR$PATH"
+  for ac_dir in $ac_dummy; do
+    IFS="$lt_save_ifs"
+    test -z "$ac_dir" && ac_dir=.
+    if test -f $ac_dir/${ac_tool_prefix}file; then
+      lt_cv_path_MAGIC_CMD="$ac_dir/${ac_tool_prefix}file"
+      if test -n "$file_magic_test_file"; then
+       case $deplibs_check_method in
+       "file_magic "*)
+         file_magic_regex=`expr "$deplibs_check_method" : "file_magic \(.*\)"`
+         MAGIC_CMD="$lt_cv_path_MAGIC_CMD"
+         if eval $file_magic_cmd \$file_magic_test_file 2> /dev/null |
+           $EGREP "$file_magic_regex" > /dev/null; then
+           :
+         else
+           cat <<_LT_EOF 1>&2
+
+*** Warning: the command libtool uses to detect shared libraries,
+*** $file_magic_cmd, produces output that libtool cannot recognize.
+*** The result is that libtool may fail to recognize shared libraries
+*** as such.  This will affect the creation of libtool libraries that
+*** depend on shared libraries, but programs linked with such libtool
+*** libraries will work regardless of this problem.  Nevertheless, you
+*** may want to report the problem to your system manager and/or to
+*** bug-libtool@gnu.org
+
+_LT_EOF
+         fi ;;
+       esac
+      fi
+      break
+    fi
+  done
+  IFS="$lt_save_ifs"
+  MAGIC_CMD="$lt_save_MAGIC_CMD"
+  ;;
+esac
+fi
+
+MAGIC_CMD="$lt_cv_path_MAGIC_CMD"
+if test -n "$MAGIC_CMD"; then
+  { $as_echo "$as_me:$LINENO: result: $MAGIC_CMD" >&5
+$as_echo "$MAGIC_CMD" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+
+
+
+if test -z "$lt_cv_path_MAGIC_CMD"; then
+  if test -n "$ac_tool_prefix"; then
+    { $as_echo "$as_me:$LINENO: checking for file" >&5
+$as_echo_n "checking for file... " >&6; }
+if test "${lt_cv_path_MAGIC_CMD+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  case $MAGIC_CMD in
+[\\/*] |  ?:[\\/]*)
+  lt_cv_path_MAGIC_CMD="$MAGIC_CMD" # Let the user override the test with a path.
+  ;;
+*)
+  lt_save_MAGIC_CMD="$MAGIC_CMD"
+  lt_save_ifs="$IFS"; IFS=$PATH_SEPARATOR
+  ac_dummy="/usr/bin$PATH_SEPARATOR$PATH"
+  for ac_dir in $ac_dummy; do
+    IFS="$lt_save_ifs"
+    test -z "$ac_dir" && ac_dir=.
+    if test -f $ac_dir/file; then
+      lt_cv_path_MAGIC_CMD="$ac_dir/file"
+      if test -n "$file_magic_test_file"; then
+       case $deplibs_check_method in
+       "file_magic "*)
+         file_magic_regex=`expr "$deplibs_check_method" : "file_magic \(.*\)"`
+         MAGIC_CMD="$lt_cv_path_MAGIC_CMD"
+         if eval $file_magic_cmd \$file_magic_test_file 2> /dev/null |
+           $EGREP "$file_magic_regex" > /dev/null; then
+           :
+         else
+           cat <<_LT_EOF 1>&2
+
+*** Warning: the command libtool uses to detect shared libraries,
+*** $file_magic_cmd, produces output that libtool cannot recognize.
+*** The result is that libtool may fail to recognize shared libraries
+*** as such.  This will affect the creation of libtool libraries that
+*** depend on shared libraries, but programs linked with such libtool
+*** libraries will work regardless of this problem.  Nevertheless, you
+*** may want to report the problem to your system manager and/or to
+*** bug-libtool@gnu.org
+
+_LT_EOF
+         fi ;;
+       esac
+      fi
+      break
+    fi
+  done
+  IFS="$lt_save_ifs"
+  MAGIC_CMD="$lt_save_MAGIC_CMD"
+  ;;
+esac
+fi
+
+MAGIC_CMD="$lt_cv_path_MAGIC_CMD"
+if test -n "$MAGIC_CMD"; then
+  { $as_echo "$as_me:$LINENO: result: $MAGIC_CMD" >&5
+$as_echo "$MAGIC_CMD" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+  else
+    MAGIC_CMD=:
+  fi
+fi
+
+  fi
+  ;;
+esac
+
+# Use C for the default configuration in the libtool script
+
+lt_save_CC="$CC"
+ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+
+# Source file extension for C test sources.
+ac_ext=c
+
+# Object file extension for compiled C test sources.
+objext=o
+objext=$objext
+
+# Code to be used in simple compile tests
+lt_simple_compile_test_code="int some_variable = 0;"
+
+# Code to be used in simple link tests
+lt_simple_link_test_code='int main(){return(0);}'
+
+
+
+
+
+
+
+# If no C compiler was specified, use CC.
+LTCC=${LTCC-"$CC"}
+
+# If no C compiler flags were specified, use CFLAGS.
+LTCFLAGS=${LTCFLAGS-"$CFLAGS"}
+
+# Allow CC to be a program name with arguments.
+compiler=$CC
+
+# Save the default compiler, since it gets overwritten when the other
+# tags are being tested, and _LT_TAGVAR(compiler, []) is a NOP.
+compiler_DEFAULT=$CC
+
+# save warnings/boilerplate of simple test code
+ac_outfile=conftest.$ac_objext
+echo "$lt_simple_compile_test_code" >conftest.$ac_ext
+eval "$ac_compile" 2>&1 >/dev/null | $SED '/^$/d; /^ *+/d' >conftest.err
+_lt_compiler_boilerplate=`cat conftest.err`
+$RM conftest*
+
+ac_outfile=conftest.$ac_objext
+echo "$lt_simple_link_test_code" >conftest.$ac_ext
+eval "$ac_link" 2>&1 >/dev/null | $SED '/^$/d; /^ *+/d' >conftest.err
+_lt_linker_boilerplate=`cat conftest.err`
+$RM -r conftest*
+
+
+## CAVEAT EMPTOR:
+## There is no encapsulation within the following macros, do not change
+## the running order or otherwise move them around unless you know exactly
+## what you are doing...
+if test -n "$compiler"; then
+
+lt_prog_compiler_no_builtin_flag=
+
+if test "$GCC" = yes; then
+  lt_prog_compiler_no_builtin_flag=' -fno-builtin'
+
+  { $as_echo "$as_me:$LINENO: checking if $compiler supports -fno-rtti -fno-exceptions" >&5
+$as_echo_n "checking if $compiler supports -fno-rtti -fno-exceptions... " >&6; }
+if test "${lt_cv_prog_compiler_rtti_exceptions+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  lt_cv_prog_compiler_rtti_exceptions=no
+   ac_outfile=conftest.$ac_objext
+   echo "$lt_simple_compile_test_code" > conftest.$ac_ext
+   lt_compiler_flag="-fno-rtti -fno-exceptions"
+   # Insert the option either (1) after the last *FLAGS variable, or
+   # (2) before a word containing "conftest.", or (3) at the end.
+   # Note that $ac_compile itself does not contain backslashes and begins
+   # with a dollar sign (not a hyphen), so the echo should work correctly.
+   # The option is referenced via a variable to avoid confusing sed.
+   lt_compile=`echo "$ac_compile" | $SED \
+   -e 's:.*FLAGS}\{0,1\} :&$lt_compiler_flag :; t' \
+   -e 's: [^ ]*conftest\.: $lt_compiler_flag&:; t' \
+   -e 's:$: $lt_compiler_flag:'`
+   (eval echo "\"\$as_me:10779: $lt_compile\"" >&5)
+   (eval "$lt_compile" 2>conftest.err)
+   ac_status=$?
+   cat conftest.err >&5
+   echo "$as_me:10783: \$? = $ac_status" >&5
+   if (exit $ac_status) && test -s "$ac_outfile"; then
+     # The compiler can only warn and ignore the option if not recognized
+     # So say no if there are warnings other than the usual output.
+     $ECHO "X$_lt_compiler_boilerplate" | $Xsed -e '/^$/d' >conftest.exp
+     $SED '/^$/d; /^ *+/d' conftest.err >conftest.er2
+     if test ! -s conftest.er2 || diff conftest.exp conftest.er2 >/dev/null; then
+       lt_cv_prog_compiler_rtti_exceptions=yes
+     fi
+   fi
+   $RM conftest*
+
+fi
+{ $as_echo "$as_me:$LINENO: result: $lt_cv_prog_compiler_rtti_exceptions" >&5
+$as_echo "$lt_cv_prog_compiler_rtti_exceptions" >&6; }
+
+if test x"$lt_cv_prog_compiler_rtti_exceptions" = xyes; then
+    lt_prog_compiler_no_builtin_flag="$lt_prog_compiler_no_builtin_flag -fno-rtti -fno-exceptions"
+else
+    :
+fi
+
+fi
+
+
+
+
+
+
+  lt_prog_compiler_wl=
+lt_prog_compiler_pic=
+lt_prog_compiler_static=
+
+{ $as_echo "$as_me:$LINENO: checking for $compiler option to produce PIC" >&5
+$as_echo_n "checking for $compiler option to produce PIC... " >&6; }
+
+  if test "$GCC" = yes; then
+    lt_prog_compiler_wl='-Wl,'
+    lt_prog_compiler_static='-static'
+
+    case $host_os in
+      aix*)
+      # All AIX code is PIC.
+      if test "$host_cpu" = ia64; then
+       # AIX 5 now supports IA64 processor
+       lt_prog_compiler_static='-Bstatic'
+      fi
+      ;;
+
+    amigaos*)
+      case $host_cpu in
+      powerpc)
+            # see comment about AmigaOS4 .so support
+            lt_prog_compiler_pic='-fPIC'
+        ;;
+      m68k)
+            # FIXME: we need at least 68020 code to build shared libraries, but
+            # adding the `-m68020' flag to GCC prevents building anything better,
+            # like `-m68040'.
+            lt_prog_compiler_pic='-m68020 -resident32 -malways-restore-a4'
+        ;;
+      esac
+      ;;
+
+    beos* | irix5* | irix6* | nonstopux* | osf3* | osf4* | osf5*)
+      # PIC is the default for these OSes.
+      ;;
+
+    mingw* | cygwin* | pw32* | os2* | cegcc*)
+      # This hack is so that the source file can tell whether it is being
+      # built for inclusion in a dll (and should export symbols for example).
+      # Although the cygwin gcc ignores -fPIC, still need this for old-style
+      # (--disable-auto-import) libraries
+      lt_prog_compiler_pic='-DDLL_EXPORT'
+      ;;
+
+    darwin* | rhapsody*)
+      # PIC is the default on this platform
+      # Common symbols not allowed in MH_DYLIB files
+      lt_prog_compiler_pic='-fno-common'
+      ;;
+
+    hpux*)
+      # PIC is the default for 64-bit PA HP-UX, but not for 32-bit
+      # PA HP-UX.  On IA64 HP-UX, PIC is the default but the pic flag
+      # sets the default TLS model and affects inlining.
+      case $host_cpu in
+      hppa*64*)
+       # +Z the default
+       ;;
+      *)
+       lt_prog_compiler_pic='-fPIC'
+       ;;
+      esac
+      ;;
+
+    interix[3-9]*)
+      # Interix 3.x gcc -fpic/-fPIC options generate broken code.
+      # Instead, we relocate shared libraries at runtime.
+      ;;
+
+    msdosdjgpp*)
+      # Just because we use GCC doesn't mean we suddenly get shared libraries
+      # on systems that don't support them.
+      lt_prog_compiler_can_build_shared=no
+      enable_shared=no
+      ;;
+
+    *nto* | *qnx*)
+      # QNX uses GNU C++, but need to define -shared option too, otherwise
+      # it will coredump.
+      lt_prog_compiler_pic='-fPIC -shared'
+      ;;
+
+    sysv4*MP*)
+      if test -d /usr/nec; then
+       lt_prog_compiler_pic=-Kconform_pic
+      fi
+      ;;
+
+    *)
+      lt_prog_compiler_pic='-fPIC'
+      ;;
+    esac
+  else
+    # PORTME Check for flag to pass linker flags through the system compiler.
+    case $host_os in
+    aix*)
+      lt_prog_compiler_wl='-Wl,'
+      if test "$host_cpu" = ia64; then
+       # AIX 5 now supports IA64 processor
+       lt_prog_compiler_static='-Bstatic'
+      else
+       lt_prog_compiler_static='-bnso -bI:/lib/syscalls.exp'
+      fi
+      ;;
+
+    mingw* | cygwin* | pw32* | os2* | cegcc*)
+      # This hack is so that the source file can tell whether it is being
+      # built for inclusion in a dll (and should export symbols for example).
+      lt_prog_compiler_pic='-DDLL_EXPORT'
+      ;;
+
+    hpux9* | hpux10* | hpux11*)
+      lt_prog_compiler_wl='-Wl,'
+      # PIC is the default for IA64 HP-UX and 64-bit HP-UX, but
+      # not for PA HP-UX.
+      case $host_cpu in
+      hppa*64*|ia64*)
+       # +Z the default
+       ;;
+      *)
+       lt_prog_compiler_pic='+Z'
+       ;;
+      esac
+      # Is there a better lt_prog_compiler_static that works with the bundled CC?
+      lt_prog_compiler_static='${wl}-a ${wl}archive'
+      ;;
+
+    irix5* | irix6* | nonstopux*)
+      lt_prog_compiler_wl='-Wl,'
+      # PIC (with -KPIC) is the default.
+      lt_prog_compiler_static='-non_shared'
+      ;;
+
+    linux* | k*bsd*-gnu)
+      case $cc_basename in
+      # old Intel for x86_64 which still supported -KPIC.
+      ecc*)
+       lt_prog_compiler_wl='-Wl,'
+       lt_prog_compiler_pic='-KPIC'
+       lt_prog_compiler_static='-static'
+        ;;
+      # icc used to be incompatible with GCC.
+      # ICC 10 doesn't accept -KPIC any more.
+      icc* | ifort*)
+       lt_prog_compiler_wl='-Wl,'
+       lt_prog_compiler_pic='-fPIC'
+       lt_prog_compiler_static='-static'
+        ;;
+      # Lahey Fortran 8.1.
+      lf95*)
+       lt_prog_compiler_wl='-Wl,'
+       lt_prog_compiler_pic='--shared'
+       lt_prog_compiler_static='--static'
+       ;;
+      pgcc* | pgf77* | pgf90* | pgf95*)
+        # Portland Group compilers (*not* the Pentium gcc compiler,
+       # which looks to be a dead project)
+       lt_prog_compiler_wl='-Wl,'
+       lt_prog_compiler_pic='-fpic'
+       lt_prog_compiler_static='-Bstatic'
+        ;;
+      ccc*)
+        lt_prog_compiler_wl='-Wl,'
+        # All Alpha code is PIC.
+        lt_prog_compiler_static='-non_shared'
+        ;;
+      xl*)
+       # IBM XL C 8.0/Fortran 10.1 on PPC
+       lt_prog_compiler_wl='-Wl,'
+       lt_prog_compiler_pic='-qpic'
+       lt_prog_compiler_static='-qstaticlink'
+       ;;
+      *)
+       case `$CC -V 2>&1 | sed 5q` in
+       *Sun\ C*)
+         # Sun C 5.9
+         lt_prog_compiler_pic='-KPIC'
+         lt_prog_compiler_static='-Bstatic'
+         lt_prog_compiler_wl='-Wl,'
+         ;;
+       *Sun\ F*)
+         # Sun Fortran 8.3 passes all unrecognized flags to the linker
+         lt_prog_compiler_pic='-KPIC'
+         lt_prog_compiler_static='-Bstatic'
+         lt_prog_compiler_wl=''
+         ;;
+       esac
+       ;;
+      esac
+      ;;
+
+    newsos6)
+      lt_prog_compiler_pic='-KPIC'
+      lt_prog_compiler_static='-Bstatic'
+      ;;
+
+    *nto* | *qnx*)
+      # QNX uses GNU C++, but need to define -shared option too, otherwise
+      # it will coredump.
+      lt_prog_compiler_pic='-fPIC -shared'
+      ;;
+
+    osf3* | osf4* | osf5*)
+      lt_prog_compiler_wl='-Wl,'
+      # All OSF/1 code is PIC.
+      lt_prog_compiler_static='-non_shared'
+      ;;
+
+    rdos*)
+      lt_prog_compiler_static='-non_shared'
+      ;;
+
+    solaris*)
+      lt_prog_compiler_pic='-KPIC'
+      lt_prog_compiler_static='-Bstatic'
+      case $cc_basename in
+      f77* | f90* | f95*)
+       lt_prog_compiler_wl='-Qoption ld ';;
+      *)
+       lt_prog_compiler_wl='-Wl,';;
+      esac
+      ;;
+
+    sunos4*)
+      lt_prog_compiler_wl='-Qoption ld '
+      lt_prog_compiler_pic='-PIC'
+      lt_prog_compiler_static='-Bstatic'
+      ;;
+
+    sysv4 | sysv4.2uw2* | sysv4.3*)
+      lt_prog_compiler_wl='-Wl,'
+      lt_prog_compiler_pic='-KPIC'
+      lt_prog_compiler_static='-Bstatic'
+      ;;
+
+    sysv4*MP*)
+      if test -d /usr/nec ;then
+       lt_prog_compiler_pic='-Kconform_pic'
+       lt_prog_compiler_static='-Bstatic'
+      fi
+      ;;
+
+    sysv5* | unixware* | sco3.2v5* | sco5v6* | OpenUNIX*)
+      lt_prog_compiler_wl='-Wl,'
+      lt_prog_compiler_pic='-KPIC'
+      lt_prog_compiler_static='-Bstatic'
+      ;;
+
+    unicos*)
+      lt_prog_compiler_wl='-Wl,'
+      lt_prog_compiler_can_build_shared=no
+      ;;
+
+    uts4*)
+      lt_prog_compiler_pic='-pic'
+      lt_prog_compiler_static='-Bstatic'
+      ;;
+
+    *)
+      lt_prog_compiler_can_build_shared=no
+      ;;
+    esac
+  fi
+
+case $host_os in
+  # For platforms which do not support PIC, -DPIC is meaningless:
+  *djgpp*)
+    lt_prog_compiler_pic=
+    ;;
+  *)
+    lt_prog_compiler_pic="$lt_prog_compiler_pic -DPIC"
+    ;;
+esac
+{ $as_echo "$as_me:$LINENO: result: $lt_prog_compiler_pic" >&5
+$as_echo "$lt_prog_compiler_pic" >&6; }
+
+
+
+
+
+
+#
+# Check to make sure the PIC flag actually works.
+#
+if test -n "$lt_prog_compiler_pic"; then
+  { $as_echo "$as_me:$LINENO: checking if $compiler PIC flag $lt_prog_compiler_pic works" >&5
+$as_echo_n "checking if $compiler PIC flag $lt_prog_compiler_pic works... " >&6; }
+if test "${lt_cv_prog_compiler_pic_works+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  lt_cv_prog_compiler_pic_works=no
+   ac_outfile=conftest.$ac_objext
+   echo "$lt_simple_compile_test_code" > conftest.$ac_ext
+   lt_compiler_flag="$lt_prog_compiler_pic -DPIC"
+   # Insert the option either (1) after the last *FLAGS variable, or
+   # (2) before a word containing "conftest.", or (3) at the end.
+   # Note that $ac_compile itself does not contain backslashes and begins
+   # with a dollar sign (not a hyphen), so the echo should work correctly.
+   # The option is referenced via a variable to avoid confusing sed.
+   lt_compile=`echo "$ac_compile" | $SED \
+   -e 's:.*FLAGS}\{0,1\} :&$lt_compiler_flag :; t' \
+   -e 's: [^ ]*conftest\.: $lt_compiler_flag&:; t' \
+   -e 's:$: $lt_compiler_flag:'`
+   (eval echo "\"\$as_me:11118: $lt_compile\"" >&5)
+   (eval "$lt_compile" 2>conftest.err)
+   ac_status=$?
+   cat conftest.err >&5
+   echo "$as_me:11122: \$? = $ac_status" >&5
+   if (exit $ac_status) && test -s "$ac_outfile"; then
+     # The compiler can only warn and ignore the option if not recognized
+     # So say no if there are warnings other than the usual output.
+     $ECHO "X$_lt_compiler_boilerplate" | $Xsed -e '/^$/d' >conftest.exp
+     $SED '/^$/d; /^ *+/d' conftest.err >conftest.er2
+     if test ! -s conftest.er2 || diff conftest.exp conftest.er2 >/dev/null; then
+       lt_cv_prog_compiler_pic_works=yes
+     fi
+   fi
+   $RM conftest*
+
+fi
+{ $as_echo "$as_me:$LINENO: result: $lt_cv_prog_compiler_pic_works" >&5
+$as_echo "$lt_cv_prog_compiler_pic_works" >&6; }
+
+if test x"$lt_cv_prog_compiler_pic_works" = xyes; then
+    case $lt_prog_compiler_pic in
+     "" | " "*) ;;
+     *) lt_prog_compiler_pic=" $lt_prog_compiler_pic" ;;
+     esac
+else
+    lt_prog_compiler_pic=
+     lt_prog_compiler_can_build_shared=no
+fi
+
+fi
+
+
+
+
+
+
+#
+# Check to make sure the static flag actually works.
+#
+wl=$lt_prog_compiler_wl eval lt_tmp_static_flag=\"$lt_prog_compiler_static\"
+{ $as_echo "$as_me:$LINENO: checking if $compiler static flag $lt_tmp_static_flag works" >&5
+$as_echo_n "checking if $compiler static flag $lt_tmp_static_flag works... " >&6; }
+if test "${lt_cv_prog_compiler_static_works+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  lt_cv_prog_compiler_static_works=no
+   save_LDFLAGS="$LDFLAGS"
+   LDFLAGS="$LDFLAGS $lt_tmp_static_flag"
+   echo "$lt_simple_link_test_code" > conftest.$ac_ext
+   if (eval $ac_link 2>conftest.err) && test -s conftest$ac_exeext; then
+     # The linker can only warn and ignore the option if not recognized
+     # So say no if there are warnings
+     if test -s conftest.err; then
+       # Append any errors to the config.log.
+       cat conftest.err 1>&5
+       $ECHO "X$_lt_linker_boilerplate" | $Xsed -e '/^$/d' > conftest.exp
+       $SED '/^$/d; /^ *+/d' conftest.err >conftest.er2
+       if diff conftest.exp conftest.er2 >/dev/null; then
+         lt_cv_prog_compiler_static_works=yes
+       fi
+     else
+       lt_cv_prog_compiler_static_works=yes
+     fi
+   fi
+   $RM -r conftest*
+   LDFLAGS="$save_LDFLAGS"
+
+fi
+{ $as_echo "$as_me:$LINENO: result: $lt_cv_prog_compiler_static_works" >&5
+$as_echo "$lt_cv_prog_compiler_static_works" >&6; }
+
+if test x"$lt_cv_prog_compiler_static_works" = xyes; then
+    :
+else
+    lt_prog_compiler_static=
+fi
+
+
+
+
+
+
+
+  { $as_echo "$as_me:$LINENO: checking if $compiler supports -c -o file.$ac_objext" >&5
+$as_echo_n "checking if $compiler supports -c -o file.$ac_objext... " >&6; }
+if test "${lt_cv_prog_compiler_c_o+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  lt_cv_prog_compiler_c_o=no
+   $RM -r conftest 2>/dev/null
+   mkdir conftest
+   cd conftest
+   mkdir out
+   echo "$lt_simple_compile_test_code" > conftest.$ac_ext
+
+   lt_compiler_flag="-o out/conftest2.$ac_objext"
+   # Insert the option either (1) after the last *FLAGS variable, or
+   # (2) before a word containing "conftest.", or (3) at the end.
+   # Note that $ac_compile itself does not contain backslashes and begins
+   # with a dollar sign (not a hyphen), so the echo should work correctly.
+   lt_compile=`echo "$ac_compile" | $SED \
+   -e 's:.*FLAGS}\{0,1\} :&$lt_compiler_flag :; t' \
+   -e 's: [^ ]*conftest\.: $lt_compiler_flag&:; t' \
+   -e 's:$: $lt_compiler_flag:'`
+   (eval echo "\"\$as_me:11223: $lt_compile\"" >&5)
+   (eval "$lt_compile" 2>out/conftest.err)
+   ac_status=$?
+   cat out/conftest.err >&5
+   echo "$as_me:11227: \$? = $ac_status" >&5
+   if (exit $ac_status) && test -s out/conftest2.$ac_objext
+   then
+     # The compiler can only warn and ignore the option if not recognized
+     # So say no if there are warnings
+     $ECHO "X$_lt_compiler_boilerplate" | $Xsed -e '/^$/d' > out/conftest.exp
+     $SED '/^$/d; /^ *+/d' out/conftest.err >out/conftest.er2
+     if test ! -s out/conftest.er2 || diff out/conftest.exp out/conftest.er2 >/dev/null; then
+       lt_cv_prog_compiler_c_o=yes
+     fi
+   fi
+   chmod u+w . 2>&5
+   $RM conftest*
+   # SGI C++ compiler will create directory out/ii_files/ for
+   # template instantiation
+   test -d out/ii_files && $RM out/ii_files/* && rmdir out/ii_files
+   $RM out/* && rmdir out
+   cd ..
+   $RM -r conftest
+   $RM conftest*
+
+fi
+{ $as_echo "$as_me:$LINENO: result: $lt_cv_prog_compiler_c_o" >&5
+$as_echo "$lt_cv_prog_compiler_c_o" >&6; }
+
+
+
+
+
+
+  { $as_echo "$as_me:$LINENO: checking if $compiler supports -c -o file.$ac_objext" >&5
+$as_echo_n "checking if $compiler supports -c -o file.$ac_objext... " >&6; }
+if test "${lt_cv_prog_compiler_c_o+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  lt_cv_prog_compiler_c_o=no
+   $RM -r conftest 2>/dev/null
+   mkdir conftest
+   cd conftest
+   mkdir out
+   echo "$lt_simple_compile_test_code" > conftest.$ac_ext
+
+   lt_compiler_flag="-o out/conftest2.$ac_objext"
+   # Insert the option either (1) after the last *FLAGS variable, or
+   # (2) before a word containing "conftest.", or (3) at the end.
+   # Note that $ac_compile itself does not contain backslashes and begins
+   # with a dollar sign (not a hyphen), so the echo should work correctly.
+   lt_compile=`echo "$ac_compile" | $SED \
+   -e 's:.*FLAGS}\{0,1\} :&$lt_compiler_flag :; t' \
+   -e 's: [^ ]*conftest\.: $lt_compiler_flag&:; t' \
+   -e 's:$: $lt_compiler_flag:'`
+   (eval echo "\"\$as_me:11278: $lt_compile\"" >&5)
+   (eval "$lt_compile" 2>out/conftest.err)
+   ac_status=$?
+   cat out/conftest.err >&5
+   echo "$as_me:11282: \$? = $ac_status" >&5
+   if (exit $ac_status) && test -s out/conftest2.$ac_objext
+   then
+     # The compiler can only warn and ignore the option if not recognized
+     # So say no if there are warnings
+     $ECHO "X$_lt_compiler_boilerplate" | $Xsed -e '/^$/d' > out/conftest.exp
+     $SED '/^$/d; /^ *+/d' out/conftest.err >out/conftest.er2
+     if test ! -s out/conftest.er2 || diff out/conftest.exp out/conftest.er2 >/dev/null; then
+       lt_cv_prog_compiler_c_o=yes
+     fi
+   fi
+   chmod u+w . 2>&5
+   $RM conftest*
+   # SGI C++ compiler will create directory out/ii_files/ for
+   # template instantiation
+   test -d out/ii_files && $RM out/ii_files/* && rmdir out/ii_files
+   $RM out/* && rmdir out
+   cd ..
+   $RM -r conftest
+   $RM conftest*
+
+fi
+{ $as_echo "$as_me:$LINENO: result: $lt_cv_prog_compiler_c_o" >&5
+$as_echo "$lt_cv_prog_compiler_c_o" >&6; }
+
+
+
+
+hard_links="nottested"
+if test "$lt_cv_prog_compiler_c_o" = no && test "$need_locks" != no; then
+  # do not overwrite the value of need_locks provided by the user
+  { $as_echo "$as_me:$LINENO: checking if we can lock with hard links" >&5
+$as_echo_n "checking if we can lock with hard links... " >&6; }
+  hard_links=yes
+  $RM conftest*
+  ln conftest.a conftest.b 2>/dev/null && hard_links=no
+  touch conftest.a
+  ln conftest.a conftest.b 2>&5 || hard_links=no
+  ln conftest.a conftest.b 2>/dev/null && hard_links=no
+  { $as_echo "$as_me:$LINENO: result: $hard_links" >&5
+$as_echo "$hard_links" >&6; }
+  if test "$hard_links" = no; then
+    { $as_echo "$as_me:$LINENO: WARNING: \`$CC' does not support \`-c -o', so \`make -j' may be unsafe" >&5
+$as_echo "$as_me: WARNING: \`$CC' does not support \`-c -o', so \`make -j' may be unsafe" >&2;}
+    need_locks=warn
+  fi
+else
+  need_locks=no
+fi
+
+
+
+
+
+
+  { $as_echo "$as_me:$LINENO: checking whether the $compiler linker ($LD) supports shared libraries" >&5
+$as_echo_n "checking whether the $compiler linker ($LD) supports shared libraries... " >&6; }
+
+  runpath_var=
+  allow_undefined_flag=
+  always_export_symbols=no
+  archive_cmds=
+  archive_expsym_cmds=
+  compiler_needs_object=no
+  enable_shared_with_static_runtimes=no
+  export_dynamic_flag_spec=
+  export_symbols_cmds='$NM $libobjs $convenience | $global_symbol_pipe | $SED '\''s/.* //'\'' | sort | uniq > $export_symbols'
+  hardcode_automatic=no
+  hardcode_direct=no
+  hardcode_direct_absolute=no
+  hardcode_libdir_flag_spec=
+  hardcode_libdir_flag_spec_ld=
+  hardcode_libdir_separator=
+  hardcode_minus_L=no
+  hardcode_shlibpath_var=unsupported
+  inherit_rpath=no
+  link_all_deplibs=unknown
+  module_cmds=
+  module_expsym_cmds=
+  old_archive_from_new_cmds=
+  old_archive_from_expsyms_cmds=
+  thread_safe_flag_spec=
+  whole_archive_flag_spec=
+  # include_expsyms should be a list of space-separated symbols to be *always*
+  # included in the symbol list
+  include_expsyms=
+  # exclude_expsyms can be an extended regexp of symbols to exclude
+  # it will be wrapped by ` (' and `)$', so one must not match beginning or
+  # end of line.  Example: `a|bc|.*d.*' will exclude the symbols `a' and `bc',
+  # as well as any symbol that contains `d'.
+  exclude_expsyms='_GLOBAL_OFFSET_TABLE_|_GLOBAL__F[ID]_.*'
+  # Although _GLOBAL_OFFSET_TABLE_ is a valid symbol C name, most a.out
+  # platforms (ab)use it in PIC code, but their linkers get confused if
+  # the symbol is explicitly referenced.  Since portable code cannot
+  # rely on this symbol name, it's probably fine to never include it in
+  # preloaded symbol tables.
+  # Exclude shared library initialization/finalization symbols.
+  extract_expsyms_cmds=
+
+  case $host_os in
+  cygwin* | mingw* | pw32* | cegcc*)
+    # FIXME: the MSVC++ port hasn't been tested in a loooong time
+    # When not using gcc, we currently assume that we are using
+    # Microsoft Visual C++.
+    if test "$GCC" != yes; then
+      with_gnu_ld=no
+    fi
+    ;;
+  interix*)
+    # we just hope/assume this is gcc and not c89 (= MSVC++)
+    with_gnu_ld=yes
+    ;;
+  openbsd*)
+    with_gnu_ld=no
+    ;;
+  esac
+
+  ld_shlibs=yes
+  if test "$with_gnu_ld" = yes; then
+    # If archive_cmds runs LD, not CC, wlarc should be empty
+    wlarc='${wl}'
+
+    # Set some defaults for GNU ld with shared library support. These
+    # are reset later if shared libraries are not supported. Putting them
+    # here allows them to be overridden if necessary.
+    runpath_var=LD_RUN_PATH
+    hardcode_libdir_flag_spec='${wl}-rpath ${wl}$libdir'
+    export_dynamic_flag_spec='${wl}--export-dynamic'
+    # ancient GNU ld didn't support --whole-archive et. al.
+    if $LD --help 2>&1 | $GREP 'no-whole-archive' > /dev/null; then
+      whole_archive_flag_spec="$wlarc"'--whole-archive$convenience '"$wlarc"'--no-whole-archive'
+    else
+      whole_archive_flag_spec=
+    fi
+    supports_anon_versioning=no
+    case `$LD -v 2>&1` in
+      *\ [01].* | *\ 2.[0-9].* | *\ 2.10.*) ;; # catch versions < 2.11
+      *\ 2.11.93.0.2\ *) supports_anon_versioning=yes ;; # RH7.3 ...
+      *\ 2.11.92.0.12\ *) supports_anon_versioning=yes ;; # Mandrake 8.2 ...
+      *\ 2.11.*) ;; # other 2.11 versions
+      *) supports_anon_versioning=yes ;;
+    esac
+
+    # See if GNU ld supports shared libraries.
+    case $host_os in
+    aix[3-9]*)
+      # On AIX/PPC, the GNU linker is very broken
+      if test "$host_cpu" != ia64; then
+       ld_shlibs=no
+       cat <<_LT_EOF 1>&2
+
+*** Warning: the GNU linker, at least up to release 2.9.1, is reported
+*** to be unable to reliably create shared libraries on AIX.
+*** Therefore, libtool is disabling shared libraries support.  If you
+*** really care for shared libraries, you may want to modify your PATH
+*** so that a non-GNU linker is found, and then restart.
+
+_LT_EOF
+      fi
+      ;;
+
+    amigaos*)
+      case $host_cpu in
+      powerpc)
+            # see comment about AmigaOS4 .so support
+            archive_cmds='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+            archive_expsym_cmds=''
+        ;;
+      m68k)
+            archive_cmds='$RM $output_objdir/a2ixlibrary.data~$ECHO "#define NAME $libname" > $output_objdir/a2ixlibrary.data~$ECHO "#define LIBRARY_ID 1" >> $output_objdir/a2ixlibrary.data~$ECHO "#define VERSION $major" >> $output_objdir/a2ixlibrary.data~$ECHO "#define REVISION $revision" >> $output_objdir/a2ixlibrary.data~$AR $AR_FLAGS $lib $libobjs~$RANLIB $lib~(cd $output_objdir && a2ixlibrary -32)'
+            hardcode_libdir_flag_spec='-L$libdir'
+            hardcode_minus_L=yes
+        ;;
+      esac
+      ;;
+
+    beos*)
+      if $LD --help 2>&1 | $GREP ': supported targets:.* elf' > /dev/null; then
+       allow_undefined_flag=unsupported
+       # Joseph Beckenbach <jrb3@best.com> says some releases of gcc
+       # support --undefined.  This deserves some investigation.  FIXME
+       archive_cmds='$CC -nostart $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+      else
+       ld_shlibs=no
+      fi
+      ;;
+
+    cygwin* | mingw* | pw32* | cegcc*)
+      # _LT_TAGVAR(hardcode_libdir_flag_spec, ) is actually meaningless,
+      # as there is no search path for DLLs.
+      hardcode_libdir_flag_spec='-L$libdir'
+      allow_undefined_flag=unsupported
+      always_export_symbols=no
+      enable_shared_with_static_runtimes=yes
+      export_symbols_cmds='$NM $libobjs $convenience | $global_symbol_pipe | $SED -e '\''/^[BCDGRS][ ]/s/.*[ ]\([^ ]*\)/\1 DATA/'\'' | $SED -e '\''/^[AITW][ ]/s/.*[ ]//'\'' | sort | uniq > $export_symbols'
+
+      if $LD --help 2>&1 | $GREP 'auto-import' > /dev/null; then
+        archive_cmds='$CC -shared $libobjs $deplibs $compiler_flags -o $output_objdir/$soname ${wl}--enable-auto-image-base -Xlinker --out-implib -Xlinker $lib'
+       # If the export-symbols file already is a .def file (1st line
+       # is EXPORTS), use it as is; otherwise, prepend...
+       archive_expsym_cmds='if test "x`$SED 1q $export_symbols`" = xEXPORTS; then
+         cp $export_symbols $output_objdir/$soname.def;
+       else
+         echo EXPORTS > $output_objdir/$soname.def;
+         cat $export_symbols >> $output_objdir/$soname.def;
+       fi~
+       $CC -shared $output_objdir/$soname.def $libobjs $deplibs $compiler_flags -o $output_objdir/$soname ${wl}--enable-auto-image-base -Xlinker --out-implib -Xlinker $lib'
+      else
+       ld_shlibs=no
+      fi
+      ;;
+
+    interix[3-9]*)
+      hardcode_direct=no
+      hardcode_shlibpath_var=no
+      hardcode_libdir_flag_spec='${wl}-rpath,$libdir'
+      export_dynamic_flag_spec='${wl}-E'
+      # Hack: On Interix 3.x, we cannot compile PIC because of a broken gcc.
+      # Instead, shared libraries are loaded at an image base (0x10000000 by
+      # default) and relocated if they conflict, which is a slow very memory
+      # consuming and fragmenting process.  To avoid this, we pick a random,
+      # 256 KiB-aligned image base between 0x50000000 and 0x6FFC0000 at link
+      # time.  Moving up from 0x10000000 also allows more sbrk(2) space.
+      archive_cmds='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-h,$soname ${wl}--image-base,`expr ${RANDOM-$$} % 4096 / 2 \* 262144 + 1342177280` -o $lib'
+      archive_expsym_cmds='sed "s,^,_," $export_symbols >$output_objdir/$soname.expsym~$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-h,$soname ${wl}--retain-symbols-file,$output_objdir/$soname.expsym ${wl}--image-base,`expr ${RANDOM-$$} % 4096 / 2 \* 262144 + 1342177280` -o $lib'
+      ;;
+
+    gnu* | linux* | tpf* | k*bsd*-gnu)
+      tmp_diet=no
+      if test "$host_os" = linux-dietlibc; then
+       case $cc_basename in
+         diet\ *) tmp_diet=yes;;       # linux-dietlibc with static linking (!diet-dyn)
+       esac
+      fi
+      if $LD --help 2>&1 | $EGREP ': supported targets:.* elf' > /dev/null \
+        && test "$tmp_diet" = no
+      then
+       tmp_addflag=
+       tmp_sharedflag='-shared'
+       case $cc_basename,$host_cpu in
+        pgcc*)                         # Portland Group C compiler
+         whole_archive_flag_spec='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; $ECHO \"$new_convenience\"` ${wl}--no-whole-archive'
+         tmp_addflag=' $pic_flag'
+         ;;
+       pgf77* | pgf90* | pgf95*)       # Portland Group f77 and f90 compilers
+         whole_archive_flag_spec='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; $ECHO \"$new_convenience\"` ${wl}--no-whole-archive'
+         tmp_addflag=' $pic_flag -Mnomain' ;;
+       ecc*,ia64* | icc*,ia64*)        # Intel C compiler on ia64
+         tmp_addflag=' -i_dynamic' ;;
+       efc*,ia64* | ifort*,ia64*)      # Intel Fortran compiler on ia64
+         tmp_addflag=' -i_dynamic -nofor_main' ;;
+       ifc* | ifort*)                  # Intel Fortran compiler
+         tmp_addflag=' -nofor_main' ;;
+       lf95*)                          # Lahey Fortran 8.1
+         whole_archive_flag_spec=
+         tmp_sharedflag='--shared' ;;
+       xl[cC]*)                        # IBM XL C 8.0 on PPC (deal with xlf below)
+         tmp_sharedflag='-qmkshrobj'
+         tmp_addflag= ;;
+       esac
+       case `$CC -V 2>&1 | sed 5q` in
+       *Sun\ C*)                       # Sun C 5.9
+         whole_archive_flag_spec='${wl}--whole-archive`new_convenience=; for conv in $convenience\"\"; do test -z \"$conv\" || new_convenience=\"$new_convenience,$conv\"; done; $ECHO \"$new_convenience\"` ${wl}--no-whole-archive'
+         compiler_needs_object=yes
+         tmp_sharedflag='-G' ;;
+       *Sun\ F*)                       # Sun Fortran 8.3
+         tmp_sharedflag='-G' ;;
+       esac
+       archive_cmds='$CC '"$tmp_sharedflag""$tmp_addflag"' $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+
+        if test "x$supports_anon_versioning" = xyes; then
+          archive_expsym_cmds='echo "{ global:" > $output_objdir/$libname.ver~
+           cat $export_symbols | sed -e "s/\(.*\)/\1;/" >> $output_objdir/$libname.ver~
+           echo "local: *; };" >> $output_objdir/$libname.ver~
+           $CC '"$tmp_sharedflag""$tmp_addflag"' $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname ${wl}-version-script ${wl}$output_objdir/$libname.ver -o $lib'
+        fi
+
+       case $cc_basename in
+       xlf*)
+         # IBM XL Fortran 10.1 on PPC cannot create shared libs itself
+         whole_archive_flag_spec='--whole-archive$convenience --no-whole-archive'
+         hardcode_libdir_flag_spec=
+         hardcode_libdir_flag_spec_ld='-rpath $libdir'
+         archive_cmds='$LD -shared $libobjs $deplibs $compiler_flags -soname $soname -o $lib'
+         if test "x$supports_anon_versioning" = xyes; then
+           archive_expsym_cmds='echo "{ global:" > $output_objdir/$libname.ver~
+             cat $export_symbols | sed -e "s/\(.*\)/\1;/" >> $output_objdir/$libname.ver~
+             echo "local: *; };" >> $output_objdir/$libname.ver~
+             $LD -shared $libobjs $deplibs $compiler_flags -soname $soname -version-script $output_objdir/$libname.ver -o $lib'
+         fi
+         ;;
+       esac
+      else
+        ld_shlibs=no
+      fi
+      ;;
+
+    netbsd* | netbsdelf*-gnu)
+      if echo __ELF__ | $CC -E - | $GREP __ELF__ >/dev/null; then
+       archive_cmds='$LD -Bshareable $libobjs $deplibs $linker_flags -o $lib'
+       wlarc=
+      else
+       archive_cmds='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+       archive_expsym_cmds='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname ${wl}-retain-symbols-file $wl$export_symbols -o $lib'
+      fi
+      ;;
+
+    solaris*)
+      if $LD -v 2>&1 | $GREP 'BFD 2\.8' > /dev/null; then
+       ld_shlibs=no
+       cat <<_LT_EOF 1>&2
+
+*** Warning: The releases 2.8.* of the GNU linker cannot reliably
+*** create shared libraries on Solaris systems.  Therefore, libtool
+*** is disabling shared libraries support.  We urge you to upgrade GNU
+*** binutils to release 2.9.1 or newer.  Another option is to modify
+*** your PATH or compiler configuration so that the native linker is
+*** used, and then restart.
+
+_LT_EOF
+      elif $LD --help 2>&1 | $GREP ': supported targets:.* elf' > /dev/null; then
+       archive_cmds='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+       archive_expsym_cmds='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname ${wl}-retain-symbols-file $wl$export_symbols -o $lib'
+      else
+       ld_shlibs=no
+      fi
+      ;;
+
+    sysv5* | sco3.2v5* | sco5v6* | unixware* | OpenUNIX*)
+      case `$LD -v 2>&1` in
+        *\ [01].* | *\ 2.[0-9].* | *\ 2.1[0-5].*)
+       ld_shlibs=no
+       cat <<_LT_EOF 1>&2
+
+*** Warning: Releases of the GNU linker prior to 2.16.91.0.3 can not
+*** reliably create shared libraries on SCO systems.  Therefore, libtool
+*** is disabling shared libraries support.  We urge you to upgrade GNU
+*** binutils to release 2.16.91.0.3 or newer.  Another option is to modify
+*** your PATH or compiler configuration so that the native linker is
+*** used, and then restart.
+
+_LT_EOF
+       ;;
+       *)
+         # For security reasons, it is highly recommended that you always
+         # use absolute paths for naming shared libraries, and exclude the
+         # DT_RUNPATH tag from executables and libraries.  But doing so
+         # requires that you compile everything twice, which is a pain.
+         if $LD --help 2>&1 | $GREP ': supported targets:.* elf' > /dev/null; then
+           hardcode_libdir_flag_spec='${wl}-rpath ${wl}$libdir'
+           archive_cmds='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+           archive_expsym_cmds='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname ${wl}-retain-symbols-file $wl$export_symbols -o $lib'
+         else
+           ld_shlibs=no
+         fi
+       ;;
+      esac
+      ;;
+
+    sunos4*)
+      archive_cmds='$LD -assert pure-text -Bshareable -o $lib $libobjs $deplibs $linker_flags'
+      wlarc=
+      hardcode_direct=yes
+      hardcode_shlibpath_var=no
+      ;;
+
+    *)
+      if $LD --help 2>&1 | $GREP ': supported targets:.* elf' > /dev/null; then
+       archive_cmds='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+       archive_expsym_cmds='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname ${wl}-retain-symbols-file $wl$export_symbols -o $lib'
+      else
+       ld_shlibs=no
+      fi
+      ;;
+    esac
+
+    if test "$ld_shlibs" = no; then
+      runpath_var=
+      hardcode_libdir_flag_spec=
+      export_dynamic_flag_spec=
+      whole_archive_flag_spec=
+    fi
+  else
+    # PORTME fill in a description of your system's linker (not GNU ld)
+    case $host_os in
+    aix3*)
+      allow_undefined_flag=unsupported
+      always_export_symbols=yes
+      archive_expsym_cmds='$LD -o $output_objdir/$soname $libobjs $deplibs $linker_flags -bE:$export_symbols -T512 -H512 -bM:SRE~$AR $AR_FLAGS $lib $output_objdir/$soname'
+      # Note: this linker hardcodes the directories in LIBPATH if there
+      # are no directories specified by -L.
+      hardcode_minus_L=yes
+      if test "$GCC" = yes && test -z "$lt_prog_compiler_static"; then
+       # Neither direct hardcoding nor static linking is supported with a
+       # broken collect2.
+       hardcode_direct=unsupported
+      fi
+      ;;
+
+    aix[4-9]*)
+      if test "$host_cpu" = ia64; then
+       # On IA64, the linker does run time linking by default, so we don't
+       # have to do anything special.
+       aix_use_runtimelinking=no
+       exp_sym_flag='-Bexport'
+       no_entry_flag=""
+      else
+       # If we're using GNU nm, then we don't want the "-C" option.
+       # -C means demangle to AIX nm, but means don't demangle with GNU nm
+       if $NM -V 2>&1 | $GREP 'GNU' > /dev/null; then
+         export_symbols_cmds='$NM -Bpg $libobjs $convenience | awk '\''{ if (((\$ 2 == "T") || (\$ 2 == "D") || (\$ 2 == "B")) && (substr(\$ 3,1,1) != ".")) { print \$ 3 } }'\'' | sort -u > $export_symbols'
+       else
+         export_symbols_cmds='$NM -BCpg $libobjs $convenience | awk '\''{ if (((\$ 2 == "T") || (\$ 2 == "D") || (\$ 2 == "B")) && (substr(\$ 3,1,1) != ".")) { print \$ 3 } }'\'' | sort -u > $export_symbols'
+       fi
+       aix_use_runtimelinking=no
+
+       # Test if we are trying to use run time linking or normal
+       # AIX style linking. If -brtl is somewhere in LDFLAGS, we
+       # need to do runtime linking.
+       case $host_os in aix4.[23]|aix4.[23].*|aix[5-9]*)
+         for ld_flag in $LDFLAGS; do
+         if (test $ld_flag = "-brtl" || test $ld_flag = "-Wl,-brtl"); then
+           aix_use_runtimelinking=yes
+           break
+         fi
+         done
+         ;;
+       esac
+
+       exp_sym_flag='-bexport'
+       no_entry_flag='-bnoentry'
+      fi
+
+      # When large executables or shared objects are built, AIX ld can
+      # have problems creating the table of contents.  If linking a library
+      # or program results in "error TOC overflow" add -mminimal-toc to
+      # CXXFLAGS/CFLAGS for g++/gcc.  In the cases where that is not
+      # enough to fix the problem, add -Wl,-bbigtoc to LDFLAGS.
+
+      archive_cmds=''
+      hardcode_direct=yes
+      hardcode_direct_absolute=yes
+      hardcode_libdir_separator=':'
+      link_all_deplibs=yes
+      file_list_spec='${wl}-f,'
+
+      if test "$GCC" = yes; then
+       case $host_os in aix4.[012]|aix4.[012].*)
+       # We only want to do this on AIX 4.2 and lower, the check
+       # below for broken collect2 doesn't work under 4.3+
+         collect2name=`${CC} -print-prog-name=collect2`
+         if test -f "$collect2name" &&
+          strings "$collect2name" | $GREP resolve_lib_name >/dev/null
+         then
+         # We have reworked collect2
+         :
+         else
+         # We have old collect2
+         hardcode_direct=unsupported
+         # It fails to find uninstalled libraries when the uninstalled
+         # path is not listed in the libpath.  Setting hardcode_minus_L
+         # to unsupported forces relinking
+         hardcode_minus_L=yes
+         hardcode_libdir_flag_spec='-L$libdir'
+         hardcode_libdir_separator=
+         fi
+         ;;
+       esac
+       shared_flag='-shared'
+       if test "$aix_use_runtimelinking" = yes; then
+         shared_flag="$shared_flag "'${wl}-G'
+       fi
+       link_all_deplibs=no
+      else
+       # not using gcc
+       if test "$host_cpu" = ia64; then
+       # VisualAge C++, Version 5.5 for AIX 5L for IA-64, Beta 3 Release
+       # chokes on -Wl,-G. The following line is correct:
+         shared_flag='-G'
+       else
+         if test "$aix_use_runtimelinking" = yes; then
+           shared_flag='${wl}-G'
+         else
+           shared_flag='${wl}-bM:SRE'
+         fi
+       fi
+      fi
+
+      export_dynamic_flag_spec='${wl}-bexpall'
+      # It seems that -bexpall does not export symbols beginning with
+      # underscore (_), so it is better to generate a list of symbols to export.
+      always_export_symbols=yes
+      if test "$aix_use_runtimelinking" = yes; then
+       # Warning - without using the other runtime loading flags (-brtl),
+       # -berok will link without error, but may produce a broken library.
+       allow_undefined_flag='-berok'
+        # Determine the default libpath from the value encoded in an
+        # empty executable.
+        cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+
+lt_aix_libpath_sed='
+    /Import File Strings/,/^$/ {
+       /^0/ {
+           s/^0  *\(.*\)$/\1/
+           p
+       }
+    }'
+aix_libpath=`dump -H conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
+# Check for a 64-bit object if we didn't find anything.
+if test -z "$aix_libpath"; then
+  aix_libpath=`dump -HX64 conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
+fi
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+if test -z "$aix_libpath"; then aix_libpath="/usr/lib:/lib"; fi
+
+        hardcode_libdir_flag_spec='${wl}-blibpath:$libdir:'"$aix_libpath"
+        archive_expsym_cmds='$CC -o $output_objdir/$soname $libobjs $deplibs '"\${wl}$no_entry_flag"' $compiler_flags `if test "x${allow_undefined_flag}" != "x"; then $ECHO "X${wl}${allow_undefined_flag}" | $Xsed; else :; fi` '"\${wl}$exp_sym_flag:\$export_symbols $shared_flag"
+      else
+       if test "$host_cpu" = ia64; then
+         hardcode_libdir_flag_spec='${wl}-R $libdir:/usr/lib:/lib'
+         allow_undefined_flag="-z nodefs"
+         archive_expsym_cmds="\$CC $shared_flag"' -o $output_objdir/$soname $libobjs $deplibs '"\${wl}$no_entry_flag"' $compiler_flags ${wl}${allow_undefined_flag} '"\${wl}$exp_sym_flag:\$export_symbols"
+       else
+        # Determine the default libpath from the value encoded in an
+        # empty executable.
+        cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+
+lt_aix_libpath_sed='
+    /Import File Strings/,/^$/ {
+       /^0/ {
+           s/^0  *\(.*\)$/\1/
+           p
+       }
+    }'
+aix_libpath=`dump -H conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
+# Check for a 64-bit object if we didn't find anything.
+if test -z "$aix_libpath"; then
+  aix_libpath=`dump -HX64 conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
+fi
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+if test -z "$aix_libpath"; then aix_libpath="/usr/lib:/lib"; fi
+
+        hardcode_libdir_flag_spec='${wl}-blibpath:$libdir:'"$aix_libpath"
+         # Warning - without using the other run time loading flags,
+         # -berok will link without error, but may produce a broken library.
+         no_undefined_flag=' ${wl}-bernotok'
+         allow_undefined_flag=' ${wl}-berok'
+         # Exported symbols can be pulled into shared objects from archives
+         whole_archive_flag_spec='$convenience'
+         archive_cmds_need_lc=yes
+         # This is similar to how AIX traditionally builds its shared libraries.
+         archive_expsym_cmds="\$CC $shared_flag"' -o $output_objdir/$soname $libobjs $deplibs ${wl}-bnoentry $compiler_flags ${wl}-bE:$export_symbols${allow_undefined_flag}~$AR $AR_FLAGS $output_objdir/$libname$release.a $output_objdir/$soname'
+       fi
+      fi
+      ;;
+
+    amigaos*)
+      case $host_cpu in
+      powerpc)
+            # see comment about AmigaOS4 .so support
+            archive_cmds='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+            archive_expsym_cmds=''
+        ;;
+      m68k)
+            archive_cmds='$RM $output_objdir/a2ixlibrary.data~$ECHO "#define NAME $libname" > $output_objdir/a2ixlibrary.data~$ECHO "#define LIBRARY_ID 1" >> $output_objdir/a2ixlibrary.data~$ECHO "#define VERSION $major" >> $output_objdir/a2ixlibrary.data~$ECHO "#define REVISION $revision" >> $output_objdir/a2ixlibrary.data~$AR $AR_FLAGS $lib $libobjs~$RANLIB $lib~(cd $output_objdir && a2ixlibrary -32)'
+            hardcode_libdir_flag_spec='-L$libdir'
+            hardcode_minus_L=yes
+        ;;
+      esac
+      ;;
+
+    bsdi[45]*)
+      export_dynamic_flag_spec=-rdynamic
+      ;;
+
+    cygwin* | mingw* | pw32* | cegcc*)
+      # When not using gcc, we currently assume that we are using
+      # Microsoft Visual C++.
+      # hardcode_libdir_flag_spec is actually meaningless, as there is
+      # no search path for DLLs.
+      hardcode_libdir_flag_spec=' '
+      allow_undefined_flag=unsupported
+      # Tell ltmain to make .lib files, not .a files.
+      libext=lib
+      # Tell ltmain to make .dll files, not .so files.
+      shrext_cmds=".dll"
+      # FIXME: Setting linknames here is a bad hack.
+      archive_cmds='$CC -o $lib $libobjs $compiler_flags `$ECHO "X$deplibs" | $Xsed -e '\''s/ -lc$//'\''` -link -dll~linknames='
+      # The linker will automatically build a .lib file if we build a DLL.
+      old_archive_from_new_cmds='true'
+      # FIXME: Should let the user specify the lib program.
+      old_archive_cmds='lib -OUT:$oldlib$oldobjs$old_deplibs'
+      fix_srcfile_path='`cygpath -w "$srcfile"`'
+      enable_shared_with_static_runtimes=yes
+      ;;
+
+    darwin* | rhapsody*)
+
+
+  archive_cmds_need_lc=no
+  hardcode_direct=no
+  hardcode_automatic=yes
+  hardcode_shlibpath_var=unsupported
+  whole_archive_flag_spec=''
+  link_all_deplibs=yes
+  allow_undefined_flag="$_lt_dar_allow_undefined"
+  case $cc_basename in
+     ifort*) _lt_dar_can_shared=yes ;;
+     *) _lt_dar_can_shared=$GCC ;;
+  esac
+  if test "$_lt_dar_can_shared" = "yes"; then
+    output_verbose_link_cmd=echo
+    archive_cmds="\$CC -dynamiclib \$allow_undefined_flag -o \$lib \$libobjs \$deplibs \$compiler_flags -install_name \$rpath/\$soname \$verstring $_lt_dar_single_mod${_lt_dsymutil}"
+    module_cmds="\$CC \$allow_undefined_flag -o \$lib -bundle \$libobjs \$deplibs \$compiler_flags${_lt_dsymutil}"
+    archive_expsym_cmds="sed 's,^,_,' < \$export_symbols > \$output_objdir/\${libname}-symbols.expsym~\$CC -dynamiclib \$allow_undefined_flag -o \$lib \$libobjs \$deplibs \$compiler_flags -install_name \$rpath/\$soname \$verstring ${_lt_dar_single_mod}${_lt_dar_export_syms}${_lt_dsymutil}"
+    module_expsym_cmds="sed -e 's,^,_,' < \$export_symbols > \$output_objdir/\${libname}-symbols.expsym~\$CC \$allow_undefined_flag -o \$lib -bundle \$libobjs \$deplibs \$compiler_flags${_lt_dar_export_syms}${_lt_dsymutil}"
+
+  else
+  ld_shlibs=no
+  fi
+
+      ;;
+
+    dgux*)
+      archive_cmds='$LD -G -h $soname -o $lib $libobjs $deplibs $linker_flags'
+      hardcode_libdir_flag_spec='-L$libdir'
+      hardcode_shlibpath_var=no
+      ;;
+
+    freebsd1*)
+      ld_shlibs=no
+      ;;
+
+    # FreeBSD 2.2.[012] allows us to include c++rt0.o to get C++ constructor
+    # support.  Future versions do this automatically, but an explicit c++rt0.o
+    # does not break anything, and helps significantly (at the cost of a little
+    # extra space).
+    freebsd2.2*)
+      archive_cmds='$LD -Bshareable -o $lib $libobjs $deplibs $linker_flags /usr/lib/c++rt0.o'
+      hardcode_libdir_flag_spec='-R$libdir'
+      hardcode_direct=yes
+      hardcode_shlibpath_var=no
+      ;;
+
+    # Unfortunately, older versions of FreeBSD 2 do not have this feature.
+    freebsd2*)
+      archive_cmds='$LD -Bshareable -o $lib $libobjs $deplibs $linker_flags'
+      hardcode_direct=yes
+      hardcode_minus_L=yes
+      hardcode_shlibpath_var=no
+      ;;
+
+    # FreeBSD 3 and greater uses gcc -shared to do shared libraries.
+    freebsd* | dragonfly*)
+      archive_cmds='$CC -shared -o $lib $libobjs $deplibs $compiler_flags'
+      hardcode_libdir_flag_spec='-R$libdir'
+      hardcode_direct=yes
+      hardcode_shlibpath_var=no
+      ;;
+
+    hpux9*)
+      if test "$GCC" = yes; then
+       archive_cmds='$RM $output_objdir/$soname~$CC -shared -fPIC ${wl}+b ${wl}$install_libdir -o $output_objdir/$soname $libobjs $deplibs $compiler_flags~test $output_objdir/$soname = $lib || mv $output_objdir/$soname $lib'
+      else
+       archive_cmds='$RM $output_objdir/$soname~$LD -b +b $install_libdir -o $output_objdir/$soname $libobjs $deplibs $linker_flags~test $output_objdir/$soname = $lib || mv $output_objdir/$soname $lib'
+      fi
+      hardcode_libdir_flag_spec='${wl}+b ${wl}$libdir'
+      hardcode_libdir_separator=:
+      hardcode_direct=yes
+
+      # hardcode_minus_L: Not really in the search PATH,
+      # but as the default location of the library.
+      hardcode_minus_L=yes
+      export_dynamic_flag_spec='${wl}-E'
+      ;;
+
+    hpux10*)
+      if test "$GCC" = yes -a "$with_gnu_ld" = no; then
+       archive_cmds='$CC -shared -fPIC ${wl}+h ${wl}$soname ${wl}+b ${wl}$install_libdir -o $lib $libobjs $deplibs $compiler_flags'
+      else
+       archive_cmds='$LD -b +h $soname +b $install_libdir -o $lib $libobjs $deplibs $linker_flags'
+      fi
+      if test "$with_gnu_ld" = no; then
+       hardcode_libdir_flag_spec='${wl}+b ${wl}$libdir'
+       hardcode_libdir_flag_spec_ld='+b $libdir'
+       hardcode_libdir_separator=:
+       hardcode_direct=yes
+       hardcode_direct_absolute=yes
+       export_dynamic_flag_spec='${wl}-E'
+       # hardcode_minus_L: Not really in the search PATH,
+       # but as the default location of the library.
+       hardcode_minus_L=yes
+      fi
+      ;;
+
+    hpux11*)
+      if test "$GCC" = yes -a "$with_gnu_ld" = no; then
+       case $host_cpu in
+       hppa*64*)
+         archive_cmds='$CC -shared ${wl}+h ${wl}$soname -o $lib $libobjs $deplibs $compiler_flags'
+         ;;
+       ia64*)
+         archive_cmds='$CC -shared -fPIC ${wl}+h ${wl}$soname ${wl}+nodefaultrpath -o $lib $libobjs $deplibs $compiler_flags'
+         ;;
+       *)
+         archive_cmds='$CC -shared -fPIC ${wl}+h ${wl}$soname ${wl}+b ${wl}$install_libdir -o $lib $libobjs $deplibs $compiler_flags'
+         ;;
+       esac
+      else
+       case $host_cpu in
+       hppa*64*)
+         archive_cmds='$CC -b ${wl}+h ${wl}$soname -o $lib $libobjs $deplibs $compiler_flags'
+         ;;
+       ia64*)
+         archive_cmds='$CC -b ${wl}+h ${wl}$soname ${wl}+nodefaultrpath -o $lib $libobjs $deplibs $compiler_flags'
+         ;;
+       *)
+         archive_cmds='$CC -b ${wl}+h ${wl}$soname ${wl}+b ${wl}$install_libdir -o $lib $libobjs $deplibs $compiler_flags'
+         ;;
+       esac
+      fi
+      if test "$with_gnu_ld" = no; then
+       hardcode_libdir_flag_spec='${wl}+b ${wl}$libdir'
+       hardcode_libdir_separator=:
+
+       case $host_cpu in
+       hppa*64*|ia64*)
+         hardcode_direct=no
+         hardcode_shlibpath_var=no
+         ;;
+       *)
+         hardcode_direct=yes
+         hardcode_direct_absolute=yes
+         export_dynamic_flag_spec='${wl}-E'
+
+         # hardcode_minus_L: Not really in the search PATH,
+         # but as the default location of the library.
+         hardcode_minus_L=yes
+         ;;
+       esac
+      fi
+      ;;
+
+    irix5* | irix6* | nonstopux*)
+      if test "$GCC" = yes; then
+       archive_cmds='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && $ECHO "X${wl}-set_version ${wl}$verstring" | $Xsed` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
+       # Try to use the -exported_symbol ld option, if it does not
+       # work, assume that -exports_file does not work either and
+       # implicitly export all symbols.
+        save_LDFLAGS="$LDFLAGS"
+        LDFLAGS="$LDFLAGS -shared ${wl}-exported_symbol ${wl}foo ${wl}-update_registry ${wl}/dev/null"
+        cat >conftest.$ac_ext <<_ACEOF
+int foo(void) {}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  archive_expsym_cmds='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && $ECHO "X${wl}-set_version ${wl}$verstring" | $Xsed` ${wl}-update_registry ${wl}${output_objdir}/so_locations ${wl}-exports_file ${wl}$export_symbols -o $lib'
+
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+        LDFLAGS="$save_LDFLAGS"
+      else
+       archive_cmds='$CC -shared $libobjs $deplibs $compiler_flags -soname $soname `test -n "$verstring" && $ECHO "X-set_version $verstring" | $Xsed` -update_registry ${output_objdir}/so_locations -o $lib'
+       archive_expsym_cmds='$CC -shared $libobjs $deplibs $compiler_flags -soname $soname `test -n "$verstring" && $ECHO "X-set_version $verstring" | $Xsed` -update_registry ${output_objdir}/so_locations -exports_file $export_symbols -o $lib'
+      fi
+      archive_cmds_need_lc='no'
+      hardcode_libdir_flag_spec='${wl}-rpath ${wl}$libdir'
+      hardcode_libdir_separator=:
+      inherit_rpath=yes
+      link_all_deplibs=yes
+      ;;
+
+    netbsd* | netbsdelf*-gnu)
+      if echo __ELF__ | $CC -E - | $GREP __ELF__ >/dev/null; then
+       archive_cmds='$LD -Bshareable -o $lib $libobjs $deplibs $linker_flags'  # a.out
+      else
+       archive_cmds='$LD -shared -o $lib $libobjs $deplibs $linker_flags'      # ELF
+      fi
+      hardcode_libdir_flag_spec='-R$libdir'
+      hardcode_direct=yes
+      hardcode_shlibpath_var=no
+      ;;
+
+    newsos6)
+      archive_cmds='$LD -G -h $soname -o $lib $libobjs $deplibs $linker_flags'
+      hardcode_direct=yes
+      hardcode_libdir_flag_spec='${wl}-rpath ${wl}$libdir'
+      hardcode_libdir_separator=:
+      hardcode_shlibpath_var=no
+      ;;
+
+    *nto* | *qnx*)
+      ;;
+
+    openbsd*)
+      if test -f /usr/libexec/ld.so; then
+       hardcode_direct=yes
+       hardcode_shlibpath_var=no
+       hardcode_direct_absolute=yes
+       if test -z "`echo __ELF__ | $CC -E - | $GREP __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
+         archive_cmds='$CC -shared $pic_flag -o $lib $libobjs $deplibs $compiler_flags'
+         archive_expsym_cmds='$CC -shared $pic_flag -o $lib $libobjs $deplibs $compiler_flags ${wl}-retain-symbols-file,$export_symbols'
+         hardcode_libdir_flag_spec='${wl}-rpath,$libdir'
+         export_dynamic_flag_spec='${wl}-E'
+       else
+         case $host_os in
+          openbsd[01].* | openbsd2.[0-7] | openbsd2.[0-7].*)
+            archive_cmds='$LD -Bshareable -o $lib $libobjs $deplibs $linker_flags'
+            hardcode_libdir_flag_spec='-R$libdir'
+            ;;
+          *)
+            archive_cmds='$CC -shared $pic_flag -o $lib $libobjs $deplibs $compiler_flags'
+            hardcode_libdir_flag_spec='${wl}-rpath,$libdir'
+            ;;
+         esac
+       fi
+      else
+       ld_shlibs=no
+      fi
+      ;;
+
+    os2*)
+      hardcode_libdir_flag_spec='-L$libdir'
+      hardcode_minus_L=yes
+      allow_undefined_flag=unsupported
+      archive_cmds='$ECHO "LIBRARY $libname INITINSTANCE" > $output_objdir/$libname.def~$ECHO "DESCRIPTION \"$libname\"" >> $output_objdir/$libname.def~$ECHO DATA >> $output_objdir/$libname.def~$ECHO " SINGLE NONSHARED" >> $output_objdir/$libname.def~$ECHO EXPORTS >> $output_objdir/$libname.def~emxexp $libobjs >> $output_objdir/$libname.def~$CC -Zdll -Zcrtdll -o $lib $libobjs $deplibs $compiler_flags $output_objdir/$libname.def'
+      old_archive_from_new_cmds='emximp -o $output_objdir/$libname.a $output_objdir/$libname.def'
+      ;;
+
+    osf3*)
+      if test "$GCC" = yes; then
+       allow_undefined_flag=' ${wl}-expect_unresolved ${wl}\*'
+       archive_cmds='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && $ECHO "X${wl}-set_version ${wl}$verstring" | $Xsed` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
+      else
+       allow_undefined_flag=' -expect_unresolved \*'
+       archive_cmds='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags -soname $soname `test -n "$verstring" && $ECHO "X-set_version $verstring" | $Xsed` -update_registry ${output_objdir}/so_locations -o $lib'
+      fi
+      archive_cmds_need_lc='no'
+      hardcode_libdir_flag_spec='${wl}-rpath ${wl}$libdir'
+      hardcode_libdir_separator=:
+      ;;
+
+    osf4* | osf5*)     # as osf3* with the addition of -msym flag
+      if test "$GCC" = yes; then
+       allow_undefined_flag=' ${wl}-expect_unresolved ${wl}\*'
+       archive_cmds='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags ${wl}-msym ${wl}-soname ${wl}$soname `test -n "$verstring" && $ECHO "X${wl}-set_version ${wl}$verstring" | $Xsed` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
+       hardcode_libdir_flag_spec='${wl}-rpath ${wl}$libdir'
+      else
+       allow_undefined_flag=' -expect_unresolved \*'
+       archive_cmds='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags -msym -soname $soname `test -n "$verstring" && $ECHO "X-set_version $verstring" | $Xsed` -update_registry ${output_objdir}/so_locations -o $lib'
+       archive_expsym_cmds='for i in `cat $export_symbols`; do printf "%s %s\\n" -exported_symbol "\$i" >> $lib.exp; done; printf "%s\\n" "-hidden">> $lib.exp~
+       $CC -shared${allow_undefined_flag} ${wl}-input ${wl}$lib.exp $compiler_flags $libobjs $deplibs -soname $soname `test -n "$verstring" && $ECHO "X-set_version $verstring" | $Xsed` -update_registry ${output_objdir}/so_locations -o $lib~$RM $lib.exp'
+
+       # Both c and cxx compiler support -rpath directly
+       hardcode_libdir_flag_spec='-rpath $libdir'
+      fi
+      archive_cmds_need_lc='no'
+      hardcode_libdir_separator=:
+      ;;
+
+    solaris*)
+      no_undefined_flag=' -z defs'
+      if test "$GCC" = yes; then
+       wlarc='${wl}'
+       archive_cmds='$CC -shared ${wl}-z ${wl}text ${wl}-h ${wl}$soname -o $lib $libobjs $deplibs $compiler_flags'
+       archive_expsym_cmds='echo "{ global:" > $lib.exp~cat $export_symbols | $SED -e "s/\(.*\)/\1;/" >> $lib.exp~echo "local: *; };" >> $lib.exp~
+         $CC -shared ${wl}-z ${wl}text ${wl}-M ${wl}$lib.exp ${wl}-h ${wl}$soname -o $lib $libobjs $deplibs $compiler_flags~$RM $lib.exp'
+      else
+       case `$CC -V 2>&1` in
+       *"Compilers 5.0"*)
+         wlarc=''
+         archive_cmds='$LD -G${allow_undefined_flag} -h $soname -o $lib $libobjs $deplibs $linker_flags'
+         archive_expsym_cmds='echo "{ global:" > $lib.exp~cat $export_symbols | $SED -e "s/\(.*\)/\1;/" >> $lib.exp~echo "local: *; };" >> $lib.exp~
+         $LD -G${allow_undefined_flag} -M $lib.exp -h $soname -o $lib $libobjs $deplibs $linker_flags~$RM $lib.exp'
+         ;;
+       *)
+         wlarc='${wl}'
+         archive_cmds='$CC -G${allow_undefined_flag} -h $soname -o $lib $libobjs $deplibs $compiler_flags'
+         archive_expsym_cmds='echo "{ global:" > $lib.exp~cat $export_symbols | $SED -e "s/\(.*\)/\1;/" >> $lib.exp~echo "local: *; };" >> $lib.exp~
+         $CC -G${allow_undefined_flag} -M $lib.exp -h $soname -o $lib $libobjs $deplibs $compiler_flags~$RM $lib.exp'
+         ;;
+       esac
+      fi
+      hardcode_libdir_flag_spec='-R$libdir'
+      hardcode_shlibpath_var=no
+      case $host_os in
+      solaris2.[0-5] | solaris2.[0-5].*) ;;
+      *)
+       # The compiler driver will combine and reorder linker options,
+       # but understands `-z linker_flag'.  GCC discards it without `$wl',
+       # but is careful enough not to reorder.
+       # Supported since Solaris 2.6 (maybe 2.5.1?)
+       if test "$GCC" = yes; then
+         whole_archive_flag_spec='${wl}-z ${wl}allextract$convenience ${wl}-z ${wl}defaultextract'
+       else
+         whole_archive_flag_spec='-z allextract$convenience -z defaultextract'
+       fi
+       ;;
+      esac
+      link_all_deplibs=yes
+      ;;
+
+    sunos4*)
+      if test "x$host_vendor" = xsequent; then
+       # Use $CC to link under sequent, because it throws in some extra .o
+       # files that make .init and .fini sections work.
+       archive_cmds='$CC -G ${wl}-h $soname -o $lib $libobjs $deplibs $compiler_flags'
+      else
+       archive_cmds='$LD -assert pure-text -Bstatic -o $lib $libobjs $deplibs $linker_flags'
+      fi
+      hardcode_libdir_flag_spec='-L$libdir'
+      hardcode_direct=yes
+      hardcode_minus_L=yes
+      hardcode_shlibpath_var=no
+      ;;
+
+    sysv4)
+      case $host_vendor in
+       sni)
+         archive_cmds='$LD -G -h $soname -o $lib $libobjs $deplibs $linker_flags'
+         hardcode_direct=yes # is this really true???
+       ;;
+       siemens)
+         ## LD is ld it makes a PLAMLIB
+         ## CC just makes a GrossModule.
+         archive_cmds='$LD -G -o $lib $libobjs $deplibs $linker_flags'
+         reload_cmds='$CC -r -o $output$reload_objs'
+         hardcode_direct=no
+        ;;
+       motorola)
+         archive_cmds='$LD -G -h $soname -o $lib $libobjs $deplibs $linker_flags'
+         hardcode_direct=no #Motorola manual says yes, but my tests say they lie
+       ;;
+      esac
+      runpath_var='LD_RUN_PATH'
+      hardcode_shlibpath_var=no
+      ;;
+
+    sysv4.3*)
+      archive_cmds='$LD -G -h $soname -o $lib $libobjs $deplibs $linker_flags'
+      hardcode_shlibpath_var=no
+      export_dynamic_flag_spec='-Bexport'
+      ;;
+
+    sysv4*MP*)
+      if test -d /usr/nec; then
+       archive_cmds='$LD -G -h $soname -o $lib $libobjs $deplibs $linker_flags'
+       hardcode_shlibpath_var=no
+       runpath_var=LD_RUN_PATH
+       hardcode_runpath_var=yes
+       ld_shlibs=yes
+      fi
+      ;;
+
+    sysv4*uw2* | sysv5OpenUNIX* | sysv5UnixWare7.[01].[10]* | unixware7* | sco3.2v5.0.[024]*)
+      no_undefined_flag='${wl}-z,text'
+      archive_cmds_need_lc=no
+      hardcode_shlibpath_var=no
+      runpath_var='LD_RUN_PATH'
+
+      if test "$GCC" = yes; then
+       archive_cmds='$CC -shared ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+       archive_expsym_cmds='$CC -shared ${wl}-Bexport:$export_symbols ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+      else
+       archive_cmds='$CC -G ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+       archive_expsym_cmds='$CC -G ${wl}-Bexport:$export_symbols ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+      fi
+      ;;
+
+    sysv5* | sco3.2v5* | sco5v6*)
+      # Note: We can NOT use -z defs as we might desire, because we do not
+      # link with -lc, and that would cause any symbols used from libc to
+      # always be unresolved, which means just about no library would
+      # ever link correctly.  If we're not using GNU ld we use -z text
+      # though, which does catch some bad symbols but isn't as heavy-handed
+      # as -z defs.
+      no_undefined_flag='${wl}-z,text'
+      allow_undefined_flag='${wl}-z,nodefs'
+      archive_cmds_need_lc=no
+      hardcode_shlibpath_var=no
+      hardcode_libdir_flag_spec='${wl}-R,$libdir'
+      hardcode_libdir_separator=':'
+      link_all_deplibs=yes
+      export_dynamic_flag_spec='${wl}-Bexport'
+      runpath_var='LD_RUN_PATH'
+
+      if test "$GCC" = yes; then
+       archive_cmds='$CC -shared ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+       archive_expsym_cmds='$CC -shared ${wl}-Bexport:$export_symbols ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+      else
+       archive_cmds='$CC -G ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+       archive_expsym_cmds='$CC -G ${wl}-Bexport:$export_symbols ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+      fi
+      ;;
+
+    uts4*)
+      archive_cmds='$LD -G -h $soname -o $lib $libobjs $deplibs $linker_flags'
+      hardcode_libdir_flag_spec='-L$libdir'
+      hardcode_shlibpath_var=no
+      ;;
+
+    *)
+      ld_shlibs=no
+      ;;
+    esac
+
+    if test x$host_vendor = xsni; then
+      case $host in
+      sysv4 | sysv4.2uw2* | sysv4.3* | sysv5*)
+       export_dynamic_flag_spec='${wl}-Blargedynsym'
+       ;;
+      esac
+    fi
+  fi
+
+{ $as_echo "$as_me:$LINENO: result: $ld_shlibs" >&5
+$as_echo "$ld_shlibs" >&6; }
+test "$ld_shlibs" = no && can_build_shared=no
+
+with_gnu_ld=$with_gnu_ld
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+#
+# Do we need to explicitly link libc?
+#
+case "x$archive_cmds_need_lc" in
+x|xyes)
+  # Assume -lc should be added
+  archive_cmds_need_lc=yes
+
+  if test "$enable_shared" = yes && test "$GCC" = yes; then
+    case $archive_cmds in
+    *'~'*)
+      # FIXME: we may have to deal with multi-command sequences.
+      ;;
+    '$CC '*)
+      # Test whether the compiler implicitly links with -lc since on some
+      # systems, -lgcc has to come before -lc. If gcc already passes -lc
+      # to ld, don't add -lc before -lgcc.
+      { $as_echo "$as_me:$LINENO: checking whether -lc should be explicitly linked in" >&5
+$as_echo_n "checking whether -lc should be explicitly linked in... " >&6; }
+      $RM conftest*
+      echo "$lt_simple_compile_test_code" > conftest.$ac_ext
+
+      if { (eval echo "$as_me:$LINENO: \"$ac_compile\"") >&5
+  (eval $ac_compile) 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } 2>conftest.err; then
+        soname=conftest
+        lib=conftest
+        libobjs=conftest.$ac_objext
+        deplibs=
+        wl=$lt_prog_compiler_wl
+       pic_flag=$lt_prog_compiler_pic
+        compiler_flags=-v
+        linker_flags=-v
+        verstring=
+        output_objdir=.
+        libname=conftest
+        lt_save_allow_undefined_flag=$allow_undefined_flag
+        allow_undefined_flag=
+        if { (eval echo "$as_me:$LINENO: \"$archive_cmds 2\>\&1 \| $GREP \" -lc \" \>/dev/null 2\>\&1\"") >&5
+  (eval $archive_cmds 2\>\&1 \| $GREP \" -lc \" \>/dev/null 2\>\&1) 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }
+        then
+         archive_cmds_need_lc=no
+        else
+         archive_cmds_need_lc=yes
+        fi
+        allow_undefined_flag=$lt_save_allow_undefined_flag
+      else
+        cat conftest.err 1>&5
+      fi
+      $RM conftest*
+      { $as_echo "$as_me:$LINENO: result: $archive_cmds_need_lc" >&5
+$as_echo "$archive_cmds_need_lc" >&6; }
+      ;;
+    esac
+  fi
+  ;;
+esac
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+  { $as_echo "$as_me:$LINENO: checking dynamic linker characteristics" >&5
+$as_echo_n "checking dynamic linker characteristics... " >&6; }
+
+if test "$GCC" = yes; then
+  case $host_os in
+    darwin*) lt_awk_arg="/^libraries:/,/LR/" ;;
+    *) lt_awk_arg="/^libraries:/" ;;
+  esac
+  lt_search_path_spec=`$CC -print-search-dirs | awk $lt_awk_arg | $SED -e "s/^libraries://" -e "s,=/,/,g"`
+  if $ECHO "$lt_search_path_spec" | $GREP ';' >/dev/null ; then
+    # if the path contains ";" then we assume it to be the separator
+    # otherwise default to the standard path separator (i.e. ":") - it is
+    # assumed that no part of a normal pathname contains ";" but that should
+    # okay in the real world where ";" in dirpaths is itself problematic.
+    lt_search_path_spec=`$ECHO "$lt_search_path_spec" | $SED -e 's/;/ /g'`
+  else
+    lt_search_path_spec=`$ECHO "$lt_search_path_spec" | $SED  -e "s/$PATH_SEPARATOR/ /g"`
+  fi
+  # Ok, now we have the path, separated by spaces, we can step through it
+  # and add multilib dir if necessary.
+  lt_tmp_lt_search_path_spec=
+  lt_multi_os_dir=`$CC $CPPFLAGS $CFLAGS $LDFLAGS -print-multi-os-directory 2>/dev/null`
+  for lt_sys_path in $lt_search_path_spec; do
+    if test -d "$lt_sys_path/$lt_multi_os_dir"; then
+      lt_tmp_lt_search_path_spec="$lt_tmp_lt_search_path_spec $lt_sys_path/$lt_multi_os_dir"
+    else
+      test -d "$lt_sys_path" && \
+       lt_tmp_lt_search_path_spec="$lt_tmp_lt_search_path_spec $lt_sys_path"
+    fi
+  done
+  lt_search_path_spec=`$ECHO $lt_tmp_lt_search_path_spec | awk '
+BEGIN {RS=" "; FS="/|\n";} {
+  lt_foo="";
+  lt_count=0;
+  for (lt_i = NF; lt_i > 0; lt_i--) {
+    if ($lt_i != "" && $lt_i != ".") {
+      if ($lt_i == "..") {
+        lt_count++;
+      } else {
+        if (lt_count == 0) {
+          lt_foo="/" $lt_i lt_foo;
+        } else {
+          lt_count--;
+        }
+      }
+    }
+  }
+  if (lt_foo != "") { lt_freq[lt_foo]++; }
+  if (lt_freq[lt_foo] == 1) { print lt_foo; }
+}'`
+  sys_lib_search_path_spec=`$ECHO $lt_search_path_spec`
+else
+  sys_lib_search_path_spec="/lib /usr/lib /usr/local/lib"
+fi
+library_names_spec=
+libname_spec='lib$name'
+soname_spec=
+shrext_cmds=".so"
+postinstall_cmds=
+postuninstall_cmds=
+finish_cmds=
+finish_eval=
+shlibpath_var=
+shlibpath_overrides_runpath=unknown
+version_type=none
+dynamic_linker="$host_os ld.so"
+sys_lib_dlsearch_path_spec="/lib /usr/lib"
+need_lib_prefix=unknown
+hardcode_into_libs=no
+
+# when you set need_version to no, make sure it does not cause -set_version
+# flags to be left without arguments
+need_version=unknown
+
+case $host_os in
+aix3*)
+  version_type=linux
+  library_names_spec='${libname}${release}${shared_ext}$versuffix $libname.a'
+  shlibpath_var=LIBPATH
+
+  # AIX 3 has no versioning support, so we append a major version to the name.
+  soname_spec='${libname}${release}${shared_ext}$major'
+  ;;
+
+aix[4-9]*)
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  hardcode_into_libs=yes
+  if test "$host_cpu" = ia64; then
+    # AIX 5 supports IA64
+    library_names_spec='${libname}${release}${shared_ext}$major ${libname}${release}${shared_ext}$versuffix $libname${shared_ext}'
+    shlibpath_var=LD_LIBRARY_PATH
+  else
+    # With GCC up to 2.95.x, collect2 would create an import file
+    # for dependence libraries.  The import file would start with
+    # the line `#! .'.  This would cause the generated library to
+    # depend on `.', always an invalid library.  This was fixed in
+    # development snapshots of GCC prior to 3.0.
+    case $host_os in
+      aix4 | aix4.[01] | aix4.[01].*)
+      if { echo '#if __GNUC__ > 2 || (__GNUC__ == 2 && __GNUC_MINOR__ >= 97)'
+          echo ' yes '
+          echo '#endif'; } | ${CC} -E - | $GREP yes > /dev/null; then
+       :
+      else
+       can_build_shared=no
+      fi
+      ;;
+    esac
+    # AIX (on Power*) has no versioning support, so currently we can not hardcode correct
+    # soname into executable. Probably we can add versioning support to
+    # collect2, so additional links can be useful in future.
+    if test "$aix_use_runtimelinking" = yes; then
+      # If using run time linking (on AIX 4.2 or later) use lib<name>.so
+      # instead of lib<name>.a to let people know that these are not
+      # typical AIX shared libraries.
+      library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+    else
+      # We preserve .a as extension for shared libraries through AIX4.2
+      # and later when we are not doing run time linking.
+      library_names_spec='${libname}${release}.a $libname.a'
+      soname_spec='${libname}${release}${shared_ext}$major'
+    fi
+    shlibpath_var=LIBPATH
+  fi
+  ;;
+
+amigaos*)
+  case $host_cpu in
+  powerpc)
+    # Since July 2007 AmigaOS4 officially supports .so libraries.
+    # When compiling the executable, add -use-dynld -Lsobjs: to the compileline.
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+    ;;
+  m68k)
+    library_names_spec='$libname.ixlibrary $libname.a'
+    # Create ${libname}_ixlibrary.a entries in /sys/libs.
+    finish_eval='for lib in `ls $libdir/*.ixlibrary 2>/dev/null`; do libname=`$ECHO "X$lib" | $Xsed -e '\''s%^.*/\([^/]*\)\.ixlibrary$%\1%'\''`; test $RM /sys/libs/${libname}_ixlibrary.a; $show "cd /sys/libs && $LN_S $lib ${libname}_ixlibrary.a"; cd /sys/libs && $LN_S $lib ${libname}_ixlibrary.a || exit 1; done'
+    ;;
+  esac
+  ;;
+
+beos*)
+  library_names_spec='${libname}${shared_ext}'
+  dynamic_linker="$host_os ld.so"
+  shlibpath_var=LIBRARY_PATH
+  ;;
+
+bsdi[45]*)
+  version_type=linux
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  finish_cmds='PATH="\$PATH:/sbin" ldconfig $libdir'
+  shlibpath_var=LD_LIBRARY_PATH
+  sys_lib_search_path_spec="/shlib /usr/lib /usr/X11/lib /usr/contrib/lib /lib /usr/local/lib"
+  sys_lib_dlsearch_path_spec="/shlib /usr/lib /usr/local/lib"
+  # the default ld.so.conf also contains /usr/contrib/lib and
+  # /usr/X11R6/lib (/usr/X11 is a link to /usr/X11R6), but let us allow
+  # libtool to hard-code these into programs
+  ;;
+
+cygwin* | mingw* | pw32* | cegcc*)
+  version_type=windows
+  shrext_cmds=".dll"
+  need_version=no
+  need_lib_prefix=no
+
+  case $GCC,$host_os in
+  yes,cygwin* | yes,mingw* | yes,pw32* | yes,cegcc*)
+    library_names_spec='$libname.dll.a'
+    # DLL is installed to $(libdir)/../bin by postinstall_cmds
+    postinstall_cmds='base_file=`basename \${file}`~
+      dlpath=`$SHELL 2>&1 -c '\''. $dir/'\''\${base_file}'\''i; echo \$dlname'\''`~
+      dldir=$destdir/`dirname \$dlpath`~
+      test -d \$dldir || mkdir -p \$dldir~
+      $install_prog $dir/$dlname \$dldir/$dlname~
+      chmod a+x \$dldir/$dlname~
+      if test -n '\''$stripme'\'' && test -n '\''$striplib'\''; then
+        eval '\''$striplib \$dldir/$dlname'\'' || exit \$?;
+      fi'
+    postuninstall_cmds='dldll=`$SHELL 2>&1 -c '\''. $file; echo \$dlname'\''`~
+      dlpath=$dir/\$dldll~
+       $RM \$dlpath'
+    shlibpath_overrides_runpath=yes
+
+    case $host_os in
+    cygwin*)
+      # Cygwin DLLs use 'cyg' prefix rather than 'lib'
+      soname_spec='`echo ${libname} | sed -e 's/^lib/cyg/'``echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
+      sys_lib_search_path_spec="/usr/lib /lib/w32api /lib /usr/local/lib"
+      ;;
+    mingw* | cegcc*)
+      # MinGW DLLs use traditional 'lib' prefix
+      soname_spec='${libname}`echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
+      sys_lib_search_path_spec=`$CC -print-search-dirs | $GREP "^libraries:" | $SED -e "s/^libraries://" -e "s,=/,/,g"`
+      if $ECHO "$sys_lib_search_path_spec" | $GREP ';[c-zC-Z]:/' >/dev/null; then
+        # It is most probably a Windows format PATH printed by
+        # mingw gcc, but we are running on Cygwin. Gcc prints its search
+        # path with ; separators, and with drive letters. We can handle the
+        # drive letters (cygwin fileutils understands them), so leave them,
+        # especially as we might pass files found there to a mingw objdump,
+        # which wouldn't understand a cygwinified path. Ahh.
+        sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | $SED -e 's/;/ /g'`
+      else
+        sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | $SED  -e "s/$PATH_SEPARATOR/ /g"`
+      fi
+      ;;
+    pw32*)
+      # pw32 DLLs use 'pw' prefix rather than 'lib'
+      library_names_spec='`echo ${libname} | sed -e 's/^lib/pw/'``echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
+      ;;
+    esac
+    ;;
+
+  *)
+    library_names_spec='${libname}`echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext} $libname.lib'
+    ;;
+  esac
+  dynamic_linker='Win32 ld.exe'
+  # FIXME: first we should search . and the directory the executable is in
+  shlibpath_var=PATH
+  ;;
+
+darwin* | rhapsody*)
+  dynamic_linker="$host_os dyld"
+  version_type=darwin
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${major}$shared_ext ${libname}$shared_ext'
+  soname_spec='${libname}${release}${major}$shared_ext'
+  shlibpath_overrides_runpath=yes
+  shlibpath_var=DYLD_LIBRARY_PATH
+  shrext_cmds='`test .$module = .yes && echo .so || echo .dylib`'
+
+  sys_lib_search_path_spec="$sys_lib_search_path_spec /usr/local/lib"
+  sys_lib_dlsearch_path_spec='/usr/local/lib /lib /usr/lib'
+  ;;
+
+dgux*)
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname$shared_ext'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  ;;
+
+freebsd1*)
+  dynamic_linker=no
+  ;;
+
+freebsd* | dragonfly*)
+  # DragonFly does not have aout.  When/if they implement a new
+  # versioning mechanism, adjust this.
+  if test -x /usr/bin/objformat; then
+    objformat=`/usr/bin/objformat`
+  else
+    case $host_os in
+    freebsd[123]*) objformat=aout ;;
+    *) objformat=elf ;;
+    esac
+  fi
+  version_type=freebsd-$objformat
+  case $version_type in
+    freebsd-elf*)
+      library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext} $libname${shared_ext}'
+      need_version=no
+      need_lib_prefix=no
+      ;;
+    freebsd-*)
+      library_names_spec='${libname}${release}${shared_ext}$versuffix $libname${shared_ext}$versuffix'
+      need_version=yes
+      ;;
+  esac
+  shlibpath_var=LD_LIBRARY_PATH
+  case $host_os in
+  freebsd2*)
+    shlibpath_overrides_runpath=yes
+    ;;
+  freebsd3.[01]* | freebsdelf3.[01]*)
+    shlibpath_overrides_runpath=yes
+    hardcode_into_libs=yes
+    ;;
+  freebsd3.[2-9]* | freebsdelf3.[2-9]* | \
+  freebsd4.[0-5] | freebsdelf4.[0-5] | freebsd4.1.1 | freebsdelf4.1.1)
+    shlibpath_overrides_runpath=no
+    hardcode_into_libs=yes
+    ;;
+  *) # from 4.6 on, and DragonFly
+    shlibpath_overrides_runpath=yes
+    hardcode_into_libs=yes
+    ;;
+  esac
+  ;;
+
+gnu*)
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}${major} ${libname}${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  hardcode_into_libs=yes
+  ;;
+
+hpux9* | hpux10* | hpux11*)
+  # Give a soname corresponding to the major version so that dld.sl refuses to
+  # link against other versions.
+  version_type=sunos
+  need_lib_prefix=no
+  need_version=no
+  case $host_cpu in
+  ia64*)
+    shrext_cmds='.so'
+    hardcode_into_libs=yes
+    dynamic_linker="$host_os dld.so"
+    shlibpath_var=LD_LIBRARY_PATH
+    shlibpath_overrides_runpath=yes # Unless +noenvvar is specified.
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+    soname_spec='${libname}${release}${shared_ext}$major'
+    if test "X$HPUX_IA64_MODE" = X32; then
+      sys_lib_search_path_spec="/usr/lib/hpux32 /usr/local/lib/hpux32 /usr/local/lib"
+    else
+      sys_lib_search_path_spec="/usr/lib/hpux64 /usr/local/lib/hpux64"
+    fi
+    sys_lib_dlsearch_path_spec=$sys_lib_search_path_spec
+    ;;
+  hppa*64*)
+    shrext_cmds='.sl'
+    hardcode_into_libs=yes
+    dynamic_linker="$host_os dld.sl"
+    shlibpath_var=LD_LIBRARY_PATH # How should we handle SHLIB_PATH
+    shlibpath_overrides_runpath=yes # Unless +noenvvar is specified.
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+    soname_spec='${libname}${release}${shared_ext}$major'
+    sys_lib_search_path_spec="/usr/lib/pa20_64 /usr/ccs/lib/pa20_64"
+    sys_lib_dlsearch_path_spec=$sys_lib_search_path_spec
+    ;;
+  *)
+    shrext_cmds='.sl'
+    dynamic_linker="$host_os dld.sl"
+    shlibpath_var=SHLIB_PATH
+    shlibpath_overrides_runpath=no # +s is required to enable SHLIB_PATH
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+    soname_spec='${libname}${release}${shared_ext}$major'
+    ;;
+  esac
+  # HP-UX runs *really* slowly unless shared libraries are mode 555.
+  postinstall_cmds='chmod 555 $lib'
+  ;;
+
+interix[3-9]*)
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major ${libname}${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  dynamic_linker='Interix 3.x ld.so.1 (PE, like ELF)'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=no
+  hardcode_into_libs=yes
+  ;;
+
+irix5* | irix6* | nonstopux*)
+  case $host_os in
+    nonstopux*) version_type=nonstopux ;;
+    *)
+       if test "$lt_cv_prog_gnu_ld" = yes; then
+               version_type=linux
+       else
+               version_type=irix
+       fi ;;
+  esac
+  need_lib_prefix=no
+  need_version=no
+  soname_spec='${libname}${release}${shared_ext}$major'
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major ${libname}${release}${shared_ext} $libname${shared_ext}'
+  case $host_os in
+  irix5* | nonstopux*)
+    libsuff= shlibsuff=
+    ;;
+  *)
+    case $LD in # libtool.m4 will add one of these switches to LD
+    *-32|*"-32 "|*-melf32bsmip|*"-melf32bsmip ")
+      libsuff= shlibsuff= libmagic=32-bit;;
+    *-n32|*"-n32 "|*-melf32bmipn32|*"-melf32bmipn32 ")
+      libsuff=32 shlibsuff=N32 libmagic=N32;;
+    *-64|*"-64 "|*-melf64bmip|*"-melf64bmip ")
+      libsuff=64 shlibsuff=64 libmagic=64-bit;;
+    *) libsuff= shlibsuff= libmagic=never-match;;
+    esac
+    ;;
+  esac
+  shlibpath_var=LD_LIBRARY${shlibsuff}_PATH
+  shlibpath_overrides_runpath=no
+  sys_lib_search_path_spec="/usr/lib${libsuff} /lib${libsuff} /usr/local/lib${libsuff}"
+  sys_lib_dlsearch_path_spec="/usr/lib${libsuff} /lib${libsuff}"
+  hardcode_into_libs=yes
+  ;;
+
+# No shared lib support for Linux oldld, aout, or coff.
+linux*oldld* | linux*aout* | linux*coff*)
+  dynamic_linker=no
+  ;;
+
+# This must be Linux ELF.
+linux* | k*bsd*-gnu)
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  finish_cmds='PATH="\$PATH:/sbin" ldconfig -n $libdir'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=no
+  # Some binutils ld are patched to set DT_RUNPATH
+  save_LDFLAGS=$LDFLAGS
+  save_libdir=$libdir
+  eval "libdir=/foo; wl=\"$lt_prog_compiler_wl\"; \
+       LDFLAGS=\"\$LDFLAGS $hardcode_libdir_flag_spec\""
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  if  ($OBJDUMP -p conftest$ac_exeext) 2>/dev/null | grep "RUNPATH.*$libdir" >/dev/null; then
+  shlibpath_overrides_runpath=yes
+fi
+
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+  LDFLAGS=$save_LDFLAGS
+  libdir=$save_libdir
+
+  # This implies no fast_install, which is unacceptable.
+  # Some rework will be needed to allow for fast_install
+  # before this can be enabled.
+  hardcode_into_libs=yes
+
+  # Append ld.so.conf contents to the search path
+  if test -f /etc/ld.so.conf; then
+    lt_ld_extra=`awk '/^include / { system(sprintf("cd /etc; cat %s 2>/dev/null", \$2)); skip = 1; } { if (!skip) print \$0; skip = 0; }' < /etc/ld.so.conf | $SED -e 's/#.*//;/^[      ]*hwcap[        ]/d;s/[:,      ]/ /g;s/=[^=]*$//;s/=[^= ]* / /g;/^$/d' | tr '\n' ' '`
+    sys_lib_dlsearch_path_spec="/lib /usr/lib $lt_ld_extra"
+  fi
+
+  # We used to test for /lib/ld.so.1 and disable shared libraries on
+  # powerpc, because MkLinux only supported shared libraries with the
+  # GNU dynamic linker.  Since this was broken with cross compilers,
+  # most powerpc-linux boxes support dynamic linking these days and
+  # people can always --disable-shared, the test was removed, and we
+  # assume the GNU/Linux dynamic linker is in use.
+  dynamic_linker='GNU/Linux ld.so'
+  ;;
+
+netbsdelf*-gnu)
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major ${libname}${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=no
+  hardcode_into_libs=yes
+  dynamic_linker='NetBSD ld.elf_so'
+  ;;
+
+netbsd*)
+  version_type=sunos
+  need_lib_prefix=no
+  need_version=no
+  if echo __ELF__ | $CC -E - | $GREP __ELF__ >/dev/null; then
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${shared_ext}$versuffix'
+    finish_cmds='PATH="\$PATH:/sbin" ldconfig -m $libdir'
+    dynamic_linker='NetBSD (a.out) ld.so'
+  else
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major ${libname}${shared_ext}'
+    soname_spec='${libname}${release}${shared_ext}$major'
+    dynamic_linker='NetBSD ld.elf_so'
+  fi
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=yes
+  hardcode_into_libs=yes
+  ;;
+
+newsos6)
+  version_type=linux
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=yes
+  ;;
+
+*nto* | *qnx*)
+  version_type=qnx
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=no
+  hardcode_into_libs=yes
+  dynamic_linker='ldqnx.so'
+  ;;
+
+openbsd*)
+  version_type=sunos
+  sys_lib_dlsearch_path_spec="/usr/lib"
+  need_lib_prefix=no
+  # Some older versions of OpenBSD (3.3 at least) *do* need versioned libs.
+  case $host_os in
+    openbsd3.3 | openbsd3.3.*) need_version=yes ;;
+    *)                         need_version=no  ;;
+  esac
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${shared_ext}$versuffix'
+  finish_cmds='PATH="\$PATH:/sbin" ldconfig -m $libdir'
+  shlibpath_var=LD_LIBRARY_PATH
+  if test -z "`echo __ELF__ | $CC -E - | $GREP __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
+    case $host_os in
+      openbsd2.[89] | openbsd2.[89].*)
+       shlibpath_overrides_runpath=no
+       ;;
+      *)
+       shlibpath_overrides_runpath=yes
+       ;;
+      esac
+  else
+    shlibpath_overrides_runpath=yes
+  fi
+  ;;
+
+os2*)
+  libname_spec='$name'
+  shrext_cmds=".dll"
+  need_lib_prefix=no
+  library_names_spec='$libname${shared_ext} $libname.a'
+  dynamic_linker='OS/2 ld.exe'
+  shlibpath_var=LIBPATH
+  ;;
+
+osf3* | osf4* | osf5*)
+  version_type=osf
+  need_lib_prefix=no
+  need_version=no
+  soname_spec='${libname}${release}${shared_ext}$major'
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  shlibpath_var=LD_LIBRARY_PATH
+  sys_lib_search_path_spec="/usr/shlib /usr/ccs/lib /usr/lib/cmplrs/cc /usr/lib /usr/local/lib /var/shlib"
+  sys_lib_dlsearch_path_spec="$sys_lib_search_path_spec"
+  ;;
+
+rdos*)
+  dynamic_linker=no
+  ;;
+
+solaris*)
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=yes
+  hardcode_into_libs=yes
+  # ldd complains unless libraries are executable
+  postinstall_cmds='chmod +x $lib'
+  ;;
+
+sunos4*)
+  version_type=sunos
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${shared_ext}$versuffix'
+  finish_cmds='PATH="\$PATH:/usr/etc" ldconfig $libdir'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=yes
+  if test "$with_gnu_ld" = yes; then
+    need_lib_prefix=no
+  fi
+  need_version=yes
+  ;;
+
+sysv4 | sysv4.3*)
+  version_type=linux
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  case $host_vendor in
+    sni)
+      shlibpath_overrides_runpath=no
+      need_lib_prefix=no
+      runpath_var=LD_RUN_PATH
+      ;;
+    siemens)
+      need_lib_prefix=no
+      ;;
+    motorola)
+      need_lib_prefix=no
+      need_version=no
+      shlibpath_overrides_runpath=no
+      sys_lib_search_path_spec='/lib /usr/lib /usr/ccs/lib'
+      ;;
+  esac
+  ;;
+
+sysv4*MP*)
+  if test -d /usr/nec ;then
+    version_type=linux
+    library_names_spec='$libname${shared_ext}.$versuffix $libname${shared_ext}.$major $libname${shared_ext}'
+    soname_spec='$libname${shared_ext}.$major'
+    shlibpath_var=LD_LIBRARY_PATH
+  fi
+  ;;
+
+sysv5* | sco3.2v5* | sco5v6* | unixware* | OpenUNIX* | sysv4*uw2*)
+  version_type=freebsd-elf
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext} $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=yes
+  hardcode_into_libs=yes
+  if test "$with_gnu_ld" = yes; then
+    sys_lib_search_path_spec='/usr/local/lib /usr/gnu/lib /usr/ccs/lib /usr/lib /lib'
+  else
+    sys_lib_search_path_spec='/usr/ccs/lib /usr/lib'
+    case $host_os in
+      sco3.2v5*)
+        sys_lib_search_path_spec="$sys_lib_search_path_spec /lib"
+       ;;
+    esac
+  fi
+  sys_lib_dlsearch_path_spec='/usr/lib'
+  ;;
+
+tpf*)
+  # TPF is a cross-target only.  Preferred cross-host = GNU/Linux.
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=no
+  hardcode_into_libs=yes
+  ;;
+
+uts4*)
+  version_type=linux
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  ;;
+
+*)
+  dynamic_linker=no
+  ;;
+esac
+{ $as_echo "$as_me:$LINENO: result: $dynamic_linker" >&5
+$as_echo "$dynamic_linker" >&6; }
+test "$dynamic_linker" = no && can_build_shared=no
+
+variables_saved_for_relink="PATH $shlibpath_var $runpath_var"
+if test "$GCC" = yes; then
+  variables_saved_for_relink="$variables_saved_for_relink GCC_EXEC_PREFIX COMPILER_PATH LIBRARY_PATH"
+fi
+
+if test "${lt_cv_sys_lib_search_path_spec+set}" = set; then
+  sys_lib_search_path_spec="$lt_cv_sys_lib_search_path_spec"
+fi
+if test "${lt_cv_sys_lib_dlsearch_path_spec+set}" = set; then
+  sys_lib_dlsearch_path_spec="$lt_cv_sys_lib_dlsearch_path_spec"
+fi
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+  { $as_echo "$as_me:$LINENO: checking how to hardcode library paths into programs" >&5
+$as_echo_n "checking how to hardcode library paths into programs... " >&6; }
+hardcode_action=
+if test -n "$hardcode_libdir_flag_spec" ||
+   test -n "$runpath_var" ||
+   test "X$hardcode_automatic" = "Xyes" ; then
+
+  # We can hardcode non-existent directories.
+  if test "$hardcode_direct" != no &&
+     # If the only mechanism to avoid hardcoding is shlibpath_var, we
+     # have to relink, otherwise we might link with an installed library
+     # when we should be linking with a yet-to-be-installed one
+     ## test "$_LT_TAGVAR(hardcode_shlibpath_var, )" != no &&
+     test "$hardcode_minus_L" != no; then
+    # Linking always hardcodes the temporary library directory.
+    hardcode_action=relink
+  else
+    # We can link without hardcoding, and we can hardcode nonexisting dirs.
+    hardcode_action=immediate
+  fi
+else
+  # We cannot hardcode anything, or else we can only hardcode existing
+  # directories.
+  hardcode_action=unsupported
+fi
+{ $as_echo "$as_me:$LINENO: result: $hardcode_action" >&5
+$as_echo "$hardcode_action" >&6; }
+
+if test "$hardcode_action" = relink ||
+   test "$inherit_rpath" = yes; then
+  # Fast installation is not supported
+  enable_fast_install=no
+elif test "$shlibpath_overrides_runpath" = yes ||
+     test "$enable_shared" = no; then
+  # Fast installation is not necessary
+  enable_fast_install=needless
+fi
+
+
+
+
+
+
+  if test "x$enable_dlopen" != xyes; then
+  enable_dlopen=unknown
+  enable_dlopen_self=unknown
+  enable_dlopen_self_static=unknown
+else
+  lt_cv_dlopen=no
+  lt_cv_dlopen_libs=
+
+  case $host_os in
+  beos*)
+    lt_cv_dlopen="load_add_on"
+    lt_cv_dlopen_libs=
+    lt_cv_dlopen_self=yes
+    ;;
+
+  mingw* | pw32* | cegcc*)
+    lt_cv_dlopen="LoadLibrary"
+    lt_cv_dlopen_libs=
+    ;;
+
+  cygwin*)
+    lt_cv_dlopen="dlopen"
+    lt_cv_dlopen_libs=
+    ;;
+
+  darwin*)
+  # if libdl is installed we need to link against it
+    { $as_echo "$as_me:$LINENO: checking for dlopen in -ldl" >&5
+$as_echo_n "checking for dlopen in -ldl... " >&6; }
+if test "${ac_cv_lib_dl_dlopen+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-ldl  $LIBS"
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char dlopen ();
+int
+main ()
+{
+return dlopen ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  ac_cv_lib_dl_dlopen=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_lib_dl_dlopen=no
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_lib_dl_dlopen" >&5
+$as_echo "$ac_cv_lib_dl_dlopen" >&6; }
+if test "x$ac_cv_lib_dl_dlopen" = x""yes; then
+  lt_cv_dlopen="dlopen" lt_cv_dlopen_libs="-ldl"
+else
+
+    lt_cv_dlopen="dyld"
+    lt_cv_dlopen_libs=
+    lt_cv_dlopen_self=yes
+
+fi
+
+    ;;
+
+  *)
+    { $as_echo "$as_me:$LINENO: checking for shl_load" >&5
+$as_echo_n "checking for shl_load... " >&6; }
+if test "${ac_cv_func_shl_load+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+/* Define shl_load to an innocuous variant, in case <limits.h> declares shl_load.
+   For example, HP-UX 11i <limits.h> declares gettimeofday.  */
+#define shl_load innocuous_shl_load
+
+/* System header to define __stub macros and hopefully few prototypes,
+    which can conflict with char shl_load (); below.
+    Prefer <limits.h> to <assert.h> if __STDC__ is defined, since
+    <limits.h> exists even on freestanding compilers.  */
+
+#ifdef __STDC__
+# include <limits.h>
+#else
+# include <assert.h>
+#endif
+
+#undef shl_load
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char shl_load ();
+/* The GNU C library defines this for functions which it implements
+    to always fail with ENOSYS.  Some functions are actually named
+    something starting with __ and the normal name is an alias.  */
+#if defined __stub_shl_load || defined __stub___shl_load
+choke me
+#endif
+
+int
+main ()
+{
+return shl_load ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  ac_cv_func_shl_load=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_func_shl_load=no
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_func_shl_load" >&5
+$as_echo "$ac_cv_func_shl_load" >&6; }
+if test "x$ac_cv_func_shl_load" = x""yes; then
+  lt_cv_dlopen="shl_load"
+else
+  { $as_echo "$as_me:$LINENO: checking for shl_load in -ldld" >&5
+$as_echo_n "checking for shl_load in -ldld... " >&6; }
+if test "${ac_cv_lib_dld_shl_load+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-ldld  $LIBS"
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char shl_load ();
+int
+main ()
+{
+return shl_load ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  ac_cv_lib_dld_shl_load=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_lib_dld_shl_load=no
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_lib_dld_shl_load" >&5
+$as_echo "$ac_cv_lib_dld_shl_load" >&6; }
+if test "x$ac_cv_lib_dld_shl_load" = x""yes; then
+  lt_cv_dlopen="shl_load" lt_cv_dlopen_libs="-ldld"
+else
+  { $as_echo "$as_me:$LINENO: checking for dlopen" >&5
+$as_echo_n "checking for dlopen... " >&6; }
+if test "${ac_cv_func_dlopen+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+/* Define dlopen to an innocuous variant, in case <limits.h> declares dlopen.
+   For example, HP-UX 11i <limits.h> declares gettimeofday.  */
+#define dlopen innocuous_dlopen
+
+/* System header to define __stub macros and hopefully few prototypes,
+    which can conflict with char dlopen (); below.
+    Prefer <limits.h> to <assert.h> if __STDC__ is defined, since
+    <limits.h> exists even on freestanding compilers.  */
+
+#ifdef __STDC__
+# include <limits.h>
+#else
+# include <assert.h>
+#endif
+
+#undef dlopen
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char dlopen ();
+/* The GNU C library defines this for functions which it implements
+    to always fail with ENOSYS.  Some functions are actually named
+    something starting with __ and the normal name is an alias.  */
+#if defined __stub_dlopen || defined __stub___dlopen
+choke me
+#endif
+
+int
+main ()
+{
+return dlopen ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  ac_cv_func_dlopen=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_func_dlopen=no
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_func_dlopen" >&5
+$as_echo "$ac_cv_func_dlopen" >&6; }
+if test "x$ac_cv_func_dlopen" = x""yes; then
+  lt_cv_dlopen="dlopen"
+else
+  { $as_echo "$as_me:$LINENO: checking for dlopen in -ldl" >&5
+$as_echo_n "checking for dlopen in -ldl... " >&6; }
+if test "${ac_cv_lib_dl_dlopen+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-ldl  $LIBS"
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char dlopen ();
+int
+main ()
+{
+return dlopen ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  ac_cv_lib_dl_dlopen=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_lib_dl_dlopen=no
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_lib_dl_dlopen" >&5
+$as_echo "$ac_cv_lib_dl_dlopen" >&6; }
+if test "x$ac_cv_lib_dl_dlopen" = x""yes; then
+  lt_cv_dlopen="dlopen" lt_cv_dlopen_libs="-ldl"
+else
+  { $as_echo "$as_me:$LINENO: checking for dlopen in -lsvld" >&5
+$as_echo_n "checking for dlopen in -lsvld... " >&6; }
+if test "${ac_cv_lib_svld_dlopen+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-lsvld  $LIBS"
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char dlopen ();
+int
+main ()
+{
+return dlopen ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  ac_cv_lib_svld_dlopen=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_lib_svld_dlopen=no
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_lib_svld_dlopen" >&5
+$as_echo "$ac_cv_lib_svld_dlopen" >&6; }
+if test "x$ac_cv_lib_svld_dlopen" = x""yes; then
+  lt_cv_dlopen="dlopen" lt_cv_dlopen_libs="-lsvld"
+else
+  { $as_echo "$as_me:$LINENO: checking for dld_link in -ldld" >&5
+$as_echo_n "checking for dld_link in -ldld... " >&6; }
+if test "${ac_cv_lib_dld_dld_link+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-ldld  $LIBS"
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char dld_link ();
+int
+main ()
+{
+return dld_link ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  ac_cv_lib_dld_dld_link=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_lib_dld_dld_link=no
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_lib_dld_dld_link" >&5
+$as_echo "$ac_cv_lib_dld_dld_link" >&6; }
+if test "x$ac_cv_lib_dld_dld_link" = x""yes; then
+  lt_cv_dlopen="dld_link" lt_cv_dlopen_libs="-ldld"
+fi
+
+
+fi
+
+
+fi
+
+
+fi
+
+
+fi
+
+
+fi
+
+    ;;
+  esac
+
+  if test "x$lt_cv_dlopen" != xno; then
+    enable_dlopen=yes
+  else
+    enable_dlopen=no
+  fi
+
+  case $lt_cv_dlopen in
+  dlopen)
+    save_CPPFLAGS="$CPPFLAGS"
+    test "x$ac_cv_header_dlfcn_h" = xyes && CPPFLAGS="$CPPFLAGS -DHAVE_DLFCN_H"
+
+    save_LDFLAGS="$LDFLAGS"
+    wl=$lt_prog_compiler_wl eval LDFLAGS=\"\$LDFLAGS $export_dynamic_flag_spec\"
+
+    save_LIBS="$LIBS"
+    LIBS="$lt_cv_dlopen_libs $LIBS"
+
+    { $as_echo "$as_me:$LINENO: checking whether a program can dlopen itself" >&5
+$as_echo_n "checking whether a program can dlopen itself... " >&6; }
+if test "${lt_cv_dlopen_self+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+         if test "$cross_compiling" = yes; then :
+  lt_cv_dlopen_self=cross
+else
+  lt_dlunknown=0; lt_dlno_uscore=1; lt_dlneed_uscore=2
+  lt_status=$lt_dlunknown
+  cat > conftest.$ac_ext <<_LT_EOF
+#line 14091 "configure"
+#include "confdefs.h"
+
+#if HAVE_DLFCN_H
+#include <dlfcn.h>
+#endif
+
+#include <stdio.h>
+
+#ifdef RTLD_GLOBAL
+#  define LT_DLGLOBAL          RTLD_GLOBAL
+#else
+#  ifdef DL_GLOBAL
+#    define LT_DLGLOBAL                DL_GLOBAL
+#  else
+#    define LT_DLGLOBAL                0
+#  endif
+#endif
+
+/* We may have to define LT_DLLAZY_OR_NOW in the command line if we
+   find out it does not work in some platform. */
+#ifndef LT_DLLAZY_OR_NOW
+#  ifdef RTLD_LAZY
+#    define LT_DLLAZY_OR_NOW           RTLD_LAZY
+#  else
+#    ifdef DL_LAZY
+#      define LT_DLLAZY_OR_NOW         DL_LAZY
+#    else
+#      ifdef RTLD_NOW
+#        define LT_DLLAZY_OR_NOW       RTLD_NOW
+#      else
+#        ifdef DL_NOW
+#          define LT_DLLAZY_OR_NOW     DL_NOW
+#        else
+#          define LT_DLLAZY_OR_NOW     0
+#        endif
+#      endif
+#    endif
+#  endif
+#endif
+
+void fnord() { int i=42;}
+int main ()
+{
+  void *self = dlopen (0, LT_DLGLOBAL|LT_DLLAZY_OR_NOW);
+  int status = $lt_dlunknown;
+
+  if (self)
+    {
+      if (dlsym (self,"fnord"))       status = $lt_dlno_uscore;
+      else if (dlsym( self,"_fnord")) status = $lt_dlneed_uscore;
+      /* dlclose (self); */
+    }
+  else
+    puts (dlerror ());
+
+  return status;
+}
+_LT_EOF
+  if { (eval echo "$as_me:$LINENO: \"$ac_link\"") >&5
+  (eval $ac_link) 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && test -s conftest${ac_exeext} 2>/dev/null; then
+    (./conftest; exit; ) >&5 2>/dev/null
+    lt_status=$?
+    case x$lt_status in
+      x$lt_dlno_uscore) lt_cv_dlopen_self=yes ;;
+      x$lt_dlneed_uscore) lt_cv_dlopen_self=yes ;;
+      x$lt_dlunknown|x*) lt_cv_dlopen_self=no ;;
+    esac
+  else :
+    # compilation failed
+    lt_cv_dlopen_self=no
+  fi
+fi
+rm -fr conftest*
+
+
+fi
+{ $as_echo "$as_me:$LINENO: result: $lt_cv_dlopen_self" >&5
+$as_echo "$lt_cv_dlopen_self" >&6; }
+
+    if test "x$lt_cv_dlopen_self" = xyes; then
+      wl=$lt_prog_compiler_wl eval LDFLAGS=\"\$LDFLAGS $lt_prog_compiler_static\"
+      { $as_echo "$as_me:$LINENO: checking whether a statically linked program can dlopen itself" >&5
+$as_echo_n "checking whether a statically linked program can dlopen itself... " >&6; }
+if test "${lt_cv_dlopen_self_static+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+         if test "$cross_compiling" = yes; then :
+  lt_cv_dlopen_self_static=cross
+else
+  lt_dlunknown=0; lt_dlno_uscore=1; lt_dlneed_uscore=2
+  lt_status=$lt_dlunknown
+  cat > conftest.$ac_ext <<_LT_EOF
+#line 14187 "configure"
+#include "confdefs.h"
+
+#if HAVE_DLFCN_H
+#include <dlfcn.h>
+#endif
+
+#include <stdio.h>
+
+#ifdef RTLD_GLOBAL
+#  define LT_DLGLOBAL          RTLD_GLOBAL
+#else
+#  ifdef DL_GLOBAL
+#    define LT_DLGLOBAL                DL_GLOBAL
+#  else
+#    define LT_DLGLOBAL                0
+#  endif
+#endif
+
+/* We may have to define LT_DLLAZY_OR_NOW in the command line if we
+   find out it does not work in some platform. */
+#ifndef LT_DLLAZY_OR_NOW
+#  ifdef RTLD_LAZY
+#    define LT_DLLAZY_OR_NOW           RTLD_LAZY
+#  else
+#    ifdef DL_LAZY
+#      define LT_DLLAZY_OR_NOW         DL_LAZY
+#    else
+#      ifdef RTLD_NOW
+#        define LT_DLLAZY_OR_NOW       RTLD_NOW
+#      else
+#        ifdef DL_NOW
+#          define LT_DLLAZY_OR_NOW     DL_NOW
+#        else
+#          define LT_DLLAZY_OR_NOW     0
+#        endif
+#      endif
+#    endif
+#  endif
+#endif
+
+void fnord() { int i=42;}
+int main ()
+{
+  void *self = dlopen (0, LT_DLGLOBAL|LT_DLLAZY_OR_NOW);
+  int status = $lt_dlunknown;
+
+  if (self)
+    {
+      if (dlsym (self,"fnord"))       status = $lt_dlno_uscore;
+      else if (dlsym( self,"_fnord")) status = $lt_dlneed_uscore;
+      /* dlclose (self); */
+    }
+  else
+    puts (dlerror ());
+
+  return status;
+}
+_LT_EOF
+  if { (eval echo "$as_me:$LINENO: \"$ac_link\"") >&5
+  (eval $ac_link) 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && test -s conftest${ac_exeext} 2>/dev/null; then
+    (./conftest; exit; ) >&5 2>/dev/null
+    lt_status=$?
+    case x$lt_status in
+      x$lt_dlno_uscore) lt_cv_dlopen_self_static=yes ;;
+      x$lt_dlneed_uscore) lt_cv_dlopen_self_static=yes ;;
+      x$lt_dlunknown|x*) lt_cv_dlopen_self_static=no ;;
+    esac
+  else :
+    # compilation failed
+    lt_cv_dlopen_self_static=no
+  fi
+fi
+rm -fr conftest*
+
+
+fi
+{ $as_echo "$as_me:$LINENO: result: $lt_cv_dlopen_self_static" >&5
+$as_echo "$lt_cv_dlopen_self_static" >&6; }
+    fi
+
+    CPPFLAGS="$save_CPPFLAGS"
+    LDFLAGS="$save_LDFLAGS"
+    LIBS="$save_LIBS"
+    ;;
+  esac
+
+  case $lt_cv_dlopen_self in
+  yes|no) enable_dlopen_self=$lt_cv_dlopen_self ;;
+  *) enable_dlopen_self=unknown ;;
+  esac
+
+  case $lt_cv_dlopen_self_static in
+  yes|no) enable_dlopen_self_static=$lt_cv_dlopen_self_static ;;
+  *) enable_dlopen_self_static=unknown ;;
+  esac
+fi
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+striplib=
+old_striplib=
+{ $as_echo "$as_me:$LINENO: checking whether stripping libraries is possible" >&5
+$as_echo_n "checking whether stripping libraries is possible... " >&6; }
+if test -n "$STRIP" && $STRIP -V 2>&1 | $GREP "GNU strip" >/dev/null; then
+  test -z "$old_striplib" && old_striplib="$STRIP --strip-debug"
+  test -z "$striplib" && striplib="$STRIP --strip-unneeded"
+  { $as_echo "$as_me:$LINENO: result: yes" >&5
+$as_echo "yes" >&6; }
+else
+# FIXME - insert some real tests, host_os isn't really good enough
+  case $host_os in
+  darwin*)
+    if test -n "$STRIP" ; then
+      striplib="$STRIP -x"
+      old_striplib="$STRIP -S"
+      { $as_echo "$as_me:$LINENO: result: yes" >&5
+$as_echo "yes" >&6; }
+    else
+      { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+    fi
+    ;;
+  *)
+    { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+    ;;
+  esac
+fi
+
+
+
+
+
+
+
+
+
+
+
+
+  # Report which library types will actually be built
+  { $as_echo "$as_me:$LINENO: checking if libtool supports shared libraries" >&5
+$as_echo_n "checking if libtool supports shared libraries... " >&6; }
+  { $as_echo "$as_me:$LINENO: result: $can_build_shared" >&5
+$as_echo "$can_build_shared" >&6; }
+
+  { $as_echo "$as_me:$LINENO: checking whether to build shared libraries" >&5
+$as_echo_n "checking whether to build shared libraries... " >&6; }
+  test "$can_build_shared" = "no" && enable_shared=no
+
+  # On AIX, shared libraries and static libraries use the same namespace, and
+  # are all built from PIC.
+  case $host_os in
+  aix3*)
+    test "$enable_shared" = yes && enable_static=no
+    if test -n "$RANLIB"; then
+      archive_cmds="$archive_cmds~\$RANLIB \$lib"
+      postinstall_cmds='$RANLIB $lib'
+    fi
+    ;;
+
+  aix[4-9]*)
+    if test "$host_cpu" != ia64 && test "$aix_use_runtimelinking" = no ; then
+      test "$enable_shared" = yes && enable_static=no
+    fi
+    ;;
+  esac
+  { $as_echo "$as_me:$LINENO: result: $enable_shared" >&5
+$as_echo "$enable_shared" >&6; }
+
+  { $as_echo "$as_me:$LINENO: checking whether to build static libraries" >&5
+$as_echo_n "checking whether to build static libraries... " >&6; }
+  # Make sure either enable_shared or enable_static is yes.
+  test "$enable_shared" = yes || enable_static=yes
+  { $as_echo "$as_me:$LINENO: result: $enable_static" >&5
+$as_echo "$enable_static" >&6; }
+
+
+
+
+fi
+ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+CC="$lt_save_CC"
+
+
+ac_ext=cpp
+ac_cpp='$CXXCPP $CPPFLAGS'
+ac_compile='$CXX -c $CXXFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CXX -o conftest$ac_exeext $CXXFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_cxx_compiler_gnu
+
+archive_cmds_need_lc_CXX=no
+allow_undefined_flag_CXX=
+always_export_symbols_CXX=no
+archive_expsym_cmds_CXX=
+compiler_needs_object_CXX=no
+export_dynamic_flag_spec_CXX=
+hardcode_direct_CXX=no
+hardcode_direct_absolute_CXX=no
+hardcode_libdir_flag_spec_CXX=
+hardcode_libdir_flag_spec_ld_CXX=
+hardcode_libdir_separator_CXX=
+hardcode_minus_L_CXX=no
+hardcode_shlibpath_var_CXX=unsupported
+hardcode_automatic_CXX=no
+inherit_rpath_CXX=no
+module_cmds_CXX=
+module_expsym_cmds_CXX=
+link_all_deplibs_CXX=unknown
+old_archive_cmds_CXX=$old_archive_cmds
+no_undefined_flag_CXX=
+whole_archive_flag_spec_CXX=
+enable_shared_with_static_runtimes_CXX=no
+
+# Source file extension for C++ test sources.
+ac_ext=cpp
+
+# Object file extension for compiled C++ test sources.
+objext=o
+objext_CXX=$objext
+
+# No sense in running all these tests if we already determined that
+# the CXX compiler isn't working.  Some variables (like enable_shared)
+# are currently assumed to apply to all compilers on this platform,
+# and will be corrupted by setting them based on a non-working compiler.
+if test "$_lt_caught_CXX_error" != yes; then
+  # Code to be used in simple compile tests
+  lt_simple_compile_test_code="int some_variable = 0;"
+
+  # Code to be used in simple link tests
+  lt_simple_link_test_code='int main(int, char *[]) { return(0); }'
+
+  # ltmain only uses $CC for tagged configurations so make sure $CC is set.
+
+
+
+
+
+
+# If no C compiler was specified, use CC.
+LTCC=${LTCC-"$CC"}
+
+# If no C compiler flags were specified, use CFLAGS.
+LTCFLAGS=${LTCFLAGS-"$CFLAGS"}
+
+# Allow CC to be a program name with arguments.
+compiler=$CC
+
+
+  # save warnings/boilerplate of simple test code
+  ac_outfile=conftest.$ac_objext
+echo "$lt_simple_compile_test_code" >conftest.$ac_ext
+eval "$ac_compile" 2>&1 >/dev/null | $SED '/^$/d; /^ *+/d' >conftest.err
+_lt_compiler_boilerplate=`cat conftest.err`
+$RM conftest*
+
+  ac_outfile=conftest.$ac_objext
+echo "$lt_simple_link_test_code" >conftest.$ac_ext
+eval "$ac_link" 2>&1 >/dev/null | $SED '/^$/d; /^ *+/d' >conftest.err
+_lt_linker_boilerplate=`cat conftest.err`
+$RM -r conftest*
+
+
+  # Allow CC to be a program name with arguments.
+  lt_save_CC=$CC
+  lt_save_LD=$LD
+  lt_save_GCC=$GCC
+  GCC=$GXX
+  lt_save_with_gnu_ld=$with_gnu_ld
+  lt_save_path_LD=$lt_cv_path_LD
+  if test -n "${lt_cv_prog_gnu_ldcxx+set}"; then
+    lt_cv_prog_gnu_ld=$lt_cv_prog_gnu_ldcxx
+  else
+    $as_unset lt_cv_prog_gnu_ld
+  fi
+  if test -n "${lt_cv_path_LDCXX+set}"; then
+    lt_cv_path_LD=$lt_cv_path_LDCXX
+  else
+    $as_unset lt_cv_path_LD
+  fi
+  test -z "${LDCXX+set}" || LD=$LDCXX
+  CC=${CXX-"c++"}
+  compiler=$CC
+  compiler_CXX=$CC
+  for cc_temp in $compiler""; do
+  case $cc_temp in
+    compile | *[\\/]compile | ccache | *[\\/]ccache ) ;;
+    distcc | *[\\/]distcc | purify | *[\\/]purify ) ;;
+    \-*) ;;
+    *) break;;
+  esac
+done
+cc_basename=`$ECHO "X$cc_temp" | $Xsed -e 's%.*/%%' -e "s%^$host_alias-%%"`
+
+
+  if test -n "$compiler"; then
+    # We don't want -fno-exception when compiling C++ code, so set the
+    # no_builtin_flag separately
+    if test "$GXX" = yes; then
+      lt_prog_compiler_no_builtin_flag_CXX=' -fno-builtin'
+    else
+      lt_prog_compiler_no_builtin_flag_CXX=
+    fi
+
+    if test "$GXX" = yes; then
+      # Set up default GNU C++ configuration
+
+
+
+# Check whether --with-gnu-ld was given.
+if test "${with_gnu_ld+set}" = set; then
+  withval=$with_gnu_ld; test "$withval" = no || with_gnu_ld=yes
+else
+  with_gnu_ld=no
+fi
+
+ac_prog=ld
+if test "$GCC" = yes; then
+  # Check if gcc -print-prog-name=ld gives a path.
+  { $as_echo "$as_me:$LINENO: checking for ld used by $CC" >&5
+$as_echo_n "checking for ld used by $CC... " >&6; }
+  case $host in
+  *-*-mingw*)
+    # gcc leaves a trailing carriage return which upsets mingw
+    ac_prog=`($CC -print-prog-name=ld) 2>&5 | tr -d '\015'` ;;
+  *)
+    ac_prog=`($CC -print-prog-name=ld) 2>&5` ;;
+  esac
+  case $ac_prog in
+    # Accept absolute paths.
+    [\\/]* | ?:[\\/]*)
+      re_direlt='/[^/][^/]*/\.\./'
+      # Canonicalize the pathname of ld
+      ac_prog=`$ECHO "$ac_prog"| $SED 's%\\\\%/%g'`
+      while $ECHO "$ac_prog" | $GREP "$re_direlt" > /dev/null 2>&1; do
+       ac_prog=`$ECHO $ac_prog| $SED "s%$re_direlt%/%"`
+      done
+      test -z "$LD" && LD="$ac_prog"
+      ;;
+  "")
+    # If it fails, then pretend we aren't using GCC.
+    ac_prog=ld
+    ;;
+  *)
+    # If it is relative, then search for the first ld in PATH.
+    with_gnu_ld=unknown
+    ;;
+  esac
+elif test "$with_gnu_ld" = yes; then
+  { $as_echo "$as_me:$LINENO: checking for GNU ld" >&5
+$as_echo_n "checking for GNU ld... " >&6; }
+else
+  { $as_echo "$as_me:$LINENO: checking for non-GNU ld" >&5
+$as_echo_n "checking for non-GNU ld... " >&6; }
+fi
+if test "${lt_cv_path_LD+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -z "$LD"; then
+  lt_save_ifs="$IFS"; IFS=$PATH_SEPARATOR
+  for ac_dir in $PATH; do
+    IFS="$lt_save_ifs"
+    test -z "$ac_dir" && ac_dir=.
+    if test -f "$ac_dir/$ac_prog" || test -f "$ac_dir/$ac_prog$ac_exeext"; then
+      lt_cv_path_LD="$ac_dir/$ac_prog"
+      # Check to see if the program is GNU ld.  I'd rather use --version,
+      # but apparently some variants of GNU ld only accept -v.
+      # Break only if it was the GNU/non-GNU ld that we prefer.
+      case `"$lt_cv_path_LD" -v 2>&1 </dev/null` in
+      *GNU* | *'with BFD'*)
+       test "$with_gnu_ld" != no && break
+       ;;
+      *)
+       test "$with_gnu_ld" != yes && break
+       ;;
+      esac
+    fi
+  done
+  IFS="$lt_save_ifs"
+else
+  lt_cv_path_LD="$LD" # Let the user override the test with a path.
+fi
+fi
+
+LD="$lt_cv_path_LD"
+if test -n "$LD"; then
+  { $as_echo "$as_me:$LINENO: result: $LD" >&5
+$as_echo "$LD" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+test -z "$LD" && { { $as_echo "$as_me:$LINENO: error: no acceptable ld found in \$PATH" >&5
+$as_echo "$as_me: error: no acceptable ld found in \$PATH" >&2;}
+   { (exit 1); exit 1; }; }
+{ $as_echo "$as_me:$LINENO: checking if the linker ($LD) is GNU ld" >&5
+$as_echo_n "checking if the linker ($LD) is GNU ld... " >&6; }
+if test "${lt_cv_prog_gnu_ld+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  # I'd rather use --version here, but apparently some GNU lds only accept -v.
+case `$LD -v 2>&1 </dev/null` in
+*GNU* | *'with BFD'*)
+  lt_cv_prog_gnu_ld=yes
+  ;;
+*)
+  lt_cv_prog_gnu_ld=no
+  ;;
+esac
+fi
+{ $as_echo "$as_me:$LINENO: result: $lt_cv_prog_gnu_ld" >&5
+$as_echo "$lt_cv_prog_gnu_ld" >&6; }
+with_gnu_ld=$lt_cv_prog_gnu_ld
+
+
+
+
+
+
+
+      # Check if GNU C++ uses GNU ld as the underlying linker, since the
+      # archiving commands below assume that GNU ld is being used.
+      if test "$with_gnu_ld" = yes; then
+        archive_cmds_CXX='$CC -shared -nostdlib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname $wl$soname -o $lib'
+        archive_expsym_cmds_CXX='$CC -shared -nostdlib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname $wl$soname ${wl}-retain-symbols-file $wl$export_symbols -o $lib'
+
+        hardcode_libdir_flag_spec_CXX='${wl}-rpath ${wl}$libdir'
+        export_dynamic_flag_spec_CXX='${wl}--export-dynamic'
+
+        # If archive_cmds runs LD, not CC, wlarc should be empty
+        # XXX I think wlarc can be eliminated in ltcf-cxx, but I need to
+        #     investigate it a little bit more. (MM)
+        wlarc='${wl}'
+
+        # ancient GNU ld didn't support --whole-archive et. al.
+        if eval "`$CC -print-prog-name=ld` --help 2>&1" |
+         $GREP 'no-whole-archive' > /dev/null; then
+          whole_archive_flag_spec_CXX="$wlarc"'--whole-archive$convenience '"$wlarc"'--no-whole-archive'
+        else
+          whole_archive_flag_spec_CXX=
+        fi
+      else
+        with_gnu_ld=no
+        wlarc=
+
+        # A generic and very simple default shared library creation
+        # command for GNU C++ for the case where it uses the native
+        # linker, instead of GNU ld.  If possible, this setting should
+        # overridden to take advantage of the native linker features on
+        # the platform it is being used on.
+        archive_cmds_CXX='$CC -shared -nostdlib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags -o $lib'
+      fi
+
+      # Commands to make compiler produce verbose output that lists
+      # what "hidden" libraries, object files and flags are used when
+      # linking a shared library.
+      output_verbose_link_cmd='$CC -shared $CFLAGS -v conftest.$objext 2>&1 | $GREP "\-L"'
+
+    else
+      GXX=no
+      with_gnu_ld=no
+      wlarc=
+    fi
+
+    # PORTME: fill in a description of your system's C++ link characteristics
+    { $as_echo "$as_me:$LINENO: checking whether the $compiler linker ($LD) supports shared libraries" >&5
+$as_echo_n "checking whether the $compiler linker ($LD) supports shared libraries... " >&6; }
+    ld_shlibs_CXX=yes
+    case $host_os in
+      aix3*)
+        # FIXME: insert proper C++ library support
+        ld_shlibs_CXX=no
+        ;;
+      aix[4-9]*)
+        if test "$host_cpu" = ia64; then
+          # On IA64, the linker does run time linking by default, so we don't
+          # have to do anything special.
+          aix_use_runtimelinking=no
+          exp_sym_flag='-Bexport'
+          no_entry_flag=""
+        else
+          aix_use_runtimelinking=no
+
+          # Test if we are trying to use run time linking or normal
+          # AIX style linking. If -brtl is somewhere in LDFLAGS, we
+          # need to do runtime linking.
+          case $host_os in aix4.[23]|aix4.[23].*|aix[5-9]*)
+           for ld_flag in $LDFLAGS; do
+             case $ld_flag in
+             *-brtl*)
+               aix_use_runtimelinking=yes
+               break
+               ;;
+             esac
+           done
+           ;;
+          esac
+
+          exp_sym_flag='-bexport'
+          no_entry_flag='-bnoentry'
+        fi
+
+        # When large executables or shared objects are built, AIX ld can
+        # have problems creating the table of contents.  If linking a library
+        # or program results in "error TOC overflow" add -mminimal-toc to
+        # CXXFLAGS/CFLAGS for g++/gcc.  In the cases where that is not
+        # enough to fix the problem, add -Wl,-bbigtoc to LDFLAGS.
+
+        archive_cmds_CXX=''
+        hardcode_direct_CXX=yes
+        hardcode_direct_absolute_CXX=yes
+        hardcode_libdir_separator_CXX=':'
+        link_all_deplibs_CXX=yes
+        file_list_spec_CXX='${wl}-f,'
+
+        if test "$GXX" = yes; then
+          case $host_os in aix4.[012]|aix4.[012].*)
+          # We only want to do this on AIX 4.2 and lower, the check
+          # below for broken collect2 doesn't work under 4.3+
+         collect2name=`${CC} -print-prog-name=collect2`
+         if test -f "$collect2name" &&
+            strings "$collect2name" | $GREP resolve_lib_name >/dev/null
+         then
+           # We have reworked collect2
+           :
+         else
+           # We have old collect2
+           hardcode_direct_CXX=unsupported
+           # It fails to find uninstalled libraries when the uninstalled
+           # path is not listed in the libpath.  Setting hardcode_minus_L
+           # to unsupported forces relinking
+           hardcode_minus_L_CXX=yes
+           hardcode_libdir_flag_spec_CXX='-L$libdir'
+           hardcode_libdir_separator_CXX=
+         fi
+          esac
+          shared_flag='-shared'
+         if test "$aix_use_runtimelinking" = yes; then
+           shared_flag="$shared_flag "'${wl}-G'
+         fi
+        else
+          # not using gcc
+          if test "$host_cpu" = ia64; then
+         # VisualAge C++, Version 5.5 for AIX 5L for IA-64, Beta 3 Release
+         # chokes on -Wl,-G. The following line is correct:
+         shared_flag='-G'
+          else
+           if test "$aix_use_runtimelinking" = yes; then
+             shared_flag='${wl}-G'
+           else
+             shared_flag='${wl}-bM:SRE'
+           fi
+          fi
+        fi
+
+        export_dynamic_flag_spec_CXX='${wl}-bexpall'
+        # It seems that -bexpall does not export symbols beginning with
+        # underscore (_), so it is better to generate a list of symbols to
+       # export.
+        always_export_symbols_CXX=yes
+        if test "$aix_use_runtimelinking" = yes; then
+          # Warning - without using the other runtime loading flags (-brtl),
+          # -berok will link without error, but may produce a broken library.
+          allow_undefined_flag_CXX='-berok'
+          # Determine the default libpath from the value encoded in an empty
+          # executable.
+          cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_cxx_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+
+lt_aix_libpath_sed='
+    /Import File Strings/,/^$/ {
+       /^0/ {
+           s/^0  *\(.*\)$/\1/
+           p
+       }
+    }'
+aix_libpath=`dump -H conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
+# Check for a 64-bit object if we didn't find anything.
+if test -z "$aix_libpath"; then
+  aix_libpath=`dump -HX64 conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
+fi
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+if test -z "$aix_libpath"; then aix_libpath="/usr/lib:/lib"; fi
+
+          hardcode_libdir_flag_spec_CXX='${wl}-blibpath:$libdir:'"$aix_libpath"
+
+          archive_expsym_cmds_CXX='$CC -o $output_objdir/$soname $libobjs $deplibs '"\${wl}$no_entry_flag"' $compiler_flags `if test "x${allow_undefined_flag}" != "x"; then $ECHO "X${wl}${allow_undefined_flag}" | $Xsed; else :; fi` '"\${wl}$exp_sym_flag:\$export_symbols $shared_flag"
+        else
+          if test "$host_cpu" = ia64; then
+           hardcode_libdir_flag_spec_CXX='${wl}-R $libdir:/usr/lib:/lib'
+           allow_undefined_flag_CXX="-z nodefs"
+           archive_expsym_cmds_CXX="\$CC $shared_flag"' -o $output_objdir/$soname $libobjs $deplibs '"\${wl}$no_entry_flag"' $compiler_flags ${wl}${allow_undefined_flag} '"\${wl}$exp_sym_flag:\$export_symbols"
+          else
+           # Determine the default libpath from the value encoded in an
+           # empty executable.
+           cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_cxx_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+
+lt_aix_libpath_sed='
+    /Import File Strings/,/^$/ {
+       /^0/ {
+           s/^0  *\(.*\)$/\1/
+           p
+       }
+    }'
+aix_libpath=`dump -H conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
+# Check for a 64-bit object if we didn't find anything.
+if test -z "$aix_libpath"; then
+  aix_libpath=`dump -HX64 conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
+fi
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+if test -z "$aix_libpath"; then aix_libpath="/usr/lib:/lib"; fi
+
+           hardcode_libdir_flag_spec_CXX='${wl}-blibpath:$libdir:'"$aix_libpath"
+           # Warning - without using the other run time loading flags,
+           # -berok will link without error, but may produce a broken library.
+           no_undefined_flag_CXX=' ${wl}-bernotok'
+           allow_undefined_flag_CXX=' ${wl}-berok'
+           # Exported symbols can be pulled into shared objects from archives
+           whole_archive_flag_spec_CXX='$convenience'
+           archive_cmds_need_lc_CXX=yes
+           # This is similar to how AIX traditionally builds its shared
+           # libraries.
+           archive_expsym_cmds_CXX="\$CC $shared_flag"' -o $output_objdir/$soname $libobjs $deplibs ${wl}-bnoentry $compiler_flags ${wl}-bE:$export_symbols${allow_undefined_flag}~$AR $AR_FLAGS $output_objdir/$libname$release.a $output_objdir/$soname'
+          fi
+        fi
+        ;;
+
+      beos*)
+       if $LD --help 2>&1 | $GREP ': supported targets:.* elf' > /dev/null; then
+         allow_undefined_flag_CXX=unsupported
+         # Joseph Beckenbach <jrb3@best.com> says some releases of gcc
+         # support --undefined.  This deserves some investigation.  FIXME
+         archive_cmds_CXX='$CC -nostart $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+       else
+         ld_shlibs_CXX=no
+       fi
+       ;;
+
+      chorus*)
+        case $cc_basename in
+          *)
+         # FIXME: insert proper C++ library support
+         ld_shlibs_CXX=no
+         ;;
+        esac
+        ;;
+
+      cygwin* | mingw* | pw32* | cegcc*)
+        # _LT_TAGVAR(hardcode_libdir_flag_spec, CXX) is actually meaningless,
+        # as there is no search path for DLLs.
+        hardcode_libdir_flag_spec_CXX='-L$libdir'
+        allow_undefined_flag_CXX=unsupported
+        always_export_symbols_CXX=no
+        enable_shared_with_static_runtimes_CXX=yes
+
+        if $LD --help 2>&1 | $GREP 'auto-import' > /dev/null; then
+          archive_cmds_CXX='$CC -shared -nostdlib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags -o $output_objdir/$soname ${wl}--enable-auto-image-base -Xlinker --out-implib -Xlinker $lib'
+          # If the export-symbols file already is a .def file (1st line
+          # is EXPORTS), use it as is; otherwise, prepend...
+          archive_expsym_cmds_CXX='if test "x`$SED 1q $export_symbols`" = xEXPORTS; then
+           cp $export_symbols $output_objdir/$soname.def;
+          else
+           echo EXPORTS > $output_objdir/$soname.def;
+           cat $export_symbols >> $output_objdir/$soname.def;
+          fi~
+          $CC -shared -nostdlib $output_objdir/$soname.def $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags -o $output_objdir/$soname ${wl}--enable-auto-image-base -Xlinker --out-implib -Xlinker $lib'
+        else
+          ld_shlibs_CXX=no
+        fi
+        ;;
+      darwin* | rhapsody*)
+
+
+  archive_cmds_need_lc_CXX=no
+  hardcode_direct_CXX=no
+  hardcode_automatic_CXX=yes
+  hardcode_shlibpath_var_CXX=unsupported
+  whole_archive_flag_spec_CXX=''
+  link_all_deplibs_CXX=yes
+  allow_undefined_flag_CXX="$_lt_dar_allow_undefined"
+  case $cc_basename in
+     ifort*) _lt_dar_can_shared=yes ;;
+     *) _lt_dar_can_shared=$GCC ;;
+  esac
+  if test "$_lt_dar_can_shared" = "yes"; then
+    output_verbose_link_cmd=echo
+    archive_cmds_CXX="\$CC -dynamiclib \$allow_undefined_flag -o \$lib \$libobjs \$deplibs \$compiler_flags -install_name \$rpath/\$soname \$verstring $_lt_dar_single_mod${_lt_dsymutil}"
+    module_cmds_CXX="\$CC \$allow_undefined_flag -o \$lib -bundle \$libobjs \$deplibs \$compiler_flags${_lt_dsymutil}"
+    archive_expsym_cmds_CXX="sed 's,^,_,' < \$export_symbols > \$output_objdir/\${libname}-symbols.expsym~\$CC -dynamiclib \$allow_undefined_flag -o \$lib \$libobjs \$deplibs \$compiler_flags -install_name \$rpath/\$soname \$verstring ${_lt_dar_single_mod}${_lt_dar_export_syms}${_lt_dsymutil}"
+    module_expsym_cmds_CXX="sed -e 's,^,_,' < \$export_symbols > \$output_objdir/\${libname}-symbols.expsym~\$CC \$allow_undefined_flag -o \$lib -bundle \$libobjs \$deplibs \$compiler_flags${_lt_dar_export_syms}${_lt_dsymutil}"
+       if test "$lt_cv_apple_cc_single_mod" != "yes"; then
+      archive_cmds_CXX="\$CC -r -keep_private_externs -nostdlib -o \${lib}-master.o \$libobjs~\$CC -dynamiclib \$allow_undefined_flag -o \$lib \${lib}-master.o \$deplibs \$compiler_flags -install_name \$rpath/\$soname \$verstring${_lt_dsymutil}"
+      archive_expsym_cmds_CXX="sed 's,^,_,' < \$export_symbols > \$output_objdir/\${libname}-symbols.expsym~\$CC -r -keep_private_externs -nostdlib -o \${lib}-master.o \$libobjs~\$CC -dynamiclib \$allow_undefined_flag -o \$lib \${lib}-master.o \$deplibs \$compiler_flags -install_name \$rpath/\$soname \$verstring${_lt_dar_export_syms}${_lt_dsymutil}"
+    fi
+
+  else
+  ld_shlibs_CXX=no
+  fi
+
+       ;;
+
+      dgux*)
+        case $cc_basename in
+          ec++*)
+           # FIXME: insert proper C++ library support
+           ld_shlibs_CXX=no
+           ;;
+          ghcx*)
+           # Green Hills C++ Compiler
+           # FIXME: insert proper C++ library support
+           ld_shlibs_CXX=no
+           ;;
+          *)
+           # FIXME: insert proper C++ library support
+           ld_shlibs_CXX=no
+           ;;
+        esac
+        ;;
+
+      freebsd[12]*)
+        # C++ shared libraries reported to be fairly broken before
+       # switch to ELF
+        ld_shlibs_CXX=no
+        ;;
+
+      freebsd-elf*)
+        archive_cmds_need_lc_CXX=no
+        ;;
+
+      freebsd* | dragonfly*)
+        # FreeBSD 3 and later use GNU C++ and GNU ld with standard ELF
+        # conventions
+        ld_shlibs_CXX=yes
+        ;;
+
+      gnu*)
+        ;;
+
+      hpux9*)
+        hardcode_libdir_flag_spec_CXX='${wl}+b ${wl}$libdir'
+        hardcode_libdir_separator_CXX=:
+        export_dynamic_flag_spec_CXX='${wl}-E'
+        hardcode_direct_CXX=yes
+        hardcode_minus_L_CXX=yes # Not in the search PATH,
+                                            # but as the default
+                                            # location of the library.
+
+        case $cc_basename in
+          CC*)
+            # FIXME: insert proper C++ library support
+            ld_shlibs_CXX=no
+            ;;
+          aCC*)
+            archive_cmds_CXX='$RM $output_objdir/$soname~$CC -b ${wl}+b ${wl}$install_libdir -o $output_objdir/$soname $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags~test $output_objdir/$soname = $lib || mv $output_objdir/$soname $lib'
+            # Commands to make compiler produce verbose output that lists
+            # what "hidden" libraries, object files and flags are used when
+            # linking a shared library.
+            #
+            # There doesn't appear to be a way to prevent this compiler from
+            # explicitly linking system object files so we need to strip them
+            # from the output so that they don't get included in the library
+            # dependencies.
+            output_verbose_link_cmd='templist=`($CC -b $CFLAGS -v conftest.$objext 2>&1) | $EGREP "\-L"`; list=""; for z in $templist; do case $z in conftest.$objext) list="$list $z";; *.$objext);; *) list="$list $z";;esac; done; $ECHO "X$list" | $Xsed'
+            ;;
+          *)
+            if test "$GXX" = yes; then
+              archive_cmds_CXX='$RM $output_objdir/$soname~$CC -shared -nostdlib -fPIC ${wl}+b ${wl}$install_libdir -o $output_objdir/$soname $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags~test $output_objdir/$soname = $lib || mv $output_objdir/$soname $lib'
+            else
+              # FIXME: insert proper C++ library support
+              ld_shlibs_CXX=no
+            fi
+            ;;
+        esac
+        ;;
+
+      hpux10*|hpux11*)
+        if test $with_gnu_ld = no; then
+         hardcode_libdir_flag_spec_CXX='${wl}+b ${wl}$libdir'
+         hardcode_libdir_separator_CXX=:
+
+          case $host_cpu in
+            hppa*64*|ia64*)
+              ;;
+            *)
+             export_dynamic_flag_spec_CXX='${wl}-E'
+              ;;
+          esac
+        fi
+        case $host_cpu in
+          hppa*64*|ia64*)
+            hardcode_direct_CXX=no
+            hardcode_shlibpath_var_CXX=no
+            ;;
+          *)
+            hardcode_direct_CXX=yes
+            hardcode_direct_absolute_CXX=yes
+            hardcode_minus_L_CXX=yes # Not in the search PATH,
+                                                # but as the default
+                                                # location of the library.
+            ;;
+        esac
+
+        case $cc_basename in
+          CC*)
+           # FIXME: insert proper C++ library support
+           ld_shlibs_CXX=no
+           ;;
+          aCC*)
+           case $host_cpu in
+             hppa*64*)
+               archive_cmds_CXX='$CC -b ${wl}+h ${wl}$soname -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags'
+               ;;
+             ia64*)
+               archive_cmds_CXX='$CC -b ${wl}+h ${wl}$soname ${wl}+nodefaultrpath -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags'
+               ;;
+             *)
+               archive_cmds_CXX='$CC -b ${wl}+h ${wl}$soname ${wl}+b ${wl}$install_libdir -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags'
+               ;;
+           esac
+           # Commands to make compiler produce verbose output that lists
+           # what "hidden" libraries, object files and flags are used when
+           # linking a shared library.
+           #
+           # There doesn't appear to be a way to prevent this compiler from
+           # explicitly linking system object files so we need to strip them
+           # from the output so that they don't get included in the library
+           # dependencies.
+           output_verbose_link_cmd='templist=`($CC -b $CFLAGS -v conftest.$objext 2>&1) | $GREP "\-L"`; list=""; for z in $templist; do case $z in conftest.$objext) list="$list $z";; *.$objext);; *) list="$list $z";;esac; done; $ECHO "X$list" | $Xsed'
+           ;;
+          *)
+           if test "$GXX" = yes; then
+             if test $with_gnu_ld = no; then
+               case $host_cpu in
+                 hppa*64*)
+                   archive_cmds_CXX='$CC -shared -nostdlib -fPIC ${wl}+h ${wl}$soname -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags'
+                   ;;
+                 ia64*)
+                   archive_cmds_CXX='$CC -shared -nostdlib -fPIC ${wl}+h ${wl}$soname ${wl}+nodefaultrpath -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags'
+                   ;;
+                 *)
+                   archive_cmds_CXX='$CC -shared -nostdlib -fPIC ${wl}+h ${wl}$soname ${wl}+b ${wl}$install_libdir -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags'
+                   ;;
+               esac
+             fi
+           else
+             # FIXME: insert proper C++ library support
+             ld_shlibs_CXX=no
+           fi
+           ;;
+        esac
+        ;;
+
+      interix[3-9]*)
+       hardcode_direct_CXX=no
+       hardcode_shlibpath_var_CXX=no
+       hardcode_libdir_flag_spec_CXX='${wl}-rpath,$libdir'
+       export_dynamic_flag_spec_CXX='${wl}-E'
+       # Hack: On Interix 3.x, we cannot compile PIC because of a broken gcc.
+       # Instead, shared libraries are loaded at an image base (0x10000000 by
+       # default) and relocated if they conflict, which is a slow very memory
+       # consuming and fragmenting process.  To avoid this, we pick a random,
+       # 256 KiB-aligned image base between 0x50000000 and 0x6FFC0000 at link
+       # time.  Moving up from 0x10000000 also allows more sbrk(2) space.
+       archive_cmds_CXX='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-h,$soname ${wl}--image-base,`expr ${RANDOM-$$} % 4096 / 2 \* 262144 + 1342177280` -o $lib'
+       archive_expsym_cmds_CXX='sed "s,^,_," $export_symbols >$output_objdir/$soname.expsym~$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-h,$soname ${wl}--retain-symbols-file,$output_objdir/$soname.expsym ${wl}--image-base,`expr ${RANDOM-$$} % 4096 / 2 \* 262144 + 1342177280` -o $lib'
+       ;;
+      irix5* | irix6*)
+        case $cc_basename in
+          CC*)
+           # SGI C++
+           archive_cmds_CXX='$CC -shared -all -multigot $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags -soname $soname `test -n "$verstring" && $ECHO "X-set_version $verstring" | $Xsed` -update_registry ${output_objdir}/so_locations -o $lib'
+
+           # Archives containing C++ object files must be created using
+           # "CC -ar", where "CC" is the IRIX C++ compiler.  This is
+           # necessary to make sure instantiated templates are included
+           # in the archive.
+           old_archive_cmds_CXX='$CC -ar -WR,-u -o $oldlib $oldobjs'
+           ;;
+          *)
+           if test "$GXX" = yes; then
+             if test "$with_gnu_ld" = no; then
+               archive_cmds_CXX='$CC -shared -nostdlib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && $ECHO "X${wl}-set_version ${wl}$verstring" | $Xsed` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
+             else
+               archive_cmds_CXX='$CC -shared -nostdlib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && $ECHO "X${wl}-set_version ${wl}$verstring" | $Xsed` -o $lib'
+             fi
+           fi
+           link_all_deplibs_CXX=yes
+           ;;
+        esac
+        hardcode_libdir_flag_spec_CXX='${wl}-rpath ${wl}$libdir'
+        hardcode_libdir_separator_CXX=:
+        inherit_rpath_CXX=yes
+        ;;
+
+      linux* | k*bsd*-gnu)
+        case $cc_basename in
+          KCC*)
+           # Kuck and Associates, Inc. (KAI) C++ Compiler
+
+           # KCC will only create a shared library if the output file
+           # ends with ".so" (or ".sl" for HP-UX), so rename the library
+           # to its proper name (with version) after linking.
+           archive_cmds_CXX='tempext=`echo $shared_ext | $SED -e '\''s/\([^()0-9A-Za-z{}]\)/\\\\\1/g'\''`; templib=`echo $lib | $SED -e "s/\${tempext}\..*/.so/"`; $CC $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags --soname $soname -o \$templib; mv \$templib $lib'
+           archive_expsym_cmds_CXX='tempext=`echo $shared_ext | $SED -e '\''s/\([^()0-9A-Za-z{}]\)/\\\\\1/g'\''`; templib=`echo $lib | $SED -e "s/\${tempext}\..*/.so/"`; $CC $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags --soname $soname -o \$templib ${wl}-retain-symbols-file,$export_symbols; mv \$templib $lib'
+           # Commands to make compiler produce verbose output that lists
+           # what "hidden" libraries, object files and flags are used when
+           # linking a shared library.
+           #
+           # There doesn't appear to be a way to prevent this compiler from
+           # explicitly linking system object files so we need to strip them
+           # from the output so that they don't get included in the library
+           # dependencies.
+           output_verbose_link_cmd='templist=`$CC $CFLAGS -v conftest.$objext -o libconftest$shared_ext 2>&1 | $GREP "ld"`; rm -f libconftest$shared_ext; list=""; for z in $templist; do case $z in conftest.$objext) list="$list $z";; *.$objext);; *) list="$list $z";;esac; done; $ECHO "X$list" | $Xsed'
+
+           hardcode_libdir_flag_spec_CXX='${wl}-rpath,$libdir'
+           export_dynamic_flag_spec_CXX='${wl}--export-dynamic'
+
+           # Archives containing C++ object files must be created using
+           # "CC -Bstatic", where "CC" is the KAI C++ compiler.
+           old_archive_cmds_CXX='$CC -Bstatic -o $oldlib $oldobjs'
+           ;;
+         icpc* | ecpc* )
+           # Intel C++
+           with_gnu_ld=yes
+           # version 8.0 and above of icpc choke on multiply defined symbols
+           # if we add $predep_objects and $postdep_objects, however 7.1 and
+           # earlier do not add the objects themselves.
+           case `$CC -V 2>&1` in
+             *"Version 7."*)
+               archive_cmds_CXX='$CC -shared $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname $wl$soname -o $lib'
+               archive_expsym_cmds_CXX='$CC -shared $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname $wl$soname ${wl}-retain-symbols-file $wl$export_symbols -o $lib'
+               ;;
+             *)  # Version 8.0 or newer
+               tmp_idyn=
+               case $host_cpu in
+                 ia64*) tmp_idyn=' -i_dynamic';;
+               esac
+               archive_cmds_CXX='$CC -shared'"$tmp_idyn"' $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+               archive_expsym_cmds_CXX='$CC -shared'"$tmp_idyn"' $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname ${wl}-retain-symbols-file $wl$export_symbols -o $lib'
+               ;;
+           esac
+           archive_cmds_need_lc_CXX=no
+           hardcode_libdir_flag_spec_CXX='${wl}-rpath,$libdir'
+           export_dynamic_flag_spec_CXX='${wl}--export-dynamic'
+           whole_archive_flag_spec_CXX='${wl}--whole-archive$convenience ${wl}--no-whole-archive'
+           ;;
+          pgCC* | pgcpp*)
+            # Portland Group C++ compiler
+           case `$CC -V` in
+           *pgCC\ [1-5]* | *pgcpp\ [1-5]*)
+             prelink_cmds_CXX='tpldir=Template.dir~
+               rm -rf $tpldir~
+               $CC --prelink_objects --instantiation_dir $tpldir $objs $libobjs $compile_deplibs~
+               compile_command="$compile_command `find $tpldir -name \*.o | $NL2SP`"'
+             old_archive_cmds_CXX='tpldir=Template.dir~
+               rm -rf $tpldir~
+               $CC --prelink_objects --instantiation_dir $tpldir $oldobjs$old_deplibs~
+               $AR $AR_FLAGS $oldlib$oldobjs$old_deplibs `find $tpldir -name \*.o | $NL2SP`~
+               $RANLIB $oldlib'
+             archive_cmds_CXX='tpldir=Template.dir~
+               rm -rf $tpldir~
+               $CC --prelink_objects --instantiation_dir $tpldir $predep_objects $libobjs $deplibs $convenience $postdep_objects~
+               $CC -shared $pic_flag $predep_objects $libobjs $deplibs `find $tpldir -name \*.o | $NL2SP` $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname -o $lib'
+             archive_expsym_cmds_CXX='tpldir=Template.dir~
+               rm -rf $tpldir~
+               $CC --prelink_objects --instantiation_dir $tpldir $predep_objects $libobjs $deplibs $convenience $postdep_objects~
+               $CC -shared $pic_flag $predep_objects $libobjs $deplibs `find $tpldir -name \*.o | $NL2SP` $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname ${wl}-retain-symbols-file ${wl}$export_symbols -o $lib'
+             ;;
+           *) # Version 6 will use weak symbols
+             archive_cmds_CXX='$CC -shared $pic_flag $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname -o $lib'
+             archive_expsym_cmds_CXX='$CC -shared $pic_flag $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname ${wl}-retain-symbols-file ${wl}$export_symbols -o $lib'
+             ;;
+           esac
+
+           hardcode_libdir_flag_spec_CXX='${wl}--rpath ${wl}$libdir'
+           export_dynamic_flag_spec_CXX='${wl}--export-dynamic'
+           whole_archive_flag_spec_CXX='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; $ECHO \"$new_convenience\"` ${wl}--no-whole-archive'
+            ;;
+         cxx*)
+           # Compaq C++
+           archive_cmds_CXX='$CC -shared $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname $wl$soname -o $lib'
+           archive_expsym_cmds_CXX='$CC -shared $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname $wl$soname  -o $lib ${wl}-retain-symbols-file $wl$export_symbols'
+
+           runpath_var=LD_RUN_PATH
+           hardcode_libdir_flag_spec_CXX='-rpath $libdir'
+           hardcode_libdir_separator_CXX=:
+
+           # Commands to make compiler produce verbose output that lists
+           # what "hidden" libraries, object files and flags are used when
+           # linking a shared library.
+           #
+           # There doesn't appear to be a way to prevent this compiler from
+           # explicitly linking system object files so we need to strip them
+           # from the output so that they don't get included in the library
+           # dependencies.
+           output_verbose_link_cmd='templist=`$CC -shared $CFLAGS -v conftest.$objext 2>&1 | $GREP "ld"`; templist=`$ECHO "X$templist" | $Xsed -e "s/\(^.*ld.*\)\( .*ld .*$\)/\1/"`; list=""; for z in $templist; do case $z in conftest.$objext) list="$list $z";; *.$objext);; *) list="$list $z";;esac; done; $ECHO "X$list" | $Xsed'
+           ;;
+         xl*)
+           # IBM XL 8.0 on PPC, with GNU ld
+           hardcode_libdir_flag_spec_CXX='${wl}-rpath ${wl}$libdir'
+           export_dynamic_flag_spec_CXX='${wl}--export-dynamic'
+           archive_cmds_CXX='$CC -qmkshrobj $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+           if test "x$supports_anon_versioning" = xyes; then
+             archive_expsym_cmds_CXX='echo "{ global:" > $output_objdir/$libname.ver~
+               cat $export_symbols | sed -e "s/\(.*\)/\1;/" >> $output_objdir/$libname.ver~
+               echo "local: *; };" >> $output_objdir/$libname.ver~
+               $CC -qmkshrobj $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname ${wl}-version-script ${wl}$output_objdir/$libname.ver -o $lib'
+           fi
+           ;;
+         *)
+           case `$CC -V 2>&1 | sed 5q` in
+           *Sun\ C*)
+             # Sun C++ 5.9
+             no_undefined_flag_CXX=' -zdefs'
+             archive_cmds_CXX='$CC -G${allow_undefined_flag} -h$soname -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags'
+             archive_expsym_cmds_CXX='$CC -G${allow_undefined_flag} -h$soname -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-retain-symbols-file ${wl}$export_symbols'
+             hardcode_libdir_flag_spec_CXX='-R$libdir'
+             whole_archive_flag_spec_CXX='${wl}--whole-archive`new_convenience=; for conv in $convenience\"\"; do test -z \"$conv\" || new_convenience=\"$new_convenience,$conv\"; done; $ECHO \"$new_convenience\"` ${wl}--no-whole-archive'
+             compiler_needs_object_CXX=yes
+
+             # Not sure whether something based on
+             # $CC $CFLAGS -v conftest.$objext -o libconftest$shared_ext 2>&1
+             # would be better.
+             output_verbose_link_cmd='echo'
+
+             # Archives containing C++ object files must be created using
+             # "CC -xar", where "CC" is the Sun C++ compiler.  This is
+             # necessary to make sure instantiated templates are included
+             # in the archive.
+             old_archive_cmds_CXX='$CC -xar -o $oldlib $oldobjs'
+             ;;
+           esac
+           ;;
+       esac
+       ;;
+
+      lynxos*)
+        # FIXME: insert proper C++ library support
+       ld_shlibs_CXX=no
+       ;;
+
+      m88k*)
+        # FIXME: insert proper C++ library support
+        ld_shlibs_CXX=no
+       ;;
+
+      mvs*)
+        case $cc_basename in
+          cxx*)
+           # FIXME: insert proper C++ library support
+           ld_shlibs_CXX=no
+           ;;
+         *)
+           # FIXME: insert proper C++ library support
+           ld_shlibs_CXX=no
+           ;;
+       esac
+       ;;
+
+      netbsd*)
+        if echo __ELF__ | $CC -E - | $GREP __ELF__ >/dev/null; then
+         archive_cmds_CXX='$LD -Bshareable  -o $lib $predep_objects $libobjs $deplibs $postdep_objects $linker_flags'
+         wlarc=
+         hardcode_libdir_flag_spec_CXX='-R$libdir'
+         hardcode_direct_CXX=yes
+         hardcode_shlibpath_var_CXX=no
+       fi
+       # Workaround some broken pre-1.5 toolchains
+       output_verbose_link_cmd='$CC -shared $CFLAGS -v conftest.$objext 2>&1 | $GREP conftest.$objext | $SED -e "s:-lgcc -lc -lgcc::"'
+       ;;
+
+      *nto* | *qnx*)
+        ld_shlibs_CXX=yes
+       ;;
+
+      openbsd2*)
+        # C++ shared libraries are fairly broken
+       ld_shlibs_CXX=no
+       ;;
+
+      openbsd*)
+       if test -f /usr/libexec/ld.so; then
+         hardcode_direct_CXX=yes
+         hardcode_shlibpath_var_CXX=no
+         hardcode_direct_absolute_CXX=yes
+         archive_cmds_CXX='$CC -shared $pic_flag $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags -o $lib'
+         hardcode_libdir_flag_spec_CXX='${wl}-rpath,$libdir'
+         if test -z "`echo __ELF__ | $CC -E - | grep __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
+           archive_expsym_cmds_CXX='$CC -shared $pic_flag $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-retain-symbols-file,$export_symbols -o $lib'
+           export_dynamic_flag_spec_CXX='${wl}-E'
+           whole_archive_flag_spec_CXX="$wlarc"'--whole-archive$convenience '"$wlarc"'--no-whole-archive'
+         fi
+         output_verbose_link_cmd=echo
+       else
+         ld_shlibs_CXX=no
+       fi
+       ;;
+
+      osf3* | osf4* | osf5*)
+        case $cc_basename in
+          KCC*)
+           # Kuck and Associates, Inc. (KAI) C++ Compiler
+
+           # KCC will only create a shared library if the output file
+           # ends with ".so" (or ".sl" for HP-UX), so rename the library
+           # to its proper name (with version) after linking.
+           archive_cmds_CXX='tempext=`echo $shared_ext | $SED -e '\''s/\([^()0-9A-Za-z{}]\)/\\\\\1/g'\''`; templib=`echo "$lib" | $SED -e "s/\${tempext}\..*/.so/"`; $CC $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags --soname $soname -o \$templib; mv \$templib $lib'
+
+           hardcode_libdir_flag_spec_CXX='${wl}-rpath,$libdir'
+           hardcode_libdir_separator_CXX=:
+
+           # Archives containing C++ object files must be created using
+           # the KAI C++ compiler.
+           case $host in
+             osf3*) old_archive_cmds_CXX='$CC -Bstatic -o $oldlib $oldobjs' ;;
+             *) old_archive_cmds_CXX='$CC -o $oldlib $oldobjs' ;;
+           esac
+           ;;
+          RCC*)
+           # Rational C++ 2.4.1
+           # FIXME: insert proper C++ library support
+           ld_shlibs_CXX=no
+           ;;
+          cxx*)
+           case $host in
+             osf3*)
+               allow_undefined_flag_CXX=' ${wl}-expect_unresolved ${wl}\*'
+               archive_cmds_CXX='$CC -shared${allow_undefined_flag} $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname $soname `test -n "$verstring" && $ECHO "X${wl}-set_version $verstring" | $Xsed` -update_registry ${output_objdir}/so_locations -o $lib'
+               hardcode_libdir_flag_spec_CXX='${wl}-rpath ${wl}$libdir'
+               ;;
+             *)
+               allow_undefined_flag_CXX=' -expect_unresolved \*'
+               archive_cmds_CXX='$CC -shared${allow_undefined_flag} $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags -msym -soname $soname `test -n "$verstring" && $ECHO "X-set_version $verstring" | $Xsed` -update_registry ${output_objdir}/so_locations -o $lib'
+               archive_expsym_cmds_CXX='for i in `cat $export_symbols`; do printf "%s %s\\n" -exported_symbol "\$i" >> $lib.exp; done~
+                 echo "-hidden">> $lib.exp~
+                 $CC -shared$allow_undefined_flag $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags -msym -soname $soname ${wl}-input ${wl}$lib.exp  `test -n "$verstring" && $ECHO "X-set_version $verstring" | $Xsed` -update_registry ${output_objdir}/so_locations -o $lib~
+                 $RM $lib.exp'
+               hardcode_libdir_flag_spec_CXX='-rpath $libdir'
+               ;;
+           esac
+
+           hardcode_libdir_separator_CXX=:
+
+           # Commands to make compiler produce verbose output that lists
+           # what "hidden" libraries, object files and flags are used when
+           # linking a shared library.
+           #
+           # There doesn't appear to be a way to prevent this compiler from
+           # explicitly linking system object files so we need to strip them
+           # from the output so that they don't get included in the library
+           # dependencies.
+           output_verbose_link_cmd='templist=`$CC -shared $CFLAGS -v conftest.$objext 2>&1 | $GREP "ld" | $GREP -v "ld:"`; templist=`$ECHO "X$templist" | $Xsed -e "s/\(^.*ld.*\)\( .*ld.*$\)/\1/"`; list=""; for z in $templist; do case $z in conftest.$objext) list="$list $z";; *.$objext);; *) list="$list $z";;esac; done; $ECHO "X$list" | $Xsed'
+           ;;
+         *)
+           if test "$GXX" = yes && test "$with_gnu_ld" = no; then
+             allow_undefined_flag_CXX=' ${wl}-expect_unresolved ${wl}\*'
+             case $host in
+               osf3*)
+                 archive_cmds_CXX='$CC -shared -nostdlib ${allow_undefined_flag} $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && $ECHO "X${wl}-set_version ${wl}$verstring" | $Xsed` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
+                 ;;
+               *)
+                 archive_cmds_CXX='$CC -shared -nostdlib ${allow_undefined_flag} $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-msym ${wl}-soname ${wl}$soname `test -n "$verstring" && $ECHO "${wl}-set_version ${wl}$verstring" | $Xsed` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
+                 ;;
+             esac
+
+             hardcode_libdir_flag_spec_CXX='${wl}-rpath ${wl}$libdir'
+             hardcode_libdir_separator_CXX=:
+
+             # Commands to make compiler produce verbose output that lists
+             # what "hidden" libraries, object files and flags are used when
+             # linking a shared library.
+             output_verbose_link_cmd='$CC -shared $CFLAGS -v conftest.$objext 2>&1 | $GREP "\-L"'
+
+           else
+             # FIXME: insert proper C++ library support
+             ld_shlibs_CXX=no
+           fi
+           ;;
+        esac
+        ;;
+
+      psos*)
+        # FIXME: insert proper C++ library support
+        ld_shlibs_CXX=no
+        ;;
+
+      sunos4*)
+        case $cc_basename in
+          CC*)
+           # Sun C++ 4.x
+           # FIXME: insert proper C++ library support
+           ld_shlibs_CXX=no
+           ;;
+          lcc*)
+           # Lucid
+           # FIXME: insert proper C++ library support
+           ld_shlibs_CXX=no
+           ;;
+          *)
+           # FIXME: insert proper C++ library support
+           ld_shlibs_CXX=no
+           ;;
+        esac
+        ;;
+
+      solaris*)
+        case $cc_basename in
+          CC*)
+           # Sun C++ 4.2, 5.x and Centerline C++
+            archive_cmds_need_lc_CXX=yes
+           no_undefined_flag_CXX=' -zdefs'
+           archive_cmds_CXX='$CC -G${allow_undefined_flag}  -h$soname -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags'
+           archive_expsym_cmds_CXX='echo "{ global:" > $lib.exp~cat $export_symbols | $SED -e "s/\(.*\)/\1;/" >> $lib.exp~echo "local: *; };" >> $lib.exp~
+             $CC -G${allow_undefined_flag} ${wl}-M ${wl}$lib.exp -h$soname -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags~$RM $lib.exp'
+
+           hardcode_libdir_flag_spec_CXX='-R$libdir'
+           hardcode_shlibpath_var_CXX=no
+           case $host_os in
+             solaris2.[0-5] | solaris2.[0-5].*) ;;
+             *)
+               # The compiler driver will combine and reorder linker options,
+               # but understands `-z linker_flag'.
+               # Supported since Solaris 2.6 (maybe 2.5.1?)
+               whole_archive_flag_spec_CXX='-z allextract$convenience -z defaultextract'
+               ;;
+           esac
+           link_all_deplibs_CXX=yes
+
+           output_verbose_link_cmd='echo'
+
+           # Archives containing C++ object files must be created using
+           # "CC -xar", where "CC" is the Sun C++ compiler.  This is
+           # necessary to make sure instantiated templates are included
+           # in the archive.
+           old_archive_cmds_CXX='$CC -xar -o $oldlib $oldobjs'
+           ;;
+          gcx*)
+           # Green Hills C++ Compiler
+           archive_cmds_CXX='$CC -shared $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-h $wl$soname -o $lib'
+
+           # The C++ compiler must be used to create the archive.
+           old_archive_cmds_CXX='$CC $LDFLAGS -archive -o $oldlib $oldobjs'
+           ;;
+          *)
+           # GNU C++ compiler with Solaris linker
+           if test "$GXX" = yes && test "$with_gnu_ld" = no; then
+             no_undefined_flag_CXX=' ${wl}-z ${wl}defs'
+             if $CC --version | $GREP -v '^2\.7' > /dev/null; then
+               archive_cmds_CXX='$CC -shared -nostdlib $LDFLAGS $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-h $wl$soname -o $lib'
+               archive_expsym_cmds_CXX='echo "{ global:" > $lib.exp~cat $export_symbols | $SED -e "s/\(.*\)/\1;/" >> $lib.exp~echo "local: *; };" >> $lib.exp~
+                 $CC -shared -nostdlib ${wl}-M $wl$lib.exp -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags~$RM $lib.exp'
+
+               # Commands to make compiler produce verbose output that lists
+               # what "hidden" libraries, object files and flags are used when
+               # linking a shared library.
+               output_verbose_link_cmd='$CC -shared $CFLAGS -v conftest.$objext 2>&1 | $GREP "\-L"'
+             else
+               # g++ 2.7 appears to require `-G' NOT `-shared' on this
+               # platform.
+               archive_cmds_CXX='$CC -G -nostdlib $LDFLAGS $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags ${wl}-h $wl$soname -o $lib'
+               archive_expsym_cmds_CXX='echo "{ global:" > $lib.exp~cat $export_symbols | $SED -e "s/\(.*\)/\1;/" >> $lib.exp~echo "local: *; };" >> $lib.exp~
+                 $CC -G -nostdlib ${wl}-M $wl$lib.exp -o $lib $predep_objects $libobjs $deplibs $postdep_objects $compiler_flags~$RM $lib.exp'
+
+               # Commands to make compiler produce verbose output that lists
+               # what "hidden" libraries, object files and flags are used when
+               # linking a shared library.
+               output_verbose_link_cmd='$CC -G $CFLAGS -v conftest.$objext 2>&1 | $GREP "\-L"'
+             fi
+
+             hardcode_libdir_flag_spec_CXX='${wl}-R $wl$libdir'
+             case $host_os in
+               solaris2.[0-5] | solaris2.[0-5].*) ;;
+               *)
+                 whole_archive_flag_spec_CXX='${wl}-z ${wl}allextract$convenience ${wl}-z ${wl}defaultextract'
+                 ;;
+             esac
+           fi
+           ;;
+        esac
+        ;;
+
+    sysv4*uw2* | sysv5OpenUNIX* | sysv5UnixWare7.[01].[10]* | unixware7* | sco3.2v5.0.[024]*)
+      no_undefined_flag_CXX='${wl}-z,text'
+      archive_cmds_need_lc_CXX=no
+      hardcode_shlibpath_var_CXX=no
+      runpath_var='LD_RUN_PATH'
+
+      case $cc_basename in
+        CC*)
+         archive_cmds_CXX='$CC -G ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+         archive_expsym_cmds_CXX='$CC -G ${wl}-Bexport:$export_symbols ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+         ;;
+       *)
+         archive_cmds_CXX='$CC -shared ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+         archive_expsym_cmds_CXX='$CC -shared ${wl}-Bexport:$export_symbols ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+         ;;
+      esac
+      ;;
+
+      sysv5* | sco3.2v5* | sco5v6*)
+       # Note: We can NOT use -z defs as we might desire, because we do not
+       # link with -lc, and that would cause any symbols used from libc to
+       # always be unresolved, which means just about no library would
+       # ever link correctly.  If we're not using GNU ld we use -z text
+       # though, which does catch some bad symbols but isn't as heavy-handed
+       # as -z defs.
+       no_undefined_flag_CXX='${wl}-z,text'
+       allow_undefined_flag_CXX='${wl}-z,nodefs'
+       archive_cmds_need_lc_CXX=no
+       hardcode_shlibpath_var_CXX=no
+       hardcode_libdir_flag_spec_CXX='${wl}-R,$libdir'
+       hardcode_libdir_separator_CXX=':'
+       link_all_deplibs_CXX=yes
+       export_dynamic_flag_spec_CXX='${wl}-Bexport'
+       runpath_var='LD_RUN_PATH'
+
+       case $cc_basename in
+          CC*)
+           archive_cmds_CXX='$CC -G ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+           archive_expsym_cmds_CXX='$CC -G ${wl}-Bexport:$export_symbols ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+           ;;
+         *)
+           archive_cmds_CXX='$CC -shared ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+           archive_expsym_cmds_CXX='$CC -shared ${wl}-Bexport:$export_symbols ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+           ;;
+       esac
+      ;;
+
+      tandem*)
+        case $cc_basename in
+          NCC*)
+           # NonStop-UX NCC 3.20
+           # FIXME: insert proper C++ library support
+           ld_shlibs_CXX=no
+           ;;
+          *)
+           # FIXME: insert proper C++ library support
+           ld_shlibs_CXX=no
+           ;;
+        esac
+        ;;
+
+      vxworks*)
+        # FIXME: insert proper C++ library support
+        ld_shlibs_CXX=no
+        ;;
+
+      *)
+        # FIXME: insert proper C++ library support
+        ld_shlibs_CXX=no
+        ;;
+    esac
+
+    { $as_echo "$as_me:$LINENO: result: $ld_shlibs_CXX" >&5
+$as_echo "$ld_shlibs_CXX" >&6; }
+    test "$ld_shlibs_CXX" = no && can_build_shared=no
+
+    GCC_CXX="$GXX"
+    LD_CXX="$LD"
+
+    ## CAVEAT EMPTOR:
+    ## There is no encapsulation within the following macros, do not change
+    ## the running order or otherwise move them around unless you know exactly
+    ## what you are doing...
+    # Dependencies to place before and after the object being linked:
+predep_objects_CXX=
+postdep_objects_CXX=
+predeps_CXX=
+postdeps_CXX=
+compiler_lib_search_path_CXX=
+
+cat > conftest.$ac_ext <<_LT_EOF
+class Foo
+{
+public:
+  Foo (void) { a = 0; }
+private:
+  int a;
+};
+_LT_EOF
+
+if { (eval echo "$as_me:$LINENO: \"$ac_compile\"") >&5
+  (eval $ac_compile) 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  # Parse the compiler output and extract the necessary
+  # objects, libraries and library flags.
+
+  # Sentinel used to keep track of whether or not we are before
+  # the conftest object file.
+  pre_test_object_deps_done=no
+
+  for p in `eval "$output_verbose_link_cmd"`; do
+    case $p in
+
+    -L* | -R* | -l*)
+       # Some compilers place space between "-{L,R}" and the path.
+       # Remove the space.
+       if test $p = "-L" ||
+          test $p = "-R"; then
+        prev=$p
+        continue
+       else
+        prev=
+       fi
+
+       if test "$pre_test_object_deps_done" = no; then
+        case $p in
+        -L* | -R*)
+          # Internal compiler library paths should come after those
+          # provided the user.  The postdeps already come after the
+          # user supplied libs so there is no need to process them.
+          if test -z "$compiler_lib_search_path_CXX"; then
+            compiler_lib_search_path_CXX="${prev}${p}"
+          else
+            compiler_lib_search_path_CXX="${compiler_lib_search_path_CXX} ${prev}${p}"
+          fi
+          ;;
+        # The "-l" case would never come before the object being
+        # linked, so don't bother handling this case.
+        esac
+       else
+        if test -z "$postdeps_CXX"; then
+          postdeps_CXX="${prev}${p}"
+        else
+          postdeps_CXX="${postdeps_CXX} ${prev}${p}"
+        fi
+       fi
+       ;;
+
+    *.$objext)
+       # This assumes that the test object file only shows up
+       # once in the compiler output.
+       if test "$p" = "conftest.$objext"; then
+        pre_test_object_deps_done=yes
+        continue
+       fi
+
+       if test "$pre_test_object_deps_done" = no; then
+        if test -z "$predep_objects_CXX"; then
+          predep_objects_CXX="$p"
+        else
+          predep_objects_CXX="$predep_objects_CXX $p"
+        fi
+       else
+        if test -z "$postdep_objects_CXX"; then
+          postdep_objects_CXX="$p"
+        else
+          postdep_objects_CXX="$postdep_objects_CXX $p"
+        fi
+       fi
+       ;;
+
+    *) ;; # Ignore the rest.
+
+    esac
+  done
+
+  # Clean up.
+  rm -f a.out a.exe
+else
+  echo "libtool.m4: error: problem compiling CXX test program"
+fi
+
+$RM -f confest.$objext
+
+# PORTME: override above test on systems where it is broken
+case $host_os in
+interix[3-9]*)
+  # Interix 3.5 installs completely hosed .la files for C++, so rather than
+  # hack all around it, let's just trust "g++" to DTRT.
+  predep_objects_CXX=
+  postdep_objects_CXX=
+  postdeps_CXX=
+  ;;
+
+linux*)
+  case `$CC -V 2>&1 | sed 5q` in
+  *Sun\ C*)
+    # Sun C++ 5.9
+
+    # The more standards-conforming stlport4 library is
+    # incompatible with the Cstd library. Avoid specifying
+    # it if it's in CXXFLAGS. Ignore libCrun as
+    # -library=stlport4 depends on it.
+    case " $CXX $CXXFLAGS " in
+    *" -library=stlport4 "*)
+      solaris_use_stlport4=yes
+      ;;
+    esac
+
+    if test "$solaris_use_stlport4" != yes; then
+      postdeps_CXX='-library=Cstd -library=Crun'
+    fi
+    ;;
+  esac
+  ;;
+
+solaris*)
+  case $cc_basename in
+  CC*)
+    # The more standards-conforming stlport4 library is
+    # incompatible with the Cstd library. Avoid specifying
+    # it if it's in CXXFLAGS. Ignore libCrun as
+    # -library=stlport4 depends on it.
+    case " $CXX $CXXFLAGS " in
+    *" -library=stlport4 "*)
+      solaris_use_stlport4=yes
+      ;;
+    esac
+
+    # Adding this requires a known-good setup of shared libraries for
+    # Sun compiler versions before 5.6, else PIC objects from an old
+    # archive will be linked into the output, leading to subtle bugs.
+    if test "$solaris_use_stlport4" != yes; then
+      postdeps_CXX='-library=Cstd -library=Crun'
+    fi
+    ;;
+  esac
+  ;;
+esac
+
+
+case " $postdeps_CXX " in
+*" -lc "*) archive_cmds_need_lc_CXX=no ;;
+esac
+ compiler_lib_search_dirs_CXX=
+if test -n "${compiler_lib_search_path_CXX}"; then
+ compiler_lib_search_dirs_CXX=`echo " ${compiler_lib_search_path_CXX}" | ${SED} -e 's! -L! !g' -e 's!^ !!'`
+fi
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+    lt_prog_compiler_wl_CXX=
+lt_prog_compiler_pic_CXX=
+lt_prog_compiler_static_CXX=
+
+{ $as_echo "$as_me:$LINENO: checking for $compiler option to produce PIC" >&5
+$as_echo_n "checking for $compiler option to produce PIC... " >&6; }
+
+  # C++ specific cases for pic, static, wl, etc.
+  if test "$GXX" = yes; then
+    lt_prog_compiler_wl_CXX='-Wl,'
+    lt_prog_compiler_static_CXX='-static'
+
+    case $host_os in
+    aix*)
+      # All AIX code is PIC.
+      if test "$host_cpu" = ia64; then
+       # AIX 5 now supports IA64 processor
+       lt_prog_compiler_static_CXX='-Bstatic'
+      fi
+      ;;
+
+    amigaos*)
+      case $host_cpu in
+      powerpc)
+            # see comment about AmigaOS4 .so support
+            lt_prog_compiler_pic_CXX='-fPIC'
+        ;;
+      m68k)
+            # FIXME: we need at least 68020 code to build shared libraries, but
+            # adding the `-m68020' flag to GCC prevents building anything better,
+            # like `-m68040'.
+            lt_prog_compiler_pic_CXX='-m68020 -resident32 -malways-restore-a4'
+        ;;
+      esac
+      ;;
+
+    beos* | irix5* | irix6* | nonstopux* | osf3* | osf4* | osf5*)
+      # PIC is the default for these OSes.
+      ;;
+    mingw* | cygwin* | os2* | pw32* | cegcc*)
+      # This hack is so that the source file can tell whether it is being
+      # built for inclusion in a dll (and should export symbols for example).
+      # Although the cygwin gcc ignores -fPIC, still need this for old-style
+      # (--disable-auto-import) libraries
+      lt_prog_compiler_pic_CXX='-DDLL_EXPORT'
+      ;;
+    darwin* | rhapsody*)
+      # PIC is the default on this platform
+      # Common symbols not allowed in MH_DYLIB files
+      lt_prog_compiler_pic_CXX='-fno-common'
+      ;;
+    *djgpp*)
+      # DJGPP does not support shared libraries at all
+      lt_prog_compiler_pic_CXX=
+      ;;
+    interix[3-9]*)
+      # Interix 3.x gcc -fpic/-fPIC options generate broken code.
+      # Instead, we relocate shared libraries at runtime.
+      ;;
+    sysv4*MP*)
+      if test -d /usr/nec; then
+       lt_prog_compiler_pic_CXX=-Kconform_pic
+      fi
+      ;;
+    hpux*)
+      # PIC is the default for 64-bit PA HP-UX, but not for 32-bit
+      # PA HP-UX.  On IA64 HP-UX, PIC is the default but the pic flag
+      # sets the default TLS model and affects inlining.
+      case $host_cpu in
+      hppa*64*)
+       ;;
+      *)
+       lt_prog_compiler_pic_CXX='-fPIC'
+       ;;
+      esac
+      ;;
+    *qnx* | *nto*)
+      # QNX uses GNU C++, but need to define -shared option too, otherwise
+      # it will coredump.
+      lt_prog_compiler_pic_CXX='-fPIC -shared'
+      ;;
+    *)
+      lt_prog_compiler_pic_CXX='-fPIC'
+      ;;
+    esac
+  else
+    case $host_os in
+      aix[4-9]*)
+       # All AIX code is PIC.
+       if test "$host_cpu" = ia64; then
+         # AIX 5 now supports IA64 processor
+         lt_prog_compiler_static_CXX='-Bstatic'
+       else
+         lt_prog_compiler_static_CXX='-bnso -bI:/lib/syscalls.exp'
+       fi
+       ;;
+      chorus*)
+       case $cc_basename in
+       cxch68*)
+         # Green Hills C++ Compiler
+         # _LT_TAGVAR(lt_prog_compiler_static, CXX)="--no_auto_instantiation -u __main -u __premain -u _abort -r $COOL_DIR/lib/libOrb.a $MVME_DIR/lib/CC/libC.a $MVME_DIR/lib/classix/libcx.s.a"
+         ;;
+       esac
+       ;;
+      dgux*)
+       case $cc_basename in
+         ec++*)
+           lt_prog_compiler_pic_CXX='-KPIC'
+           ;;
+         ghcx*)
+           # Green Hills C++ Compiler
+           lt_prog_compiler_pic_CXX='-pic'
+           ;;
+         *)
+           ;;
+       esac
+       ;;
+      freebsd* | dragonfly*)
+       # FreeBSD uses GNU C++
+       ;;
+      hpux9* | hpux10* | hpux11*)
+       case $cc_basename in
+         CC*)
+           lt_prog_compiler_wl_CXX='-Wl,'
+           lt_prog_compiler_static_CXX='${wl}-a ${wl}archive'
+           if test "$host_cpu" != ia64; then
+             lt_prog_compiler_pic_CXX='+Z'
+           fi
+           ;;
+         aCC*)
+           lt_prog_compiler_wl_CXX='-Wl,'
+           lt_prog_compiler_static_CXX='${wl}-a ${wl}archive'
+           case $host_cpu in
+           hppa*64*|ia64*)
+             # +Z the default
+             ;;
+           *)
+             lt_prog_compiler_pic_CXX='+Z'
+             ;;
+           esac
+           ;;
+         *)
+           ;;
+       esac
+       ;;
+      interix*)
+       # This is c89, which is MS Visual C++ (no shared libs)
+       # Anyone wants to do a port?
+       ;;
+      irix5* | irix6* | nonstopux*)
+       case $cc_basename in
+         CC*)
+           lt_prog_compiler_wl_CXX='-Wl,'
+           lt_prog_compiler_static_CXX='-non_shared'
+           # CC pic flag -KPIC is the default.
+           ;;
+         *)
+           ;;
+       esac
+       ;;
+      linux* | k*bsd*-gnu)
+       case $cc_basename in
+         KCC*)
+           # KAI C++ Compiler
+           lt_prog_compiler_wl_CXX='--backend -Wl,'
+           lt_prog_compiler_pic_CXX='-fPIC'
+           ;;
+         ecpc* )
+           # old Intel C++ for x86_64 which still supported -KPIC.
+           lt_prog_compiler_wl_CXX='-Wl,'
+           lt_prog_compiler_pic_CXX='-KPIC'
+           lt_prog_compiler_static_CXX='-static'
+           ;;
+         icpc* )
+           # Intel C++, used to be incompatible with GCC.
+           # ICC 10 doesn't accept -KPIC any more.
+           lt_prog_compiler_wl_CXX='-Wl,'
+           lt_prog_compiler_pic_CXX='-fPIC'
+           lt_prog_compiler_static_CXX='-static'
+           ;;
+         pgCC* | pgcpp*)
+           # Portland Group C++ compiler
+           lt_prog_compiler_wl_CXX='-Wl,'
+           lt_prog_compiler_pic_CXX='-fpic'
+           lt_prog_compiler_static_CXX='-Bstatic'
+           ;;
+         cxx*)
+           # Compaq C++
+           # Make sure the PIC flag is empty.  It appears that all Alpha
+           # Linux and Compaq Tru64 Unix objects are PIC.
+           lt_prog_compiler_pic_CXX=
+           lt_prog_compiler_static_CXX='-non_shared'
+           ;;
+         xlc* | xlC*)
+           # IBM XL 8.0 on PPC
+           lt_prog_compiler_wl_CXX='-Wl,'
+           lt_prog_compiler_pic_CXX='-qpic'
+           lt_prog_compiler_static_CXX='-qstaticlink'
+           ;;
+         *)
+           case `$CC -V 2>&1 | sed 5q` in
+           *Sun\ C*)
+             # Sun C++ 5.9
+             lt_prog_compiler_pic_CXX='-KPIC'
+             lt_prog_compiler_static_CXX='-Bstatic'
+             lt_prog_compiler_wl_CXX='-Qoption ld '
+             ;;
+           esac
+           ;;
+       esac
+       ;;
+      lynxos*)
+       ;;
+      m88k*)
+       ;;
+      mvs*)
+       case $cc_basename in
+         cxx*)
+           lt_prog_compiler_pic_CXX='-W c,exportall'
+           ;;
+         *)
+           ;;
+       esac
+       ;;
+      netbsd* | netbsdelf*-gnu)
+       ;;
+      *qnx* | *nto*)
+        # QNX uses GNU C++, but need to define -shared option too, otherwise
+        # it will coredump.
+        lt_prog_compiler_pic_CXX='-fPIC -shared'
+        ;;
+      osf3* | osf4* | osf5*)
+       case $cc_basename in
+         KCC*)
+           lt_prog_compiler_wl_CXX='--backend -Wl,'
+           ;;
+         RCC*)
+           # Rational C++ 2.4.1
+           lt_prog_compiler_pic_CXX='-pic'
+           ;;
+         cxx*)
+           # Digital/Compaq C++
+           lt_prog_compiler_wl_CXX='-Wl,'
+           # Make sure the PIC flag is empty.  It appears that all Alpha
+           # Linux and Compaq Tru64 Unix objects are PIC.
+           lt_prog_compiler_pic_CXX=
+           lt_prog_compiler_static_CXX='-non_shared'
+           ;;
+         *)
+           ;;
+       esac
+       ;;
+      psos*)
+       ;;
+      solaris*)
+       case $cc_basename in
+         CC*)
+           # Sun C++ 4.2, 5.x and Centerline C++
+           lt_prog_compiler_pic_CXX='-KPIC'
+           lt_prog_compiler_static_CXX='-Bstatic'
+           lt_prog_compiler_wl_CXX='-Qoption ld '
+           ;;
+         gcx*)
+           # Green Hills C++ Compiler
+           lt_prog_compiler_pic_CXX='-PIC'
+           ;;
+         *)
+           ;;
+       esac
+       ;;
+      sunos4*)
+       case $cc_basename in
+         CC*)
+           # Sun C++ 4.x
+           lt_prog_compiler_pic_CXX='-pic'
+           lt_prog_compiler_static_CXX='-Bstatic'
+           ;;
+         lcc*)
+           # Lucid
+           lt_prog_compiler_pic_CXX='-pic'
+           ;;
+         *)
+           ;;
+       esac
+       ;;
+      sysv5* | unixware* | sco3.2v5* | sco5v6* | OpenUNIX*)
+       case $cc_basename in
+         CC*)
+           lt_prog_compiler_wl_CXX='-Wl,'
+           lt_prog_compiler_pic_CXX='-KPIC'
+           lt_prog_compiler_static_CXX='-Bstatic'
+           ;;
+       esac
+       ;;
+      tandem*)
+       case $cc_basename in
+         NCC*)
+           # NonStop-UX NCC 3.20
+           lt_prog_compiler_pic_CXX='-KPIC'
+           ;;
+         *)
+           ;;
+       esac
+       ;;
+      vxworks*)
+       ;;
+      *)
+       lt_prog_compiler_can_build_shared_CXX=no
+       ;;
+    esac
+  fi
+
+case $host_os in
+  # For platforms which do not support PIC, -DPIC is meaningless:
+  *djgpp*)
+    lt_prog_compiler_pic_CXX=
+    ;;
+  *)
+    lt_prog_compiler_pic_CXX="$lt_prog_compiler_pic_CXX -DPIC"
+    ;;
+esac
+{ $as_echo "$as_me:$LINENO: result: $lt_prog_compiler_pic_CXX" >&5
+$as_echo "$lt_prog_compiler_pic_CXX" >&6; }
+
+
+
+#
+# Check to make sure the PIC flag actually works.
+#
+if test -n "$lt_prog_compiler_pic_CXX"; then
+  { $as_echo "$as_me:$LINENO: checking if $compiler PIC flag $lt_prog_compiler_pic_CXX works" >&5
+$as_echo_n "checking if $compiler PIC flag $lt_prog_compiler_pic_CXX works... " >&6; }
+if test "${lt_cv_prog_compiler_pic_works_CXX+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  lt_cv_prog_compiler_pic_works_CXX=no
+   ac_outfile=conftest.$ac_objext
+   echo "$lt_simple_compile_test_code" > conftest.$ac_ext
+   lt_compiler_flag="$lt_prog_compiler_pic_CXX -DPIC"
+   # Insert the option either (1) after the last *FLAGS variable, or
+   # (2) before a word containing "conftest.", or (3) at the end.
+   # Note that $ac_compile itself does not contain backslashes and begins
+   # with a dollar sign (not a hyphen), so the echo should work correctly.
+   # The option is referenced via a variable to avoid confusing sed.
+   lt_compile=`echo "$ac_compile" | $SED \
+   -e 's:.*FLAGS}\{0,1\} :&$lt_compiler_flag :; t' \
+   -e 's: [^ ]*conftest\.: $lt_compiler_flag&:; t' \
+   -e 's:$: $lt_compiler_flag:'`
+   (eval echo "\"\$as_me:16207: $lt_compile\"" >&5)
+   (eval "$lt_compile" 2>conftest.err)
+   ac_status=$?
+   cat conftest.err >&5
+   echo "$as_me:16211: \$? = $ac_status" >&5
+   if (exit $ac_status) && test -s "$ac_outfile"; then
+     # The compiler can only warn and ignore the option if not recognized
+     # So say no if there are warnings other than the usual output.
+     $ECHO "X$_lt_compiler_boilerplate" | $Xsed -e '/^$/d' >conftest.exp
+     $SED '/^$/d; /^ *+/d' conftest.err >conftest.er2
+     if test ! -s conftest.er2 || diff conftest.exp conftest.er2 >/dev/null; then
+       lt_cv_prog_compiler_pic_works_CXX=yes
+     fi
+   fi
+   $RM conftest*
+
+fi
+{ $as_echo "$as_me:$LINENO: result: $lt_cv_prog_compiler_pic_works_CXX" >&5
+$as_echo "$lt_cv_prog_compiler_pic_works_CXX" >&6; }
+
+if test x"$lt_cv_prog_compiler_pic_works_CXX" = xyes; then
+    case $lt_prog_compiler_pic_CXX in
+     "" | " "*) ;;
+     *) lt_prog_compiler_pic_CXX=" $lt_prog_compiler_pic_CXX" ;;
+     esac
+else
+    lt_prog_compiler_pic_CXX=
+     lt_prog_compiler_can_build_shared_CXX=no
+fi
+
+fi
+
+
+
+#
+# Check to make sure the static flag actually works.
+#
+wl=$lt_prog_compiler_wl_CXX eval lt_tmp_static_flag=\"$lt_prog_compiler_static_CXX\"
+{ $as_echo "$as_me:$LINENO: checking if $compiler static flag $lt_tmp_static_flag works" >&5
+$as_echo_n "checking if $compiler static flag $lt_tmp_static_flag works... " >&6; }
+if test "${lt_cv_prog_compiler_static_works_CXX+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  lt_cv_prog_compiler_static_works_CXX=no
+   save_LDFLAGS="$LDFLAGS"
+   LDFLAGS="$LDFLAGS $lt_tmp_static_flag"
+   echo "$lt_simple_link_test_code" > conftest.$ac_ext
+   if (eval $ac_link 2>conftest.err) && test -s conftest$ac_exeext; then
+     # The linker can only warn and ignore the option if not recognized
+     # So say no if there are warnings
+     if test -s conftest.err; then
+       # Append any errors to the config.log.
+       cat conftest.err 1>&5
+       $ECHO "X$_lt_linker_boilerplate" | $Xsed -e '/^$/d' > conftest.exp
+       $SED '/^$/d; /^ *+/d' conftest.err >conftest.er2
+       if diff conftest.exp conftest.er2 >/dev/null; then
+         lt_cv_prog_compiler_static_works_CXX=yes
+       fi
+     else
+       lt_cv_prog_compiler_static_works_CXX=yes
+     fi
+   fi
+   $RM -r conftest*
+   LDFLAGS="$save_LDFLAGS"
+
+fi
+{ $as_echo "$as_me:$LINENO: result: $lt_cv_prog_compiler_static_works_CXX" >&5
+$as_echo "$lt_cv_prog_compiler_static_works_CXX" >&6; }
+
+if test x"$lt_cv_prog_compiler_static_works_CXX" = xyes; then
+    :
+else
+    lt_prog_compiler_static_CXX=
+fi
+
+
+
+
+    { $as_echo "$as_me:$LINENO: checking if $compiler supports -c -o file.$ac_objext" >&5
+$as_echo_n "checking if $compiler supports -c -o file.$ac_objext... " >&6; }
+if test "${lt_cv_prog_compiler_c_o_CXX+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  lt_cv_prog_compiler_c_o_CXX=no
+   $RM -r conftest 2>/dev/null
+   mkdir conftest
+   cd conftest
+   mkdir out
+   echo "$lt_simple_compile_test_code" > conftest.$ac_ext
+
+   lt_compiler_flag="-o out/conftest2.$ac_objext"
+   # Insert the option either (1) after the last *FLAGS variable, or
+   # (2) before a word containing "conftest.", or (3) at the end.
+   # Note that $ac_compile itself does not contain backslashes and begins
+   # with a dollar sign (not a hyphen), so the echo should work correctly.
+   lt_compile=`echo "$ac_compile" | $SED \
+   -e 's:.*FLAGS}\{0,1\} :&$lt_compiler_flag :; t' \
+   -e 's: [^ ]*conftest\.: $lt_compiler_flag&:; t' \
+   -e 's:$: $lt_compiler_flag:'`
+   (eval echo "\"\$as_me:16306: $lt_compile\"" >&5)
+   (eval "$lt_compile" 2>out/conftest.err)
+   ac_status=$?
+   cat out/conftest.err >&5
+   echo "$as_me:16310: \$? = $ac_status" >&5
+   if (exit $ac_status) && test -s out/conftest2.$ac_objext
+   then
+     # The compiler can only warn and ignore the option if not recognized
+     # So say no if there are warnings
+     $ECHO "X$_lt_compiler_boilerplate" | $Xsed -e '/^$/d' > out/conftest.exp
+     $SED '/^$/d; /^ *+/d' out/conftest.err >out/conftest.er2
+     if test ! -s out/conftest.er2 || diff out/conftest.exp out/conftest.er2 >/dev/null; then
+       lt_cv_prog_compiler_c_o_CXX=yes
+     fi
+   fi
+   chmod u+w . 2>&5
+   $RM conftest*
+   # SGI C++ compiler will create directory out/ii_files/ for
+   # template instantiation
+   test -d out/ii_files && $RM out/ii_files/* && rmdir out/ii_files
+   $RM out/* && rmdir out
+   cd ..
+   $RM -r conftest
+   $RM conftest*
+
+fi
+{ $as_echo "$as_me:$LINENO: result: $lt_cv_prog_compiler_c_o_CXX" >&5
+$as_echo "$lt_cv_prog_compiler_c_o_CXX" >&6; }
+
+
+
+    { $as_echo "$as_me:$LINENO: checking if $compiler supports -c -o file.$ac_objext" >&5
+$as_echo_n "checking if $compiler supports -c -o file.$ac_objext... " >&6; }
+if test "${lt_cv_prog_compiler_c_o_CXX+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  lt_cv_prog_compiler_c_o_CXX=no
+   $RM -r conftest 2>/dev/null
+   mkdir conftest
+   cd conftest
+   mkdir out
+   echo "$lt_simple_compile_test_code" > conftest.$ac_ext
+
+   lt_compiler_flag="-o out/conftest2.$ac_objext"
+   # Insert the option either (1) after the last *FLAGS variable, or
+   # (2) before a word containing "conftest.", or (3) at the end.
+   # Note that $ac_compile itself does not contain backslashes and begins
+   # with a dollar sign (not a hyphen), so the echo should work correctly.
+   lt_compile=`echo "$ac_compile" | $SED \
+   -e 's:.*FLAGS}\{0,1\} :&$lt_compiler_flag :; t' \
+   -e 's: [^ ]*conftest\.: $lt_compiler_flag&:; t' \
+   -e 's:$: $lt_compiler_flag:'`
+   (eval echo "\"\$as_me:16358: $lt_compile\"" >&5)
+   (eval "$lt_compile" 2>out/conftest.err)
+   ac_status=$?
+   cat out/conftest.err >&5
+   echo "$as_me:16362: \$? = $ac_status" >&5
+   if (exit $ac_status) && test -s out/conftest2.$ac_objext
+   then
+     # The compiler can only warn and ignore the option if not recognized
+     # So say no if there are warnings
+     $ECHO "X$_lt_compiler_boilerplate" | $Xsed -e '/^$/d' > out/conftest.exp
+     $SED '/^$/d; /^ *+/d' out/conftest.err >out/conftest.er2
+     if test ! -s out/conftest.er2 || diff out/conftest.exp out/conftest.er2 >/dev/null; then
+       lt_cv_prog_compiler_c_o_CXX=yes
+     fi
+   fi
+   chmod u+w . 2>&5
+   $RM conftest*
+   # SGI C++ compiler will create directory out/ii_files/ for
+   # template instantiation
+   test -d out/ii_files && $RM out/ii_files/* && rmdir out/ii_files
+   $RM out/* && rmdir out
+   cd ..
+   $RM -r conftest
+   $RM conftest*
+
+fi
+{ $as_echo "$as_me:$LINENO: result: $lt_cv_prog_compiler_c_o_CXX" >&5
+$as_echo "$lt_cv_prog_compiler_c_o_CXX" >&6; }
+
+
+
+
+hard_links="nottested"
+if test "$lt_cv_prog_compiler_c_o_CXX" = no && test "$need_locks" != no; then
+  # do not overwrite the value of need_locks provided by the user
+  { $as_echo "$as_me:$LINENO: checking if we can lock with hard links" >&5
+$as_echo_n "checking if we can lock with hard links... " >&6; }
+  hard_links=yes
+  $RM conftest*
+  ln conftest.a conftest.b 2>/dev/null && hard_links=no
+  touch conftest.a
+  ln conftest.a conftest.b 2>&5 || hard_links=no
+  ln conftest.a conftest.b 2>/dev/null && hard_links=no
+  { $as_echo "$as_me:$LINENO: result: $hard_links" >&5
+$as_echo "$hard_links" >&6; }
+  if test "$hard_links" = no; then
+    { $as_echo "$as_me:$LINENO: WARNING: \`$CC' does not support \`-c -o', so \`make -j' may be unsafe" >&5
+$as_echo "$as_me: WARNING: \`$CC' does not support \`-c -o', so \`make -j' may be unsafe" >&2;}
+    need_locks=warn
+  fi
+else
+  need_locks=no
+fi
+
+
+
+    { $as_echo "$as_me:$LINENO: checking whether the $compiler linker ($LD) supports shared libraries" >&5
+$as_echo_n "checking whether the $compiler linker ($LD) supports shared libraries... " >&6; }
+
+  export_symbols_cmds_CXX='$NM $libobjs $convenience | $global_symbol_pipe | $SED '\''s/.* //'\'' | sort | uniq > $export_symbols'
+  case $host_os in
+  aix[4-9]*)
+    # If we're using GNU nm, then we don't want the "-C" option.
+    # -C means demangle to AIX nm, but means don't demangle with GNU nm
+    if $NM -V 2>&1 | $GREP 'GNU' > /dev/null; then
+      export_symbols_cmds_CXX='$NM -Bpg $libobjs $convenience | awk '\''{ if (((\$ 2 == "T") || (\$ 2 == "D") || (\$ 2 == "B")) && (substr(\$ 3,1,1) != ".")) { print \$ 3 } }'\'' | sort -u > $export_symbols'
+    else
+      export_symbols_cmds_CXX='$NM -BCpg $libobjs $convenience | awk '\''{ if (((\$ 2 == "T") || (\$ 2 == "D") || (\$ 2 == "B")) && (substr(\$ 3,1,1) != ".")) { print \$ 3 } }'\'' | sort -u > $export_symbols'
+    fi
+    ;;
+  pw32*)
+    export_symbols_cmds_CXX="$ltdll_cmds"
+  ;;
+  cygwin* | mingw* | cegcc*)
+    export_symbols_cmds_CXX='$NM $libobjs $convenience | $global_symbol_pipe | $SED -e '\''/^[BCDGRS][ ]/s/.*[ ]\([^ ]*\)/\1 DATA/;/^.*[ ]__nm__/s/^.*[ ]__nm__\([^ ]*\)[ ][^ ]*/\1 DATA/;/^I[ ]/d;/^[AITW][ ]/s/.* //'\'' | sort | uniq > $export_symbols'
+  ;;
+  linux* | k*bsd*-gnu)
+    link_all_deplibs_CXX=no
+  ;;
+  *)
+    export_symbols_cmds_CXX='$NM $libobjs $convenience | $global_symbol_pipe | $SED '\''s/.* //'\'' | sort | uniq > $export_symbols'
+  ;;
+  esac
+  exclude_expsyms_CXX='_GLOBAL_OFFSET_TABLE_|_GLOBAL__F[ID]_.*'
+
+{ $as_echo "$as_me:$LINENO: result: $ld_shlibs_CXX" >&5
+$as_echo "$ld_shlibs_CXX" >&6; }
+test "$ld_shlibs_CXX" = no && can_build_shared=no
+
+with_gnu_ld_CXX=$with_gnu_ld
+
+
+
+
+
+
+#
+# Do we need to explicitly link libc?
+#
+case "x$archive_cmds_need_lc_CXX" in
+x|xyes)
+  # Assume -lc should be added
+  archive_cmds_need_lc_CXX=yes
+
+  if test "$enable_shared" = yes && test "$GCC" = yes; then
+    case $archive_cmds_CXX in
+    *'~'*)
+      # FIXME: we may have to deal with multi-command sequences.
+      ;;
+    '$CC '*)
+      # Test whether the compiler implicitly links with -lc since on some
+      # systems, -lgcc has to come before -lc. If gcc already passes -lc
+      # to ld, don't add -lc before -lgcc.
+      { $as_echo "$as_me:$LINENO: checking whether -lc should be explicitly linked in" >&5
+$as_echo_n "checking whether -lc should be explicitly linked in... " >&6; }
+      $RM conftest*
+      echo "$lt_simple_compile_test_code" > conftest.$ac_ext
+
+      if { (eval echo "$as_me:$LINENO: \"$ac_compile\"") >&5
+  (eval $ac_compile) 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } 2>conftest.err; then
+        soname=conftest
+        lib=conftest
+        libobjs=conftest.$ac_objext
+        deplibs=
+        wl=$lt_prog_compiler_wl_CXX
+       pic_flag=$lt_prog_compiler_pic_CXX
+        compiler_flags=-v
+        linker_flags=-v
+        verstring=
+        output_objdir=.
+        libname=conftest
+        lt_save_allow_undefined_flag=$allow_undefined_flag_CXX
+        allow_undefined_flag_CXX=
+        if { (eval echo "$as_me:$LINENO: \"$archive_cmds_CXX 2\>\&1 \| $GREP \" -lc \" \>/dev/null 2\>\&1\"") >&5
+  (eval $archive_cmds_CXX 2\>\&1 \| $GREP \" -lc \" \>/dev/null 2\>\&1) 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }
+        then
+         archive_cmds_need_lc_CXX=no
+        else
+         archive_cmds_need_lc_CXX=yes
+        fi
+        allow_undefined_flag_CXX=$lt_save_allow_undefined_flag
+      else
+        cat conftest.err 1>&5
+      fi
+      $RM conftest*
+      { $as_echo "$as_me:$LINENO: result: $archive_cmds_need_lc_CXX" >&5
+$as_echo "$archive_cmds_need_lc_CXX" >&6; }
+      ;;
+    esac
+  fi
+  ;;
+esac
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+    { $as_echo "$as_me:$LINENO: checking dynamic linker characteristics" >&5
+$as_echo_n "checking dynamic linker characteristics... " >&6; }
+
+library_names_spec=
+libname_spec='lib$name'
+soname_spec=
+shrext_cmds=".so"
+postinstall_cmds=
+postuninstall_cmds=
+finish_cmds=
+finish_eval=
+shlibpath_var=
+shlibpath_overrides_runpath=unknown
+version_type=none
+dynamic_linker="$host_os ld.so"
+sys_lib_dlsearch_path_spec="/lib /usr/lib"
+need_lib_prefix=unknown
+hardcode_into_libs=no
+
+# when you set need_version to no, make sure it does not cause -set_version
+# flags to be left without arguments
+need_version=unknown
+
+case $host_os in
+aix3*)
+  version_type=linux
+  library_names_spec='${libname}${release}${shared_ext}$versuffix $libname.a'
+  shlibpath_var=LIBPATH
+
+  # AIX 3 has no versioning support, so we append a major version to the name.
+  soname_spec='${libname}${release}${shared_ext}$major'
+  ;;
+
+aix[4-9]*)
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  hardcode_into_libs=yes
+  if test "$host_cpu" = ia64; then
+    # AIX 5 supports IA64
+    library_names_spec='${libname}${release}${shared_ext}$major ${libname}${release}${shared_ext}$versuffix $libname${shared_ext}'
+    shlibpath_var=LD_LIBRARY_PATH
+  else
+    # With GCC up to 2.95.x, collect2 would create an import file
+    # for dependence libraries.  The import file would start with
+    # the line `#! .'.  This would cause the generated library to
+    # depend on `.', always an invalid library.  This was fixed in
+    # development snapshots of GCC prior to 3.0.
+    case $host_os in
+      aix4 | aix4.[01] | aix4.[01].*)
+      if { echo '#if __GNUC__ > 2 || (__GNUC__ == 2 && __GNUC_MINOR__ >= 97)'
+          echo ' yes '
+          echo '#endif'; } | ${CC} -E - | $GREP yes > /dev/null; then
+       :
+      else
+       can_build_shared=no
+      fi
+      ;;
+    esac
+    # AIX (on Power*) has no versioning support, so currently we can not hardcode correct
+    # soname into executable. Probably we can add versioning support to
+    # collect2, so additional links can be useful in future.
+    if test "$aix_use_runtimelinking" = yes; then
+      # If using run time linking (on AIX 4.2 or later) use lib<name>.so
+      # instead of lib<name>.a to let people know that these are not
+      # typical AIX shared libraries.
+      library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+    else
+      # We preserve .a as extension for shared libraries through AIX4.2
+      # and later when we are not doing run time linking.
+      library_names_spec='${libname}${release}.a $libname.a'
+      soname_spec='${libname}${release}${shared_ext}$major'
+    fi
+    shlibpath_var=LIBPATH
+  fi
+  ;;
+
+amigaos*)
+  case $host_cpu in
+  powerpc)
+    # Since July 2007 AmigaOS4 officially supports .so libraries.
+    # When compiling the executable, add -use-dynld -Lsobjs: to the compileline.
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+    ;;
+  m68k)
+    library_names_spec='$libname.ixlibrary $libname.a'
+    # Create ${libname}_ixlibrary.a entries in /sys/libs.
+    finish_eval='for lib in `ls $libdir/*.ixlibrary 2>/dev/null`; do libname=`$ECHO "X$lib" | $Xsed -e '\''s%^.*/\([^/]*\)\.ixlibrary$%\1%'\''`; test $RM /sys/libs/${libname}_ixlibrary.a; $show "cd /sys/libs && $LN_S $lib ${libname}_ixlibrary.a"; cd /sys/libs && $LN_S $lib ${libname}_ixlibrary.a || exit 1; done'
+    ;;
+  esac
+  ;;
+
+beos*)
+  library_names_spec='${libname}${shared_ext}'
+  dynamic_linker="$host_os ld.so"
+  shlibpath_var=LIBRARY_PATH
+  ;;
+
+bsdi[45]*)
+  version_type=linux
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  finish_cmds='PATH="\$PATH:/sbin" ldconfig $libdir'
+  shlibpath_var=LD_LIBRARY_PATH
+  sys_lib_search_path_spec="/shlib /usr/lib /usr/X11/lib /usr/contrib/lib /lib /usr/local/lib"
+  sys_lib_dlsearch_path_spec="/shlib /usr/lib /usr/local/lib"
+  # the default ld.so.conf also contains /usr/contrib/lib and
+  # /usr/X11R6/lib (/usr/X11 is a link to /usr/X11R6), but let us allow
+  # libtool to hard-code these into programs
+  ;;
+
+cygwin* | mingw* | pw32* | cegcc*)
+  version_type=windows
+  shrext_cmds=".dll"
+  need_version=no
+  need_lib_prefix=no
+
+  case $GCC,$host_os in
+  yes,cygwin* | yes,mingw* | yes,pw32* | yes,cegcc*)
+    library_names_spec='$libname.dll.a'
+    # DLL is installed to $(libdir)/../bin by postinstall_cmds
+    postinstall_cmds='base_file=`basename \${file}`~
+      dlpath=`$SHELL 2>&1 -c '\''. $dir/'\''\${base_file}'\''i; echo \$dlname'\''`~
+      dldir=$destdir/`dirname \$dlpath`~
+      test -d \$dldir || mkdir -p \$dldir~
+      $install_prog $dir/$dlname \$dldir/$dlname~
+      chmod a+x \$dldir/$dlname~
+      if test -n '\''$stripme'\'' && test -n '\''$striplib'\''; then
+        eval '\''$striplib \$dldir/$dlname'\'' || exit \$?;
+      fi'
+    postuninstall_cmds='dldll=`$SHELL 2>&1 -c '\''. $file; echo \$dlname'\''`~
+      dlpath=$dir/\$dldll~
+       $RM \$dlpath'
+    shlibpath_overrides_runpath=yes
+
+    case $host_os in
+    cygwin*)
+      # Cygwin DLLs use 'cyg' prefix rather than 'lib'
+      soname_spec='`echo ${libname} | sed -e 's/^lib/cyg/'``echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
+      sys_lib_search_path_spec="/usr/lib /lib/w32api /lib /usr/local/lib"
+      ;;
+    mingw* | cegcc*)
+      # MinGW DLLs use traditional 'lib' prefix
+      soname_spec='${libname}`echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
+      sys_lib_search_path_spec=`$CC -print-search-dirs | $GREP "^libraries:" | $SED -e "s/^libraries://" -e "s,=/,/,g"`
+      if $ECHO "$sys_lib_search_path_spec" | $GREP ';[c-zC-Z]:/' >/dev/null; then
+        # It is most probably a Windows format PATH printed by
+        # mingw gcc, but we are running on Cygwin. Gcc prints its search
+        # path with ; separators, and with drive letters. We can handle the
+        # drive letters (cygwin fileutils understands them), so leave them,
+        # especially as we might pass files found there to a mingw objdump,
+        # which wouldn't understand a cygwinified path. Ahh.
+        sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | $SED -e 's/;/ /g'`
+      else
+        sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | $SED  -e "s/$PATH_SEPARATOR/ /g"`
+      fi
+      ;;
+    pw32*)
+      # pw32 DLLs use 'pw' prefix rather than 'lib'
+      library_names_spec='`echo ${libname} | sed -e 's/^lib/pw/'``echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
+      ;;
+    esac
+    ;;
+
+  *)
+    library_names_spec='${libname}`echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext} $libname.lib'
+    ;;
+  esac
+  dynamic_linker='Win32 ld.exe'
+  # FIXME: first we should search . and the directory the executable is in
+  shlibpath_var=PATH
+  ;;
+
+darwin* | rhapsody*)
+  dynamic_linker="$host_os dyld"
+  version_type=darwin
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${major}$shared_ext ${libname}$shared_ext'
+  soname_spec='${libname}${release}${major}$shared_ext'
+  shlibpath_overrides_runpath=yes
+  shlibpath_var=DYLD_LIBRARY_PATH
+  shrext_cmds='`test .$module = .yes && echo .so || echo .dylib`'
+
+  sys_lib_dlsearch_path_spec='/usr/local/lib /lib /usr/lib'
+  ;;
+
+dgux*)
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname$shared_ext'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  ;;
+
+freebsd1*)
+  dynamic_linker=no
+  ;;
+
+freebsd* | dragonfly*)
+  # DragonFly does not have aout.  When/if they implement a new
+  # versioning mechanism, adjust this.
+  if test -x /usr/bin/objformat; then
+    objformat=`/usr/bin/objformat`
+  else
+    case $host_os in
+    freebsd[123]*) objformat=aout ;;
+    *) objformat=elf ;;
+    esac
+  fi
+  version_type=freebsd-$objformat
+  case $version_type in
+    freebsd-elf*)
+      library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext} $libname${shared_ext}'
+      need_version=no
+      need_lib_prefix=no
+      ;;
+    freebsd-*)
+      library_names_spec='${libname}${release}${shared_ext}$versuffix $libname${shared_ext}$versuffix'
+      need_version=yes
+      ;;
+  esac
+  shlibpath_var=LD_LIBRARY_PATH
+  case $host_os in
+  freebsd2*)
+    shlibpath_overrides_runpath=yes
+    ;;
+  freebsd3.[01]* | freebsdelf3.[01]*)
+    shlibpath_overrides_runpath=yes
+    hardcode_into_libs=yes
+    ;;
+  freebsd3.[2-9]* | freebsdelf3.[2-9]* | \
+  freebsd4.[0-5] | freebsdelf4.[0-5] | freebsd4.1.1 | freebsdelf4.1.1)
+    shlibpath_overrides_runpath=no
+    hardcode_into_libs=yes
+    ;;
+  *) # from 4.6 on, and DragonFly
+    shlibpath_overrides_runpath=yes
+    hardcode_into_libs=yes
+    ;;
+  esac
+  ;;
+
+gnu*)
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}${major} ${libname}${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  hardcode_into_libs=yes
+  ;;
+
+hpux9* | hpux10* | hpux11*)
+  # Give a soname corresponding to the major version so that dld.sl refuses to
+  # link against other versions.
+  version_type=sunos
+  need_lib_prefix=no
+  need_version=no
+  case $host_cpu in
+  ia64*)
+    shrext_cmds='.so'
+    hardcode_into_libs=yes
+    dynamic_linker="$host_os dld.so"
+    shlibpath_var=LD_LIBRARY_PATH
+    shlibpath_overrides_runpath=yes # Unless +noenvvar is specified.
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+    soname_spec='${libname}${release}${shared_ext}$major'
+    if test "X$HPUX_IA64_MODE" = X32; then
+      sys_lib_search_path_spec="/usr/lib/hpux32 /usr/local/lib/hpux32 /usr/local/lib"
+    else
+      sys_lib_search_path_spec="/usr/lib/hpux64 /usr/local/lib/hpux64"
+    fi
+    sys_lib_dlsearch_path_spec=$sys_lib_search_path_spec
+    ;;
+  hppa*64*)
+    shrext_cmds='.sl'
+    hardcode_into_libs=yes
+    dynamic_linker="$host_os dld.sl"
+    shlibpath_var=LD_LIBRARY_PATH # How should we handle SHLIB_PATH
+    shlibpath_overrides_runpath=yes # Unless +noenvvar is specified.
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+    soname_spec='${libname}${release}${shared_ext}$major'
+    sys_lib_search_path_spec="/usr/lib/pa20_64 /usr/ccs/lib/pa20_64"
+    sys_lib_dlsearch_path_spec=$sys_lib_search_path_spec
+    ;;
+  *)
+    shrext_cmds='.sl'
+    dynamic_linker="$host_os dld.sl"
+    shlibpath_var=SHLIB_PATH
+    shlibpath_overrides_runpath=no # +s is required to enable SHLIB_PATH
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+    soname_spec='${libname}${release}${shared_ext}$major'
+    ;;
+  esac
+  # HP-UX runs *really* slowly unless shared libraries are mode 555.
+  postinstall_cmds='chmod 555 $lib'
+  ;;
+
+interix[3-9]*)
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major ${libname}${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  dynamic_linker='Interix 3.x ld.so.1 (PE, like ELF)'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=no
+  hardcode_into_libs=yes
+  ;;
+
+irix5* | irix6* | nonstopux*)
+  case $host_os in
+    nonstopux*) version_type=nonstopux ;;
+    *)
+       if test "$lt_cv_prog_gnu_ld" = yes; then
+               version_type=linux
+       else
+               version_type=irix
+       fi ;;
+  esac
+  need_lib_prefix=no
+  need_version=no
+  soname_spec='${libname}${release}${shared_ext}$major'
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major ${libname}${release}${shared_ext} $libname${shared_ext}'
+  case $host_os in
+  irix5* | nonstopux*)
+    libsuff= shlibsuff=
+    ;;
+  *)
+    case $LD in # libtool.m4 will add one of these switches to LD
+    *-32|*"-32 "|*-melf32bsmip|*"-melf32bsmip ")
+      libsuff= shlibsuff= libmagic=32-bit;;
+    *-n32|*"-n32 "|*-melf32bmipn32|*"-melf32bmipn32 ")
+      libsuff=32 shlibsuff=N32 libmagic=N32;;
+    *-64|*"-64 "|*-melf64bmip|*"-melf64bmip ")
+      libsuff=64 shlibsuff=64 libmagic=64-bit;;
+    *) libsuff= shlibsuff= libmagic=never-match;;
+    esac
+    ;;
+  esac
+  shlibpath_var=LD_LIBRARY${shlibsuff}_PATH
+  shlibpath_overrides_runpath=no
+  sys_lib_search_path_spec="/usr/lib${libsuff} /lib${libsuff} /usr/local/lib${libsuff}"
+  sys_lib_dlsearch_path_spec="/usr/lib${libsuff} /lib${libsuff}"
+  hardcode_into_libs=yes
+  ;;
+
+# No shared lib support for Linux oldld, aout, or coff.
+linux*oldld* | linux*aout* | linux*coff*)
+  dynamic_linker=no
+  ;;
+
+# This must be Linux ELF.
+linux* | k*bsd*-gnu)
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  finish_cmds='PATH="\$PATH:/sbin" ldconfig -n $libdir'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=no
+  # Some binutils ld are patched to set DT_RUNPATH
+  save_LDFLAGS=$LDFLAGS
+  save_libdir=$libdir
+  eval "libdir=/foo; wl=\"$lt_prog_compiler_wl_CXX\"; \
+       LDFLAGS=\"\$LDFLAGS $hardcode_libdir_flag_spec_CXX\""
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_cxx_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  if  ($OBJDUMP -p conftest$ac_exeext) 2>/dev/null | grep "RUNPATH.*$libdir" >/dev/null; then
+  shlibpath_overrides_runpath=yes
+fi
+
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+  LDFLAGS=$save_LDFLAGS
+  libdir=$save_libdir
+
+  # This implies no fast_install, which is unacceptable.
+  # Some rework will be needed to allow for fast_install
+  # before this can be enabled.
+  hardcode_into_libs=yes
+
+  # Append ld.so.conf contents to the search path
+  if test -f /etc/ld.so.conf; then
+    lt_ld_extra=`awk '/^include / { system(sprintf("cd /etc; cat %s 2>/dev/null", \$2)); skip = 1; } { if (!skip) print \$0; skip = 0; }' < /etc/ld.so.conf | $SED -e 's/#.*//;/^[      ]*hwcap[        ]/d;s/[:,      ]/ /g;s/=[^=]*$//;s/=[^= ]* / /g;/^$/d' | tr '\n' ' '`
+    sys_lib_dlsearch_path_spec="/lib /usr/lib $lt_ld_extra"
+  fi
+
+  # We used to test for /lib/ld.so.1 and disable shared libraries on
+  # powerpc, because MkLinux only supported shared libraries with the
+  # GNU dynamic linker.  Since this was broken with cross compilers,
+  # most powerpc-linux boxes support dynamic linking these days and
+  # people can always --disable-shared, the test was removed, and we
+  # assume the GNU/Linux dynamic linker is in use.
+  dynamic_linker='GNU/Linux ld.so'
+  ;;
+
+netbsdelf*-gnu)
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major ${libname}${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=no
+  hardcode_into_libs=yes
+  dynamic_linker='NetBSD ld.elf_so'
+  ;;
+
+netbsd*)
+  version_type=sunos
+  need_lib_prefix=no
+  need_version=no
+  if echo __ELF__ | $CC -E - | $GREP __ELF__ >/dev/null; then
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${shared_ext}$versuffix'
+    finish_cmds='PATH="\$PATH:/sbin" ldconfig -m $libdir'
+    dynamic_linker='NetBSD (a.out) ld.so'
+  else
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major ${libname}${shared_ext}'
+    soname_spec='${libname}${release}${shared_ext}$major'
+    dynamic_linker='NetBSD ld.elf_so'
+  fi
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=yes
+  hardcode_into_libs=yes
+  ;;
+
+newsos6)
+  version_type=linux
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=yes
+  ;;
+
+*nto* | *qnx*)
+  version_type=qnx
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=no
+  hardcode_into_libs=yes
+  dynamic_linker='ldqnx.so'
+  ;;
+
+openbsd*)
+  version_type=sunos
+  sys_lib_dlsearch_path_spec="/usr/lib"
+  need_lib_prefix=no
+  # Some older versions of OpenBSD (3.3 at least) *do* need versioned libs.
+  case $host_os in
+    openbsd3.3 | openbsd3.3.*) need_version=yes ;;
+    *)                         need_version=no  ;;
+  esac
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${shared_ext}$versuffix'
+  finish_cmds='PATH="\$PATH:/sbin" ldconfig -m $libdir'
+  shlibpath_var=LD_LIBRARY_PATH
+  if test -z "`echo __ELF__ | $CC -E - | $GREP __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
+    case $host_os in
+      openbsd2.[89] | openbsd2.[89].*)
+       shlibpath_overrides_runpath=no
+       ;;
+      *)
+       shlibpath_overrides_runpath=yes
+       ;;
+      esac
+  else
+    shlibpath_overrides_runpath=yes
+  fi
+  ;;
+
+os2*)
+  libname_spec='$name'
+  shrext_cmds=".dll"
+  need_lib_prefix=no
+  library_names_spec='$libname${shared_ext} $libname.a'
+  dynamic_linker='OS/2 ld.exe'
+  shlibpath_var=LIBPATH
+  ;;
+
+osf3* | osf4* | osf5*)
+  version_type=osf
+  need_lib_prefix=no
+  need_version=no
+  soname_spec='${libname}${release}${shared_ext}$major'
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  shlibpath_var=LD_LIBRARY_PATH
+  sys_lib_search_path_spec="/usr/shlib /usr/ccs/lib /usr/lib/cmplrs/cc /usr/lib /usr/local/lib /var/shlib"
+  sys_lib_dlsearch_path_spec="$sys_lib_search_path_spec"
+  ;;
+
+rdos*)
+  dynamic_linker=no
+  ;;
+
+solaris*)
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=yes
+  hardcode_into_libs=yes
+  # ldd complains unless libraries are executable
+  postinstall_cmds='chmod +x $lib'
+  ;;
+
+sunos4*)
+  version_type=sunos
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${shared_ext}$versuffix'
+  finish_cmds='PATH="\$PATH:/usr/etc" ldconfig $libdir'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=yes
+  if test "$with_gnu_ld" = yes; then
+    need_lib_prefix=no
+  fi
+  need_version=yes
+  ;;
+
+sysv4 | sysv4.3*)
+  version_type=linux
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  case $host_vendor in
+    sni)
+      shlibpath_overrides_runpath=no
+      need_lib_prefix=no
+      runpath_var=LD_RUN_PATH
+      ;;
+    siemens)
+      need_lib_prefix=no
+      ;;
+    motorola)
+      need_lib_prefix=no
+      need_version=no
+      shlibpath_overrides_runpath=no
+      sys_lib_search_path_spec='/lib /usr/lib /usr/ccs/lib'
+      ;;
+  esac
+  ;;
+
+sysv4*MP*)
+  if test -d /usr/nec ;then
+    version_type=linux
+    library_names_spec='$libname${shared_ext}.$versuffix $libname${shared_ext}.$major $libname${shared_ext}'
+    soname_spec='$libname${shared_ext}.$major'
+    shlibpath_var=LD_LIBRARY_PATH
+  fi
+  ;;
+
+sysv5* | sco3.2v5* | sco5v6* | unixware* | OpenUNIX* | sysv4*uw2*)
+  version_type=freebsd-elf
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext} $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=yes
+  hardcode_into_libs=yes
+  if test "$with_gnu_ld" = yes; then
+    sys_lib_search_path_spec='/usr/local/lib /usr/gnu/lib /usr/ccs/lib /usr/lib /lib'
+  else
+    sys_lib_search_path_spec='/usr/ccs/lib /usr/lib'
+    case $host_os in
+      sco3.2v5*)
+        sys_lib_search_path_spec="$sys_lib_search_path_spec /lib"
+       ;;
+    esac
+  fi
+  sys_lib_dlsearch_path_spec='/usr/lib'
+  ;;
+
+tpf*)
+  # TPF is a cross-target only.  Preferred cross-host = GNU/Linux.
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=no
+  hardcode_into_libs=yes
+  ;;
+
+uts4*)
+  version_type=linux
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  ;;
+
+*)
+  dynamic_linker=no
+  ;;
+esac
+{ $as_echo "$as_me:$LINENO: result: $dynamic_linker" >&5
+$as_echo "$dynamic_linker" >&6; }
+test "$dynamic_linker" = no && can_build_shared=no
+
+variables_saved_for_relink="PATH $shlibpath_var $runpath_var"
+if test "$GCC" = yes; then
+  variables_saved_for_relink="$variables_saved_for_relink GCC_EXEC_PREFIX COMPILER_PATH LIBRARY_PATH"
+fi
+
+if test "${lt_cv_sys_lib_search_path_spec+set}" = set; then
+  sys_lib_search_path_spec="$lt_cv_sys_lib_search_path_spec"
+fi
+if test "${lt_cv_sys_lib_dlsearch_path_spec+set}" = set; then
+  sys_lib_dlsearch_path_spec="$lt_cv_sys_lib_dlsearch_path_spec"
+fi
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+    { $as_echo "$as_me:$LINENO: checking how to hardcode library paths into programs" >&5
+$as_echo_n "checking how to hardcode library paths into programs... " >&6; }
+hardcode_action_CXX=
+if test -n "$hardcode_libdir_flag_spec_CXX" ||
+   test -n "$runpath_var_CXX" ||
+   test "X$hardcode_automatic_CXX" = "Xyes" ; then
+
+  # We can hardcode non-existent directories.
+  if test "$hardcode_direct_CXX" != no &&
+     # If the only mechanism to avoid hardcoding is shlibpath_var, we
+     # have to relink, otherwise we might link with an installed library
+     # when we should be linking with a yet-to-be-installed one
+     ## test "$_LT_TAGVAR(hardcode_shlibpath_var, CXX)" != no &&
+     test "$hardcode_minus_L_CXX" != no; then
+    # Linking always hardcodes the temporary library directory.
+    hardcode_action_CXX=relink
+  else
+    # We can link without hardcoding, and we can hardcode nonexisting dirs.
+    hardcode_action_CXX=immediate
+  fi
+else
+  # We cannot hardcode anything, or else we can only hardcode existing
+  # directories.
+  hardcode_action_CXX=unsupported
+fi
+{ $as_echo "$as_me:$LINENO: result: $hardcode_action_CXX" >&5
+$as_echo "$hardcode_action_CXX" >&6; }
+
+if test "$hardcode_action_CXX" = relink ||
+   test "$inherit_rpath_CXX" = yes; then
+  # Fast installation is not supported
+  enable_fast_install=no
+elif test "$shlibpath_overrides_runpath" = yes ||
+     test "$enable_shared" = no; then
+  # Fast installation is not necessary
+  enable_fast_install=needless
+fi
+
+
+
+
+
+
+
+  fi # test -n "$compiler"
+
+  CC=$lt_save_CC
+  LDCXX=$LD
+  LD=$lt_save_LD
+  GCC=$lt_save_GCC
+  with_gnu_ld=$lt_save_with_gnu_ld
+  lt_cv_path_LDCXX=$lt_cv_path_LD
+  lt_cv_path_LD=$lt_save_path_LD
+  lt_cv_prog_gnu_ldcxx=$lt_cv_prog_gnu_ld
+  lt_cv_prog_gnu_ld=$lt_save_with_gnu_ld
+fi # test "$_lt_caught_CXX_error" != yes
+
+ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+
+
+
+
+
+
+
+
+
+
+
+
+        ac_config_commands="$ac_config_commands libtool"
+
+
+
+
+# Only expand once:
+
+
+
+
+ac_ext=f
+ac_compile='$F77 -c $FFLAGS conftest.$ac_ext >&5'
+ac_link='$F77 -o conftest$ac_exeext $FFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_f77_compiler_gnu
+if test -n "$ac_tool_prefix"; then
+  for ac_prog in g77 xlf f77 frt pgf77 cf77 fort77 fl32 af77 xlf90 f90 pgf90 pghpf epcf90 gfortran g95 xlf95 f95 fort ifort ifc efc pgf95 lf95 ftn
+  do
+    # Extract the first word of "$ac_tool_prefix$ac_prog", so it can be a program name with args.
+set dummy $ac_tool_prefix$ac_prog; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_F77+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$F77"; then
+  ac_cv_prog_F77="$F77" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_F77="$ac_tool_prefix$ac_prog"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+F77=$ac_cv_prog_F77
+if test -n "$F77"; then
+  { $as_echo "$as_me:$LINENO: result: $F77" >&5
+$as_echo "$F77" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+    test -n "$F77" && break
+  done
+fi
+if test -z "$F77"; then
+  ac_ct_F77=$F77
+  for ac_prog in g77 xlf f77 frt pgf77 cf77 fort77 fl32 af77 xlf90 f90 pgf90 pghpf epcf90 gfortran g95 xlf95 f95 fort ifort ifc efc pgf95 lf95 ftn
+do
+  # Extract the first word of "$ac_prog", so it can be a program name with args.
+set dummy $ac_prog; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_ac_ct_F77+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_F77"; then
+  ac_cv_prog_ac_ct_F77="$ac_ct_F77" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_ac_ct_F77="$ac_prog"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_F77=$ac_cv_prog_ac_ct_F77
+if test -n "$ac_ct_F77"; then
+  { $as_echo "$as_me:$LINENO: result: $ac_ct_F77" >&5
+$as_echo "$ac_ct_F77" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+  test -n "$ac_ct_F77" && break
+done
+
+  if test "x$ac_ct_F77" = x; then
+    F77=""
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:$LINENO: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    F77=$ac_ct_F77
+  fi
+fi
+
+
+# Provide some information about the compiler.
+$as_echo "$as_me:$LINENO: checking for Fortran 77 compiler version" >&5
+set X $ac_compile
+ac_compiler=$2
+{ (ac_try="$ac_compiler --version >&5"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compiler --version >&5") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }
+{ (ac_try="$ac_compiler -v >&5"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compiler -v >&5") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }
+{ (ac_try="$ac_compiler -V >&5"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compiler -V >&5") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }
+rm -f a.out
+
+# If we don't use `.F' as extension, the preprocessor is not run on the
+# input file.  (Note that this only needs to work for GNU compilers.)
+ac_save_ext=$ac_ext
+ac_ext=F
+{ $as_echo "$as_me:$LINENO: checking whether we are using the GNU Fortran 77 compiler" >&5
+$as_echo_n "checking whether we are using the GNU Fortran 77 compiler... " >&6; }
+if test "${ac_cv_f77_compiler_gnu+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.$ac_ext <<_ACEOF
+      program main
+#ifndef __GNUC__
+       choke me
+#endif
+
+      end
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_f77_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_compiler_gnu=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_compiler_gnu=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+ac_cv_f77_compiler_gnu=$ac_compiler_gnu
+
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_f77_compiler_gnu" >&5
+$as_echo "$ac_cv_f77_compiler_gnu" >&6; }
+ac_ext=$ac_save_ext
+ac_test_FFLAGS=${FFLAGS+set}
+ac_save_FFLAGS=$FFLAGS
+FFLAGS=
+{ $as_echo "$as_me:$LINENO: checking whether $F77 accepts -g" >&5
+$as_echo_n "checking whether $F77 accepts -g... " >&6; }
+if test "${ac_cv_prog_f77_g+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  FFLAGS=-g
+cat >conftest.$ac_ext <<_ACEOF
+      program main
+
+      end
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_f77_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_cv_prog_f77_g=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_prog_f77_g=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_prog_f77_g" >&5
+$as_echo "$ac_cv_prog_f77_g" >&6; }
+if test "$ac_test_FFLAGS" = set; then
+  FFLAGS=$ac_save_FFLAGS
+elif test $ac_cv_prog_f77_g = yes; then
+  if test "x$ac_cv_f77_compiler_gnu" = xyes; then
+    FFLAGS="-g -O2"
+  else
+    FFLAGS="-g"
+  fi
+else
+  if test "x$ac_cv_f77_compiler_gnu" = xyes; then
+    FFLAGS="-O2"
+  else
+    FFLAGS=
+  fi
+fi
+
+if test $ac_compiler_gnu = yes; then
+  G77=yes
+else
+  G77=
+fi
+ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+if test -z "$F77" || test "X$F77" = "Xno"; then
+  _lt_disable_F77=yes
+fi
+
+
+
+
+            # Check whether --enable-fortran was given.
+if test "${enable_fortran+set}" = set; then
+  enableval=$enable_fortran;
+else
+  enable_fortran=no
+fi
+
+     if test "x$enable_fortran" = xyes; then
+  ENABLE_FORTRAN_TRUE=
+  ENABLE_FORTRAN_FALSE='#'
+else
+  ENABLE_FORTRAN_TRUE='#'
+  ENABLE_FORTRAN_FALSE=
+fi
+
+
+    if test "x$enable_fortran" = xyes
+    then
+        ac_ext=f
+ac_compile='$F77 -c $FFLAGS conftest.$ac_ext >&5'
+ac_link='$F77 -o conftest$ac_exeext $FFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_f77_compiler_gnu
+if test -n "$ac_tool_prefix"; then
+  for ac_prog in g77 xlf f77 frt pgf77 cf77 fort77 fl32 af77 xlf90 f90 pgf90 pghpf epcf90 gfortran g95 xlf95 f95 fort ifort ifc efc pgf95 lf95 ftn
+  do
+    # Extract the first word of "$ac_tool_prefix$ac_prog", so it can be a program name with args.
+set dummy $ac_tool_prefix$ac_prog; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_F77+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$F77"; then
+  ac_cv_prog_F77="$F77" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_F77="$ac_tool_prefix$ac_prog"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+F77=$ac_cv_prog_F77
+if test -n "$F77"; then
+  { $as_echo "$as_me:$LINENO: result: $F77" >&5
+$as_echo "$F77" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+    test -n "$F77" && break
+  done
+fi
+if test -z "$F77"; then
+  ac_ct_F77=$F77
+  for ac_prog in g77 xlf f77 frt pgf77 cf77 fort77 fl32 af77 xlf90 f90 pgf90 pghpf epcf90 gfortran g95 xlf95 f95 fort ifort ifc efc pgf95 lf95 ftn
+do
+  # Extract the first word of "$ac_prog", so it can be a program name with args.
+set dummy $ac_prog; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_ac_ct_F77+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_F77"; then
+  ac_cv_prog_ac_ct_F77="$ac_ct_F77" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_ac_ct_F77="$ac_prog"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_F77=$ac_cv_prog_ac_ct_F77
+if test -n "$ac_ct_F77"; then
+  { $as_echo "$as_me:$LINENO: result: $ac_ct_F77" >&5
+$as_echo "$ac_ct_F77" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+  test -n "$ac_ct_F77" && break
+done
+
+  if test "x$ac_ct_F77" = x; then
+    F77=""
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:$LINENO: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    F77=$ac_ct_F77
+  fi
+fi
+
+
+# Provide some information about the compiler.
+$as_echo "$as_me:$LINENO: checking for Fortran 77 compiler version" >&5
+set X $ac_compile
+ac_compiler=$2
+{ (ac_try="$ac_compiler --version >&5"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compiler --version >&5") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }
+{ (ac_try="$ac_compiler -v >&5"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compiler -v >&5") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }
+{ (ac_try="$ac_compiler -V >&5"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compiler -V >&5") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }
+rm -f a.out
+
+# If we don't use `.F' as extension, the preprocessor is not run on the
+# input file.  (Note that this only needs to work for GNU compilers.)
+ac_save_ext=$ac_ext
+ac_ext=F
+{ $as_echo "$as_me:$LINENO: checking whether we are using the GNU Fortran 77 compiler" >&5
+$as_echo_n "checking whether we are using the GNU Fortran 77 compiler... " >&6; }
+if test "${ac_cv_f77_compiler_gnu+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.$ac_ext <<_ACEOF
+      program main
+#ifndef __GNUC__
+       choke me
+#endif
+
+      end
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_f77_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_compiler_gnu=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_compiler_gnu=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+ac_cv_f77_compiler_gnu=$ac_compiler_gnu
+
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_f77_compiler_gnu" >&5
+$as_echo "$ac_cv_f77_compiler_gnu" >&6; }
+ac_ext=$ac_save_ext
+ac_test_FFLAGS=${FFLAGS+set}
+ac_save_FFLAGS=$FFLAGS
+FFLAGS=
+{ $as_echo "$as_me:$LINENO: checking whether $F77 accepts -g" >&5
+$as_echo_n "checking whether $F77 accepts -g... " >&6; }
+if test "${ac_cv_prog_f77_g+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  FFLAGS=-g
+cat >conftest.$ac_ext <<_ACEOF
+      program main
+
+      end
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_f77_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_cv_prog_f77_g=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_prog_f77_g=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_prog_f77_g" >&5
+$as_echo "$ac_cv_prog_f77_g" >&6; }
+if test "$ac_test_FFLAGS" = set; then
+  FFLAGS=$ac_save_FFLAGS
+elif test $ac_cv_prog_f77_g = yes; then
+  if test "x$ac_cv_f77_compiler_gnu" = xyes; then
+    FFLAGS="-g -O2"
+  else
+    FFLAGS="-g"
+  fi
+else
+  if test "x$ac_cv_f77_compiler_gnu" = xyes; then
+    FFLAGS="-O2"
+  else
+    FFLAGS=
+  fi
+fi
+
+if test $ac_compiler_gnu = yes; then
+  G77=yes
+else
+  G77=
+fi
+ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+      ac_ext=f
+ac_compile='$F77 -c $FFLAGS conftest.$ac_ext >&5'
+ac_link='$F77 -o conftest$ac_exeext $FFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_f77_compiler_gnu
+
+
+archive_cmds_need_lc_F77=no
+allow_undefined_flag_F77=
+always_export_symbols_F77=no
+archive_expsym_cmds_F77=
+export_dynamic_flag_spec_F77=
+hardcode_direct_F77=no
+hardcode_direct_absolute_F77=no
+hardcode_libdir_flag_spec_F77=
+hardcode_libdir_flag_spec_ld_F77=
+hardcode_libdir_separator_F77=
+hardcode_minus_L_F77=no
+hardcode_automatic_F77=no
+inherit_rpath_F77=no
+module_cmds_F77=
+module_expsym_cmds_F77=
+link_all_deplibs_F77=unknown
+old_archive_cmds_F77=$old_archive_cmds
+no_undefined_flag_F77=
+whole_archive_flag_spec_F77=
+enable_shared_with_static_runtimes_F77=no
+
+# Source file extension for f77 test sources.
+ac_ext=f
+
+# Object file extension for compiled f77 test sources.
+objext=o
+objext_F77=$objext
+
+# No sense in running all these tests if we already determined that
+# the F77 compiler isn't working.  Some variables (like enable_shared)
+# are currently assumed to apply to all compilers on this platform,
+# and will be corrupted by setting them based on a non-working compiler.
+if test "$_lt_disable_F77" != yes; then
+  # Code to be used in simple compile tests
+  lt_simple_compile_test_code="\
+      subroutine t
+      return
+      end
+"
+
+  # Code to be used in simple link tests
+  lt_simple_link_test_code="\
+      program t
+      end
+"
+
+  # ltmain only uses $CC for tagged configurations so make sure $CC is set.
+
+
+
+
+
+
+# If no C compiler was specified, use CC.
+LTCC=${LTCC-"$CC"}
+
+# If no C compiler flags were specified, use CFLAGS.
+LTCFLAGS=${LTCFLAGS-"$CFLAGS"}
+
+# Allow CC to be a program name with arguments.
+compiler=$CC
+
+
+  # save warnings/boilerplate of simple test code
+  ac_outfile=conftest.$ac_objext
+echo "$lt_simple_compile_test_code" >conftest.$ac_ext
+eval "$ac_compile" 2>&1 >/dev/null | $SED '/^$/d; /^ *+/d' >conftest.err
+_lt_compiler_boilerplate=`cat conftest.err`
+$RM conftest*
+
+  ac_outfile=conftest.$ac_objext
+echo "$lt_simple_link_test_code" >conftest.$ac_ext
+eval "$ac_link" 2>&1 >/dev/null | $SED '/^$/d; /^ *+/d' >conftest.err
+_lt_linker_boilerplate=`cat conftest.err`
+$RM -r conftest*
+
+
+  # Allow CC to be a program name with arguments.
+  lt_save_CC="$CC"
+  lt_save_GCC=$GCC
+  CC=${F77-"f77"}
+  compiler=$CC
+  compiler_F77=$CC
+  for cc_temp in $compiler""; do
+  case $cc_temp in
+    compile | *[\\/]compile | ccache | *[\\/]ccache ) ;;
+    distcc | *[\\/]distcc | purify | *[\\/]purify ) ;;
+    \-*) ;;
+    *) break;;
+  esac
+done
+cc_basename=`$ECHO "X$cc_temp" | $Xsed -e 's%.*/%%' -e "s%^$host_alias-%%"`
+
+  GCC=$G77
+  if test -n "$compiler"; then
+    { $as_echo "$as_me:$LINENO: checking if libtool supports shared libraries" >&5
+$as_echo_n "checking if libtool supports shared libraries... " >&6; }
+    { $as_echo "$as_me:$LINENO: result: $can_build_shared" >&5
+$as_echo "$can_build_shared" >&6; }
+
+    { $as_echo "$as_me:$LINENO: checking whether to build shared libraries" >&5
+$as_echo_n "checking whether to build shared libraries... " >&6; }
+    test "$can_build_shared" = "no" && enable_shared=no
+
+    # On AIX, shared libraries and static libraries use the same namespace, and
+    # are all built from PIC.
+    case $host_os in
+      aix3*)
+        test "$enable_shared" = yes && enable_static=no
+        if test -n "$RANLIB"; then
+          archive_cmds="$archive_cmds~\$RANLIB \$lib"
+          postinstall_cmds='$RANLIB $lib'
+        fi
+        ;;
+      aix[4-9]*)
+       if test "$host_cpu" != ia64 && test "$aix_use_runtimelinking" = no ; then
+         test "$enable_shared" = yes && enable_static=no
+       fi
+        ;;
+    esac
+    { $as_echo "$as_me:$LINENO: result: $enable_shared" >&5
+$as_echo "$enable_shared" >&6; }
+
+    { $as_echo "$as_me:$LINENO: checking whether to build static libraries" >&5
+$as_echo_n "checking whether to build static libraries... " >&6; }
+    # Make sure either enable_shared or enable_static is yes.
+    test "$enable_shared" = yes || enable_static=yes
+    { $as_echo "$as_me:$LINENO: result: $enable_static" >&5
+$as_echo "$enable_static" >&6; }
+
+    GCC_F77="$G77"
+    LD_F77="$LD"
+
+    ## CAVEAT EMPTOR:
+    ## There is no encapsulation within the following macros, do not change
+    ## the running order or otherwise move them around unless you know exactly
+    ## what you are doing...
+    lt_prog_compiler_wl_F77=
+lt_prog_compiler_pic_F77=
+lt_prog_compiler_static_F77=
+
+{ $as_echo "$as_me:$LINENO: checking for $compiler option to produce PIC" >&5
+$as_echo_n "checking for $compiler option to produce PIC... " >&6; }
+
+  if test "$GCC" = yes; then
+    lt_prog_compiler_wl_F77='-Wl,'
+    lt_prog_compiler_static_F77='-static'
+
+    case $host_os in
+      aix*)
+      # All AIX code is PIC.
+      if test "$host_cpu" = ia64; then
+       # AIX 5 now supports IA64 processor
+       lt_prog_compiler_static_F77='-Bstatic'
+      fi
+      ;;
+
+    amigaos*)
+      case $host_cpu in
+      powerpc)
+            # see comment about AmigaOS4 .so support
+            lt_prog_compiler_pic_F77='-fPIC'
+        ;;
+      m68k)
+            # FIXME: we need at least 68020 code to build shared libraries, but
+            # adding the `-m68020' flag to GCC prevents building anything better,
+            # like `-m68040'.
+            lt_prog_compiler_pic_F77='-m68020 -resident32 -malways-restore-a4'
+        ;;
+      esac
+      ;;
+
+    beos* | irix5* | irix6* | nonstopux* | osf3* | osf4* | osf5*)
+      # PIC is the default for these OSes.
+      ;;
+
+    mingw* | cygwin* | pw32* | os2* | cegcc*)
+      # This hack is so that the source file can tell whether it is being
+      # built for inclusion in a dll (and should export symbols for example).
+      # Although the cygwin gcc ignores -fPIC, still need this for old-style
+      # (--disable-auto-import) libraries
+      lt_prog_compiler_pic_F77='-DDLL_EXPORT'
+      ;;
+
+    darwin* | rhapsody*)
+      # PIC is the default on this platform
+      # Common symbols not allowed in MH_DYLIB files
+      lt_prog_compiler_pic_F77='-fno-common'
+      ;;
+
+    hpux*)
+      # PIC is the default for 64-bit PA HP-UX, but not for 32-bit
+      # PA HP-UX.  On IA64 HP-UX, PIC is the default but the pic flag
+      # sets the default TLS model and affects inlining.
+      case $host_cpu in
+      hppa*64*)
+       # +Z the default
+       ;;
+      *)
+       lt_prog_compiler_pic_F77='-fPIC'
+       ;;
+      esac
+      ;;
+
+    interix[3-9]*)
+      # Interix 3.x gcc -fpic/-fPIC options generate broken code.
+      # Instead, we relocate shared libraries at runtime.
+      ;;
+
+    msdosdjgpp*)
+      # Just because we use GCC doesn't mean we suddenly get shared libraries
+      # on systems that don't support them.
+      lt_prog_compiler_can_build_shared_F77=no
+      enable_shared=no
+      ;;
+
+    *nto* | *qnx*)
+      # QNX uses GNU C++, but need to define -shared option too, otherwise
+      # it will coredump.
+      lt_prog_compiler_pic_F77='-fPIC -shared'
+      ;;
+
+    sysv4*MP*)
+      if test -d /usr/nec; then
+       lt_prog_compiler_pic_F77=-Kconform_pic
+      fi
+      ;;
+
+    *)
+      lt_prog_compiler_pic_F77='-fPIC'
+      ;;
+    esac
+  else
+    # PORTME Check for flag to pass linker flags through the system compiler.
+    case $host_os in
+    aix*)
+      lt_prog_compiler_wl_F77='-Wl,'
+      if test "$host_cpu" = ia64; then
+       # AIX 5 now supports IA64 processor
+       lt_prog_compiler_static_F77='-Bstatic'
+      else
+       lt_prog_compiler_static_F77='-bnso -bI:/lib/syscalls.exp'
+      fi
+      ;;
+
+    mingw* | cygwin* | pw32* | os2* | cegcc*)
+      # This hack is so that the source file can tell whether it is being
+      # built for inclusion in a dll (and should export symbols for example).
+      lt_prog_compiler_pic_F77='-DDLL_EXPORT'
+      ;;
+
+    hpux9* | hpux10* | hpux11*)
+      lt_prog_compiler_wl_F77='-Wl,'
+      # PIC is the default for IA64 HP-UX and 64-bit HP-UX, but
+      # not for PA HP-UX.
+      case $host_cpu in
+      hppa*64*|ia64*)
+       # +Z the default
+       ;;
+      *)
+       lt_prog_compiler_pic_F77='+Z'
+       ;;
+      esac
+      # Is there a better lt_prog_compiler_static that works with the bundled CC?
+      lt_prog_compiler_static_F77='${wl}-a ${wl}archive'
+      ;;
+
+    irix5* | irix6* | nonstopux*)
+      lt_prog_compiler_wl_F77='-Wl,'
+      # PIC (with -KPIC) is the default.
+      lt_prog_compiler_static_F77='-non_shared'
+      ;;
+
+    linux* | k*bsd*-gnu)
+      case $cc_basename in
+      # old Intel for x86_64 which still supported -KPIC.
+      ecc*)
+       lt_prog_compiler_wl_F77='-Wl,'
+       lt_prog_compiler_pic_F77='-KPIC'
+       lt_prog_compiler_static_F77='-static'
+        ;;
+      # icc used to be incompatible with GCC.
+      # ICC 10 doesn't accept -KPIC any more.
+      icc* | ifort*)
+       lt_prog_compiler_wl_F77='-Wl,'
+       lt_prog_compiler_pic_F77='-fPIC'
+       lt_prog_compiler_static_F77='-static'
+        ;;
+      # Lahey Fortran 8.1.
+      lf95*)
+       lt_prog_compiler_wl_F77='-Wl,'
+       lt_prog_compiler_pic_F77='--shared'
+       lt_prog_compiler_static_F77='--static'
+       ;;
+      pgcc* | pgf77* | pgf90* | pgf95*)
+        # Portland Group compilers (*not* the Pentium gcc compiler,
+       # which looks to be a dead project)
+       lt_prog_compiler_wl_F77='-Wl,'
+       lt_prog_compiler_pic_F77='-fpic'
+       lt_prog_compiler_static_F77='-Bstatic'
+        ;;
+      ccc*)
+        lt_prog_compiler_wl_F77='-Wl,'
+        # All Alpha code is PIC.
+        lt_prog_compiler_static_F77='-non_shared'
+        ;;
+      xl*)
+       # IBM XL C 8.0/Fortran 10.1 on PPC
+       lt_prog_compiler_wl_F77='-Wl,'
+       lt_prog_compiler_pic_F77='-qpic'
+       lt_prog_compiler_static_F77='-qstaticlink'
+       ;;
+      *)
+       case `$CC -V 2>&1 | sed 5q` in
+       *Sun\ C*)
+         # Sun C 5.9
+         lt_prog_compiler_pic_F77='-KPIC'
+         lt_prog_compiler_static_F77='-Bstatic'
+         lt_prog_compiler_wl_F77='-Wl,'
+         ;;
+       *Sun\ F*)
+         # Sun Fortran 8.3 passes all unrecognized flags to the linker
+         lt_prog_compiler_pic_F77='-KPIC'
+         lt_prog_compiler_static_F77='-Bstatic'
+         lt_prog_compiler_wl_F77=''
+         ;;
+       esac
+       ;;
+      esac
+      ;;
+
+    newsos6)
+      lt_prog_compiler_pic_F77='-KPIC'
+      lt_prog_compiler_static_F77='-Bstatic'
+      ;;
+
+    *nto* | *qnx*)
+      # QNX uses GNU C++, but need to define -shared option too, otherwise
+      # it will coredump.
+      lt_prog_compiler_pic_F77='-fPIC -shared'
+      ;;
+
+    osf3* | osf4* | osf5*)
+      lt_prog_compiler_wl_F77='-Wl,'
+      # All OSF/1 code is PIC.
+      lt_prog_compiler_static_F77='-non_shared'
+      ;;
+
+    rdos*)
+      lt_prog_compiler_static_F77='-non_shared'
+      ;;
+
+    solaris*)
+      lt_prog_compiler_pic_F77='-KPIC'
+      lt_prog_compiler_static_F77='-Bstatic'
+      case $cc_basename in
+      f77* | f90* | f95*)
+       lt_prog_compiler_wl_F77='-Qoption ld ';;
+      *)
+       lt_prog_compiler_wl_F77='-Wl,';;
+      esac
+      ;;
+
+    sunos4*)
+      lt_prog_compiler_wl_F77='-Qoption ld '
+      lt_prog_compiler_pic_F77='-PIC'
+      lt_prog_compiler_static_F77='-Bstatic'
+      ;;
+
+    sysv4 | sysv4.2uw2* | sysv4.3*)
+      lt_prog_compiler_wl_F77='-Wl,'
+      lt_prog_compiler_pic_F77='-KPIC'
+      lt_prog_compiler_static_F77='-Bstatic'
+      ;;
+
+    sysv4*MP*)
+      if test -d /usr/nec ;then
+       lt_prog_compiler_pic_F77='-Kconform_pic'
+       lt_prog_compiler_static_F77='-Bstatic'
+      fi
+      ;;
+
+    sysv5* | unixware* | sco3.2v5* | sco5v6* | OpenUNIX*)
+      lt_prog_compiler_wl_F77='-Wl,'
+      lt_prog_compiler_pic_F77='-KPIC'
+      lt_prog_compiler_static_F77='-Bstatic'
+      ;;
+
+    unicos*)
+      lt_prog_compiler_wl_F77='-Wl,'
+      lt_prog_compiler_can_build_shared_F77=no
+      ;;
+
+    uts4*)
+      lt_prog_compiler_pic_F77='-pic'
+      lt_prog_compiler_static_F77='-Bstatic'
+      ;;
+
+    *)
+      lt_prog_compiler_can_build_shared_F77=no
+      ;;
+    esac
+  fi
+
+case $host_os in
+  # For platforms which do not support PIC, -DPIC is meaningless:
+  *djgpp*)
+    lt_prog_compiler_pic_F77=
+    ;;
+  *)
+    lt_prog_compiler_pic_F77="$lt_prog_compiler_pic_F77"
+    ;;
+esac
+{ $as_echo "$as_me:$LINENO: result: $lt_prog_compiler_pic_F77" >&5
+$as_echo "$lt_prog_compiler_pic_F77" >&6; }
+
+
+
+#
+# Check to make sure the PIC flag actually works.
+#
+if test -n "$lt_prog_compiler_pic_F77"; then
+  { $as_echo "$as_me:$LINENO: checking if $compiler PIC flag $lt_prog_compiler_pic_F77 works" >&5
+$as_echo_n "checking if $compiler PIC flag $lt_prog_compiler_pic_F77 works... " >&6; }
+if test "${lt_cv_prog_compiler_pic_works_F77+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  lt_cv_prog_compiler_pic_works_F77=no
+   ac_outfile=conftest.$ac_objext
+   echo "$lt_simple_compile_test_code" > conftest.$ac_ext
+   lt_compiler_flag="$lt_prog_compiler_pic_F77"
+   # Insert the option either (1) after the last *FLAGS variable, or
+   # (2) before a word containing "conftest.", or (3) at the end.
+   # Note that $ac_compile itself does not contain backslashes and begins
+   # with a dollar sign (not a hyphen), so the echo should work correctly.
+   # The option is referenced via a variable to avoid confusing sed.
+   lt_compile=`echo "$ac_compile" | $SED \
+   -e 's:.*FLAGS}\{0,1\} :&$lt_compiler_flag :; t' \
+   -e 's: [^ ]*conftest\.: $lt_compiler_flag&:; t' \
+   -e 's:$: $lt_compiler_flag:'`
+   (eval echo "\"\$as_me:18361: $lt_compile\"" >&5)
+   (eval "$lt_compile" 2>conftest.err)
+   ac_status=$?
+   cat conftest.err >&5
+   echo "$as_me:18365: \$? = $ac_status" >&5
+   if (exit $ac_status) && test -s "$ac_outfile"; then
+     # The compiler can only warn and ignore the option if not recognized
+     # So say no if there are warnings other than the usual output.
+     $ECHO "X$_lt_compiler_boilerplate" | $Xsed -e '/^$/d' >conftest.exp
+     $SED '/^$/d; /^ *+/d' conftest.err >conftest.er2
+     if test ! -s conftest.er2 || diff conftest.exp conftest.er2 >/dev/null; then
+       lt_cv_prog_compiler_pic_works_F77=yes
+     fi
+   fi
+   $RM conftest*
+
+fi
+{ $as_echo "$as_me:$LINENO: result: $lt_cv_prog_compiler_pic_works_F77" >&5
+$as_echo "$lt_cv_prog_compiler_pic_works_F77" >&6; }
+
+if test x"$lt_cv_prog_compiler_pic_works_F77" = xyes; then
+    case $lt_prog_compiler_pic_F77 in
+     "" | " "*) ;;
+     *) lt_prog_compiler_pic_F77=" $lt_prog_compiler_pic_F77" ;;
+     esac
+else
+    lt_prog_compiler_pic_F77=
+     lt_prog_compiler_can_build_shared_F77=no
+fi
+
+fi
+
+
+
+#
+# Check to make sure the static flag actually works.
+#
+wl=$lt_prog_compiler_wl_F77 eval lt_tmp_static_flag=\"$lt_prog_compiler_static_F77\"
+{ $as_echo "$as_me:$LINENO: checking if $compiler static flag $lt_tmp_static_flag works" >&5
+$as_echo_n "checking if $compiler static flag $lt_tmp_static_flag works... " >&6; }
+if test "${lt_cv_prog_compiler_static_works_F77+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  lt_cv_prog_compiler_static_works_F77=no
+   save_LDFLAGS="$LDFLAGS"
+   LDFLAGS="$LDFLAGS $lt_tmp_static_flag"
+   echo "$lt_simple_link_test_code" > conftest.$ac_ext
+   if (eval $ac_link 2>conftest.err) && test -s conftest$ac_exeext; then
+     # The linker can only warn and ignore the option if not recognized
+     # So say no if there are warnings
+     if test -s conftest.err; then
+       # Append any errors to the config.log.
+       cat conftest.err 1>&5
+       $ECHO "X$_lt_linker_boilerplate" | $Xsed -e '/^$/d' > conftest.exp
+       $SED '/^$/d; /^ *+/d' conftest.err >conftest.er2
+       if diff conftest.exp conftest.er2 >/dev/null; then
+         lt_cv_prog_compiler_static_works_F77=yes
+       fi
+     else
+       lt_cv_prog_compiler_static_works_F77=yes
+     fi
+   fi
+   $RM -r conftest*
+   LDFLAGS="$save_LDFLAGS"
+
+fi
+{ $as_echo "$as_me:$LINENO: result: $lt_cv_prog_compiler_static_works_F77" >&5
+$as_echo "$lt_cv_prog_compiler_static_works_F77" >&6; }
+
+if test x"$lt_cv_prog_compiler_static_works_F77" = xyes; then
+    :
+else
+    lt_prog_compiler_static_F77=
+fi
+
+
+
+
+    { $as_echo "$as_me:$LINENO: checking if $compiler supports -c -o file.$ac_objext" >&5
+$as_echo_n "checking if $compiler supports -c -o file.$ac_objext... " >&6; }
+if test "${lt_cv_prog_compiler_c_o_F77+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  lt_cv_prog_compiler_c_o_F77=no
+   $RM -r conftest 2>/dev/null
+   mkdir conftest
+   cd conftest
+   mkdir out
+   echo "$lt_simple_compile_test_code" > conftest.$ac_ext
+
+   lt_compiler_flag="-o out/conftest2.$ac_objext"
+   # Insert the option either (1) after the last *FLAGS variable, or
+   # (2) before a word containing "conftest.", or (3) at the end.
+   # Note that $ac_compile itself does not contain backslashes and begins
+   # with a dollar sign (not a hyphen), so the echo should work correctly.
+   lt_compile=`echo "$ac_compile" | $SED \
+   -e 's:.*FLAGS}\{0,1\} :&$lt_compiler_flag :; t' \
+   -e 's: [^ ]*conftest\.: $lt_compiler_flag&:; t' \
+   -e 's:$: $lt_compiler_flag:'`
+   (eval echo "\"\$as_me:18460: $lt_compile\"" >&5)
+   (eval "$lt_compile" 2>out/conftest.err)
+   ac_status=$?
+   cat out/conftest.err >&5
+   echo "$as_me:18464: \$? = $ac_status" >&5
+   if (exit $ac_status) && test -s out/conftest2.$ac_objext
+   then
+     # The compiler can only warn and ignore the option if not recognized
+     # So say no if there are warnings
+     $ECHO "X$_lt_compiler_boilerplate" | $Xsed -e '/^$/d' > out/conftest.exp
+     $SED '/^$/d; /^ *+/d' out/conftest.err >out/conftest.er2
+     if test ! -s out/conftest.er2 || diff out/conftest.exp out/conftest.er2 >/dev/null; then
+       lt_cv_prog_compiler_c_o_F77=yes
+     fi
+   fi
+   chmod u+w . 2>&5
+   $RM conftest*
+   # SGI C++ compiler will create directory out/ii_files/ for
+   # template instantiation
+   test -d out/ii_files && $RM out/ii_files/* && rmdir out/ii_files
+   $RM out/* && rmdir out
+   cd ..
+   $RM -r conftest
+   $RM conftest*
+
+fi
+{ $as_echo "$as_me:$LINENO: result: $lt_cv_prog_compiler_c_o_F77" >&5
+$as_echo "$lt_cv_prog_compiler_c_o_F77" >&6; }
+
+
+
+    { $as_echo "$as_me:$LINENO: checking if $compiler supports -c -o file.$ac_objext" >&5
+$as_echo_n "checking if $compiler supports -c -o file.$ac_objext... " >&6; }
+if test "${lt_cv_prog_compiler_c_o_F77+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  lt_cv_prog_compiler_c_o_F77=no
+   $RM -r conftest 2>/dev/null
+   mkdir conftest
+   cd conftest
+   mkdir out
+   echo "$lt_simple_compile_test_code" > conftest.$ac_ext
+
+   lt_compiler_flag="-o out/conftest2.$ac_objext"
+   # Insert the option either (1) after the last *FLAGS variable, or
+   # (2) before a word containing "conftest.", or (3) at the end.
+   # Note that $ac_compile itself does not contain backslashes and begins
+   # with a dollar sign (not a hyphen), so the echo should work correctly.
+   lt_compile=`echo "$ac_compile" | $SED \
+   -e 's:.*FLAGS}\{0,1\} :&$lt_compiler_flag :; t' \
+   -e 's: [^ ]*conftest\.: $lt_compiler_flag&:; t' \
+   -e 's:$: $lt_compiler_flag:'`
+   (eval echo "\"\$as_me:18512: $lt_compile\"" >&5)
+   (eval "$lt_compile" 2>out/conftest.err)
+   ac_status=$?
+   cat out/conftest.err >&5
+   echo "$as_me:18516: \$? = $ac_status" >&5
+   if (exit $ac_status) && test -s out/conftest2.$ac_objext
+   then
+     # The compiler can only warn and ignore the option if not recognized
+     # So say no if there are warnings
+     $ECHO "X$_lt_compiler_boilerplate" | $Xsed -e '/^$/d' > out/conftest.exp
+     $SED '/^$/d; /^ *+/d' out/conftest.err >out/conftest.er2
+     if test ! -s out/conftest.er2 || diff out/conftest.exp out/conftest.er2 >/dev/null; then
+       lt_cv_prog_compiler_c_o_F77=yes
+     fi
+   fi
+   chmod u+w . 2>&5
+   $RM conftest*
+   # SGI C++ compiler will create directory out/ii_files/ for
+   # template instantiation
+   test -d out/ii_files && $RM out/ii_files/* && rmdir out/ii_files
+   $RM out/* && rmdir out
+   cd ..
+   $RM -r conftest
+   $RM conftest*
+
+fi
+{ $as_echo "$as_me:$LINENO: result: $lt_cv_prog_compiler_c_o_F77" >&5
+$as_echo "$lt_cv_prog_compiler_c_o_F77" >&6; }
+
+
+
+
+hard_links="nottested"
+if test "$lt_cv_prog_compiler_c_o_F77" = no && test "$need_locks" != no; then
+  # do not overwrite the value of need_locks provided by the user
+  { $as_echo "$as_me:$LINENO: checking if we can lock with hard links" >&5
+$as_echo_n "checking if we can lock with hard links... " >&6; }
+  hard_links=yes
+  $RM conftest*
+  ln conftest.a conftest.b 2>/dev/null && hard_links=no
+  touch conftest.a
+  ln conftest.a conftest.b 2>&5 || hard_links=no
+  ln conftest.a conftest.b 2>/dev/null && hard_links=no
+  { $as_echo "$as_me:$LINENO: result: $hard_links" >&5
+$as_echo "$hard_links" >&6; }
+  if test "$hard_links" = no; then
+    { $as_echo "$as_me:$LINENO: WARNING: \`$CC' does not support \`-c -o', so \`make -j' may be unsafe" >&5
+$as_echo "$as_me: WARNING: \`$CC' does not support \`-c -o', so \`make -j' may be unsafe" >&2;}
+    need_locks=warn
+  fi
+else
+  need_locks=no
+fi
+
+
+
+    { $as_echo "$as_me:$LINENO: checking whether the $compiler linker ($LD) supports shared libraries" >&5
+$as_echo_n "checking whether the $compiler linker ($LD) supports shared libraries... " >&6; }
+
+  runpath_var=
+  allow_undefined_flag_F77=
+  always_export_symbols_F77=no
+  archive_cmds_F77=
+  archive_expsym_cmds_F77=
+  compiler_needs_object_F77=no
+  enable_shared_with_static_runtimes_F77=no
+  export_dynamic_flag_spec_F77=
+  export_symbols_cmds_F77='$NM $libobjs $convenience | $global_symbol_pipe | $SED '\''s/.* //'\'' | sort | uniq > $export_symbols'
+  hardcode_automatic_F77=no
+  hardcode_direct_F77=no
+  hardcode_direct_absolute_F77=no
+  hardcode_libdir_flag_spec_F77=
+  hardcode_libdir_flag_spec_ld_F77=
+  hardcode_libdir_separator_F77=
+  hardcode_minus_L_F77=no
+  hardcode_shlibpath_var_F77=unsupported
+  inherit_rpath_F77=no
+  link_all_deplibs_F77=unknown
+  module_cmds_F77=
+  module_expsym_cmds_F77=
+  old_archive_from_new_cmds_F77=
+  old_archive_from_expsyms_cmds_F77=
+  thread_safe_flag_spec_F77=
+  whole_archive_flag_spec_F77=
+  # include_expsyms should be a list of space-separated symbols to be *always*
+  # included in the symbol list
+  include_expsyms_F77=
+  # exclude_expsyms can be an extended regexp of symbols to exclude
+  # it will be wrapped by ` (' and `)$', so one must not match beginning or
+  # end of line.  Example: `a|bc|.*d.*' will exclude the symbols `a' and `bc',
+  # as well as any symbol that contains `d'.
+  exclude_expsyms_F77='_GLOBAL_OFFSET_TABLE_|_GLOBAL__F[ID]_.*'
+  # Although _GLOBAL_OFFSET_TABLE_ is a valid symbol C name, most a.out
+  # platforms (ab)use it in PIC code, but their linkers get confused if
+  # the symbol is explicitly referenced.  Since portable code cannot
+  # rely on this symbol name, it's probably fine to never include it in
+  # preloaded symbol tables.
+  # Exclude shared library initialization/finalization symbols.
+  extract_expsyms_cmds=
+
+  case $host_os in
+  cygwin* | mingw* | pw32* | cegcc*)
+    # FIXME: the MSVC++ port hasn't been tested in a loooong time
+    # When not using gcc, we currently assume that we are using
+    # Microsoft Visual C++.
+    if test "$GCC" != yes; then
+      with_gnu_ld=no
+    fi
+    ;;
+  interix*)
+    # we just hope/assume this is gcc and not c89 (= MSVC++)
+    with_gnu_ld=yes
+    ;;
+  openbsd*)
+    with_gnu_ld=no
+    ;;
+  esac
+
+  ld_shlibs_F77=yes
+  if test "$with_gnu_ld" = yes; then
+    # If archive_cmds runs LD, not CC, wlarc should be empty
+    wlarc='${wl}'
+
+    # Set some defaults for GNU ld with shared library support. These
+    # are reset later if shared libraries are not supported. Putting them
+    # here allows them to be overridden if necessary.
+    runpath_var=LD_RUN_PATH
+    hardcode_libdir_flag_spec_F77='${wl}-rpath ${wl}$libdir'
+    export_dynamic_flag_spec_F77='${wl}--export-dynamic'
+    # ancient GNU ld didn't support --whole-archive et. al.
+    if $LD --help 2>&1 | $GREP 'no-whole-archive' > /dev/null; then
+      whole_archive_flag_spec_F77="$wlarc"'--whole-archive$convenience '"$wlarc"'--no-whole-archive'
+    else
+      whole_archive_flag_spec_F77=
+    fi
+    supports_anon_versioning=no
+    case `$LD -v 2>&1` in
+      *\ [01].* | *\ 2.[0-9].* | *\ 2.10.*) ;; # catch versions < 2.11
+      *\ 2.11.93.0.2\ *) supports_anon_versioning=yes ;; # RH7.3 ...
+      *\ 2.11.92.0.12\ *) supports_anon_versioning=yes ;; # Mandrake 8.2 ...
+      *\ 2.11.*) ;; # other 2.11 versions
+      *) supports_anon_versioning=yes ;;
+    esac
+
+    # See if GNU ld supports shared libraries.
+    case $host_os in
+    aix[3-9]*)
+      # On AIX/PPC, the GNU linker is very broken
+      if test "$host_cpu" != ia64; then
+       ld_shlibs_F77=no
+       cat <<_LT_EOF 1>&2
+
+*** Warning: the GNU linker, at least up to release 2.9.1, is reported
+*** to be unable to reliably create shared libraries on AIX.
+*** Therefore, libtool is disabling shared libraries support.  If you
+*** really care for shared libraries, you may want to modify your PATH
+*** so that a non-GNU linker is found, and then restart.
+
+_LT_EOF
+      fi
+      ;;
+
+    amigaos*)
+      case $host_cpu in
+      powerpc)
+            # see comment about AmigaOS4 .so support
+            archive_cmds_F77='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+            archive_expsym_cmds_F77=''
+        ;;
+      m68k)
+            archive_cmds_F77='$RM $output_objdir/a2ixlibrary.data~$ECHO "#define NAME $libname" > $output_objdir/a2ixlibrary.data~$ECHO "#define LIBRARY_ID 1" >> $output_objdir/a2ixlibrary.data~$ECHO "#define VERSION $major" >> $output_objdir/a2ixlibrary.data~$ECHO "#define REVISION $revision" >> $output_objdir/a2ixlibrary.data~$AR $AR_FLAGS $lib $libobjs~$RANLIB $lib~(cd $output_objdir && a2ixlibrary -32)'
+            hardcode_libdir_flag_spec_F77='-L$libdir'
+            hardcode_minus_L_F77=yes
+        ;;
+      esac
+      ;;
+
+    beos*)
+      if $LD --help 2>&1 | $GREP ': supported targets:.* elf' > /dev/null; then
+       allow_undefined_flag_F77=unsupported
+       # Joseph Beckenbach <jrb3@best.com> says some releases of gcc
+       # support --undefined.  This deserves some investigation.  FIXME
+       archive_cmds_F77='$CC -nostart $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+      else
+       ld_shlibs_F77=no
+      fi
+      ;;
+
+    cygwin* | mingw* | pw32* | cegcc*)
+      # _LT_TAGVAR(hardcode_libdir_flag_spec, F77) is actually meaningless,
+      # as there is no search path for DLLs.
+      hardcode_libdir_flag_spec_F77='-L$libdir'
+      allow_undefined_flag_F77=unsupported
+      always_export_symbols_F77=no
+      enable_shared_with_static_runtimes_F77=yes
+      export_symbols_cmds_F77='$NM $libobjs $convenience | $global_symbol_pipe | $SED -e '\''/^[BCDGRS][ ]/s/.*[ ]\([^ ]*\)/\1 DATA/'\'' | $SED -e '\''/^[AITW][ ]/s/.*[ ]//'\'' | sort | uniq > $export_symbols'
+
+      if $LD --help 2>&1 | $GREP 'auto-import' > /dev/null; then
+        archive_cmds_F77='$CC -shared $libobjs $deplibs $compiler_flags -o $output_objdir/$soname ${wl}--enable-auto-image-base -Xlinker --out-implib -Xlinker $lib'
+       # If the export-symbols file already is a .def file (1st line
+       # is EXPORTS), use it as is; otherwise, prepend...
+       archive_expsym_cmds_F77='if test "x`$SED 1q $export_symbols`" = xEXPORTS; then
+         cp $export_symbols $output_objdir/$soname.def;
+       else
+         echo EXPORTS > $output_objdir/$soname.def;
+         cat $export_symbols >> $output_objdir/$soname.def;
+       fi~
+       $CC -shared $output_objdir/$soname.def $libobjs $deplibs $compiler_flags -o $output_objdir/$soname ${wl}--enable-auto-image-base -Xlinker --out-implib -Xlinker $lib'
+      else
+       ld_shlibs_F77=no
+      fi
+      ;;
+
+    interix[3-9]*)
+      hardcode_direct_F77=no
+      hardcode_shlibpath_var_F77=no
+      hardcode_libdir_flag_spec_F77='${wl}-rpath,$libdir'
+      export_dynamic_flag_spec_F77='${wl}-E'
+      # Hack: On Interix 3.x, we cannot compile PIC because of a broken gcc.
+      # Instead, shared libraries are loaded at an image base (0x10000000 by
+      # default) and relocated if they conflict, which is a slow very memory
+      # consuming and fragmenting process.  To avoid this, we pick a random,
+      # 256 KiB-aligned image base between 0x50000000 and 0x6FFC0000 at link
+      # time.  Moving up from 0x10000000 also allows more sbrk(2) space.
+      archive_cmds_F77='$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-h,$soname ${wl}--image-base,`expr ${RANDOM-$$} % 4096 / 2 \* 262144 + 1342177280` -o $lib'
+      archive_expsym_cmds_F77='sed "s,^,_," $export_symbols >$output_objdir/$soname.expsym~$CC -shared $pic_flag $libobjs $deplibs $compiler_flags ${wl}-h,$soname ${wl}--retain-symbols-file,$output_objdir/$soname.expsym ${wl}--image-base,`expr ${RANDOM-$$} % 4096 / 2 \* 262144 + 1342177280` -o $lib'
+      ;;
+
+    gnu* | linux* | tpf* | k*bsd*-gnu)
+      tmp_diet=no
+      if test "$host_os" = linux-dietlibc; then
+       case $cc_basename in
+         diet\ *) tmp_diet=yes;;       # linux-dietlibc with static linking (!diet-dyn)
+       esac
+      fi
+      if $LD --help 2>&1 | $EGREP ': supported targets:.* elf' > /dev/null \
+        && test "$tmp_diet" = no
+      then
+       tmp_addflag=
+       tmp_sharedflag='-shared'
+       case $cc_basename,$host_cpu in
+        pgcc*)                         # Portland Group C compiler
+         whole_archive_flag_spec_F77='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; $ECHO \"$new_convenience\"` ${wl}--no-whole-archive'
+         tmp_addflag=' $pic_flag'
+         ;;
+       pgf77* | pgf90* | pgf95*)       # Portland Group f77 and f90 compilers
+         whole_archive_flag_spec_F77='${wl}--whole-archive`for conv in $convenience\"\"; do test  -n \"$conv\" && new_convenience=\"$new_convenience,$conv\"; done; $ECHO \"$new_convenience\"` ${wl}--no-whole-archive'
+         tmp_addflag=' $pic_flag -Mnomain' ;;
+       ecc*,ia64* | icc*,ia64*)        # Intel C compiler on ia64
+         tmp_addflag=' -i_dynamic' ;;
+       efc*,ia64* | ifort*,ia64*)      # Intel Fortran compiler on ia64
+         tmp_addflag=' -i_dynamic -nofor_main' ;;
+       ifc* | ifort*)                  # Intel Fortran compiler
+         tmp_addflag=' -nofor_main' ;;
+       lf95*)                          # Lahey Fortran 8.1
+         whole_archive_flag_spec_F77=
+         tmp_sharedflag='--shared' ;;
+       xl[cC]*)                        # IBM XL C 8.0 on PPC (deal with xlf below)
+         tmp_sharedflag='-qmkshrobj'
+         tmp_addflag= ;;
+       esac
+       case `$CC -V 2>&1 | sed 5q` in
+       *Sun\ C*)                       # Sun C 5.9
+         whole_archive_flag_spec_F77='${wl}--whole-archive`new_convenience=; for conv in $convenience\"\"; do test -z \"$conv\" || new_convenience=\"$new_convenience,$conv\"; done; $ECHO \"$new_convenience\"` ${wl}--no-whole-archive'
+         compiler_needs_object_F77=yes
+         tmp_sharedflag='-G' ;;
+       *Sun\ F*)                       # Sun Fortran 8.3
+         tmp_sharedflag='-G' ;;
+       esac
+       archive_cmds_F77='$CC '"$tmp_sharedflag""$tmp_addflag"' $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+
+        if test "x$supports_anon_versioning" = xyes; then
+          archive_expsym_cmds_F77='echo "{ global:" > $output_objdir/$libname.ver~
+           cat $export_symbols | sed -e "s/\(.*\)/\1;/" >> $output_objdir/$libname.ver~
+           echo "local: *; };" >> $output_objdir/$libname.ver~
+           $CC '"$tmp_sharedflag""$tmp_addflag"' $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname ${wl}-version-script ${wl}$output_objdir/$libname.ver -o $lib'
+        fi
+
+       case $cc_basename in
+       xlf*)
+         # IBM XL Fortran 10.1 on PPC cannot create shared libs itself
+         whole_archive_flag_spec_F77='--whole-archive$convenience --no-whole-archive'
+         hardcode_libdir_flag_spec_F77=
+         hardcode_libdir_flag_spec_ld_F77='-rpath $libdir'
+         archive_cmds_F77='$LD -shared $libobjs $deplibs $compiler_flags -soname $soname -o $lib'
+         if test "x$supports_anon_versioning" = xyes; then
+           archive_expsym_cmds_F77='echo "{ global:" > $output_objdir/$libname.ver~
+             cat $export_symbols | sed -e "s/\(.*\)/\1;/" >> $output_objdir/$libname.ver~
+             echo "local: *; };" >> $output_objdir/$libname.ver~
+             $LD -shared $libobjs $deplibs $compiler_flags -soname $soname -version-script $output_objdir/$libname.ver -o $lib'
+         fi
+         ;;
+       esac
+      else
+        ld_shlibs_F77=no
+      fi
+      ;;
+
+    netbsd* | netbsdelf*-gnu)
+      if echo __ELF__ | $CC -E - | $GREP __ELF__ >/dev/null; then
+       archive_cmds_F77='$LD -Bshareable $libobjs $deplibs $linker_flags -o $lib'
+       wlarc=
+      else
+       archive_cmds_F77='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+       archive_expsym_cmds_F77='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname ${wl}-retain-symbols-file $wl$export_symbols -o $lib'
+      fi
+      ;;
+
+    solaris*)
+      if $LD -v 2>&1 | $GREP 'BFD 2\.8' > /dev/null; then
+       ld_shlibs_F77=no
+       cat <<_LT_EOF 1>&2
+
+*** Warning: The releases 2.8.* of the GNU linker cannot reliably
+*** create shared libraries on Solaris systems.  Therefore, libtool
+*** is disabling shared libraries support.  We urge you to upgrade GNU
+*** binutils to release 2.9.1 or newer.  Another option is to modify
+*** your PATH or compiler configuration so that the native linker is
+*** used, and then restart.
+
+_LT_EOF
+      elif $LD --help 2>&1 | $GREP ': supported targets:.* elf' > /dev/null; then
+       archive_cmds_F77='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+       archive_expsym_cmds_F77='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname ${wl}-retain-symbols-file $wl$export_symbols -o $lib'
+      else
+       ld_shlibs_F77=no
+      fi
+      ;;
+
+    sysv5* | sco3.2v5* | sco5v6* | unixware* | OpenUNIX*)
+      case `$LD -v 2>&1` in
+        *\ [01].* | *\ 2.[0-9].* | *\ 2.1[0-5].*)
+       ld_shlibs_F77=no
+       cat <<_LT_EOF 1>&2
+
+*** Warning: Releases of the GNU linker prior to 2.16.91.0.3 can not
+*** reliably create shared libraries on SCO systems.  Therefore, libtool
+*** is disabling shared libraries support.  We urge you to upgrade GNU
+*** binutils to release 2.16.91.0.3 or newer.  Another option is to modify
+*** your PATH or compiler configuration so that the native linker is
+*** used, and then restart.
+
+_LT_EOF
+       ;;
+       *)
+         # For security reasons, it is highly recommended that you always
+         # use absolute paths for naming shared libraries, and exclude the
+         # DT_RUNPATH tag from executables and libraries.  But doing so
+         # requires that you compile everything twice, which is a pain.
+         if $LD --help 2>&1 | $GREP ': supported targets:.* elf' > /dev/null; then
+           hardcode_libdir_flag_spec_F77='${wl}-rpath ${wl}$libdir'
+           archive_cmds_F77='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+           archive_expsym_cmds_F77='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname ${wl}-retain-symbols-file $wl$export_symbols -o $lib'
+         else
+           ld_shlibs_F77=no
+         fi
+       ;;
+      esac
+      ;;
+
+    sunos4*)
+      archive_cmds_F77='$LD -assert pure-text -Bshareable -o $lib $libobjs $deplibs $linker_flags'
+      wlarc=
+      hardcode_direct_F77=yes
+      hardcode_shlibpath_var_F77=no
+      ;;
+
+    *)
+      if $LD --help 2>&1 | $GREP ': supported targets:.* elf' > /dev/null; then
+       archive_cmds_F77='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+       archive_expsym_cmds_F77='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname ${wl}-retain-symbols-file $wl$export_symbols -o $lib'
+      else
+       ld_shlibs_F77=no
+      fi
+      ;;
+    esac
+
+    if test "$ld_shlibs_F77" = no; then
+      runpath_var=
+      hardcode_libdir_flag_spec_F77=
+      export_dynamic_flag_spec_F77=
+      whole_archive_flag_spec_F77=
+    fi
+  else
+    # PORTME fill in a description of your system's linker (not GNU ld)
+    case $host_os in
+    aix3*)
+      allow_undefined_flag_F77=unsupported
+      always_export_symbols_F77=yes
+      archive_expsym_cmds_F77='$LD -o $output_objdir/$soname $libobjs $deplibs $linker_flags -bE:$export_symbols -T512 -H512 -bM:SRE~$AR $AR_FLAGS $lib $output_objdir/$soname'
+      # Note: this linker hardcodes the directories in LIBPATH if there
+      # are no directories specified by -L.
+      hardcode_minus_L_F77=yes
+      if test "$GCC" = yes && test -z "$lt_prog_compiler_static"; then
+       # Neither direct hardcoding nor static linking is supported with a
+       # broken collect2.
+       hardcode_direct_F77=unsupported
+      fi
+      ;;
+
+    aix[4-9]*)
+      if test "$host_cpu" = ia64; then
+       # On IA64, the linker does run time linking by default, so we don't
+       # have to do anything special.
+       aix_use_runtimelinking=no
+       exp_sym_flag='-Bexport'
+       no_entry_flag=""
+      else
+       # If we're using GNU nm, then we don't want the "-C" option.
+       # -C means demangle to AIX nm, but means don't demangle with GNU nm
+       if $NM -V 2>&1 | $GREP 'GNU' > /dev/null; then
+         export_symbols_cmds_F77='$NM -Bpg $libobjs $convenience | awk '\''{ if (((\$ 2 == "T") || (\$ 2 == "D") || (\$ 2 == "B")) && (substr(\$ 3,1,1) != ".")) { print \$ 3 } }'\'' | sort -u > $export_symbols'
+       else
+         export_symbols_cmds_F77='$NM -BCpg $libobjs $convenience | awk '\''{ if (((\$ 2 == "T") || (\$ 2 == "D") || (\$ 2 == "B")) && (substr(\$ 3,1,1) != ".")) { print \$ 3 } }'\'' | sort -u > $export_symbols'
+       fi
+       aix_use_runtimelinking=no
+
+       # Test if we are trying to use run time linking or normal
+       # AIX style linking. If -brtl is somewhere in LDFLAGS, we
+       # need to do runtime linking.
+       case $host_os in aix4.[23]|aix4.[23].*|aix[5-9]*)
+         for ld_flag in $LDFLAGS; do
+         if (test $ld_flag = "-brtl" || test $ld_flag = "-Wl,-brtl"); then
+           aix_use_runtimelinking=yes
+           break
+         fi
+         done
+         ;;
+       esac
+
+       exp_sym_flag='-bexport'
+       no_entry_flag='-bnoentry'
+      fi
+
+      # When large executables or shared objects are built, AIX ld can
+      # have problems creating the table of contents.  If linking a library
+      # or program results in "error TOC overflow" add -mminimal-toc to
+      # CXXFLAGS/CFLAGS for g++/gcc.  In the cases where that is not
+      # enough to fix the problem, add -Wl,-bbigtoc to LDFLAGS.
+
+      archive_cmds_F77=''
+      hardcode_direct_F77=yes
+      hardcode_direct_absolute_F77=yes
+      hardcode_libdir_separator_F77=':'
+      link_all_deplibs_F77=yes
+      file_list_spec_F77='${wl}-f,'
+
+      if test "$GCC" = yes; then
+       case $host_os in aix4.[012]|aix4.[012].*)
+       # We only want to do this on AIX 4.2 and lower, the check
+       # below for broken collect2 doesn't work under 4.3+
+         collect2name=`${CC} -print-prog-name=collect2`
+         if test -f "$collect2name" &&
+          strings "$collect2name" | $GREP resolve_lib_name >/dev/null
+         then
+         # We have reworked collect2
+         :
+         else
+         # We have old collect2
+         hardcode_direct_F77=unsupported
+         # It fails to find uninstalled libraries when the uninstalled
+         # path is not listed in the libpath.  Setting hardcode_minus_L
+         # to unsupported forces relinking
+         hardcode_minus_L_F77=yes
+         hardcode_libdir_flag_spec_F77='-L$libdir'
+         hardcode_libdir_separator_F77=
+         fi
+         ;;
+       esac
+       shared_flag='-shared'
+       if test "$aix_use_runtimelinking" = yes; then
+         shared_flag="$shared_flag "'${wl}-G'
+       fi
+       link_all_deplibs_F77=no
+      else
+       # not using gcc
+       if test "$host_cpu" = ia64; then
+       # VisualAge C++, Version 5.5 for AIX 5L for IA-64, Beta 3 Release
+       # chokes on -Wl,-G. The following line is correct:
+         shared_flag='-G'
+       else
+         if test "$aix_use_runtimelinking" = yes; then
+           shared_flag='${wl}-G'
+         else
+           shared_flag='${wl}-bM:SRE'
+         fi
+       fi
+      fi
+
+      export_dynamic_flag_spec_F77='${wl}-bexpall'
+      # It seems that -bexpall does not export symbols beginning with
+      # underscore (_), so it is better to generate a list of symbols to export.
+      always_export_symbols_F77=yes
+      if test "$aix_use_runtimelinking" = yes; then
+       # Warning - without using the other runtime loading flags (-brtl),
+       # -berok will link without error, but may produce a broken library.
+       allow_undefined_flag_F77='-berok'
+        # Determine the default libpath from the value encoded in an
+        # empty executable.
+        cat >conftest.$ac_ext <<_ACEOF
+      program main
+
+      end
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_f77_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+
+lt_aix_libpath_sed='
+    /Import File Strings/,/^$/ {
+       /^0/ {
+           s/^0  *\(.*\)$/\1/
+           p
+       }
+    }'
+aix_libpath=`dump -H conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
+# Check for a 64-bit object if we didn't find anything.
+if test -z "$aix_libpath"; then
+  aix_libpath=`dump -HX64 conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
+fi
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+if test -z "$aix_libpath"; then aix_libpath="/usr/lib:/lib"; fi
+
+        hardcode_libdir_flag_spec_F77='${wl}-blibpath:$libdir:'"$aix_libpath"
+        archive_expsym_cmds_F77='$CC -o $output_objdir/$soname $libobjs $deplibs '"\${wl}$no_entry_flag"' $compiler_flags `if test "x${allow_undefined_flag}" != "x"; then $ECHO "X${wl}${allow_undefined_flag}" | $Xsed; else :; fi` '"\${wl}$exp_sym_flag:\$export_symbols $shared_flag"
+      else
+       if test "$host_cpu" = ia64; then
+         hardcode_libdir_flag_spec_F77='${wl}-R $libdir:/usr/lib:/lib'
+         allow_undefined_flag_F77="-z nodefs"
+         archive_expsym_cmds_F77="\$CC $shared_flag"' -o $output_objdir/$soname $libobjs $deplibs '"\${wl}$no_entry_flag"' $compiler_flags ${wl}${allow_undefined_flag} '"\${wl}$exp_sym_flag:\$export_symbols"
+       else
+        # Determine the default libpath from the value encoded in an
+        # empty executable.
+        cat >conftest.$ac_ext <<_ACEOF
+      program main
+
+      end
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_f77_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+
+lt_aix_libpath_sed='
+    /Import File Strings/,/^$/ {
+       /^0/ {
+           s/^0  *\(.*\)$/\1/
+           p
+       }
+    }'
+aix_libpath=`dump -H conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
+# Check for a 64-bit object if we didn't find anything.
+if test -z "$aix_libpath"; then
+  aix_libpath=`dump -HX64 conftest$ac_exeext 2>/dev/null | $SED -n -e "$lt_aix_libpath_sed"`
+fi
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+if test -z "$aix_libpath"; then aix_libpath="/usr/lib:/lib"; fi
+
+        hardcode_libdir_flag_spec_F77='${wl}-blibpath:$libdir:'"$aix_libpath"
+         # Warning - without using the other run time loading flags,
+         # -berok will link without error, but may produce a broken library.
+         no_undefined_flag_F77=' ${wl}-bernotok'
+         allow_undefined_flag_F77=' ${wl}-berok'
+         # Exported symbols can be pulled into shared objects from archives
+         whole_archive_flag_spec_F77='$convenience'
+         archive_cmds_need_lc_F77=yes
+         # This is similar to how AIX traditionally builds its shared libraries.
+         archive_expsym_cmds_F77="\$CC $shared_flag"' -o $output_objdir/$soname $libobjs $deplibs ${wl}-bnoentry $compiler_flags ${wl}-bE:$export_symbols${allow_undefined_flag}~$AR $AR_FLAGS $output_objdir/$libname$release.a $output_objdir/$soname'
+       fi
+      fi
+      ;;
+
+    amigaos*)
+      case $host_cpu in
+      powerpc)
+            # see comment about AmigaOS4 .so support
+            archive_cmds_F77='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname $wl$soname -o $lib'
+            archive_expsym_cmds_F77=''
+        ;;
+      m68k)
+            archive_cmds_F77='$RM $output_objdir/a2ixlibrary.data~$ECHO "#define NAME $libname" > $output_objdir/a2ixlibrary.data~$ECHO "#define LIBRARY_ID 1" >> $output_objdir/a2ixlibrary.data~$ECHO "#define VERSION $major" >> $output_objdir/a2ixlibrary.data~$ECHO "#define REVISION $revision" >> $output_objdir/a2ixlibrary.data~$AR $AR_FLAGS $lib $libobjs~$RANLIB $lib~(cd $output_objdir && a2ixlibrary -32)'
+            hardcode_libdir_flag_spec_F77='-L$libdir'
+            hardcode_minus_L_F77=yes
+        ;;
+      esac
+      ;;
+
+    bsdi[45]*)
+      export_dynamic_flag_spec_F77=-rdynamic
+      ;;
+
+    cygwin* | mingw* | pw32* | cegcc*)
+      # When not using gcc, we currently assume that we are using
+      # Microsoft Visual C++.
+      # hardcode_libdir_flag_spec is actually meaningless, as there is
+      # no search path for DLLs.
+      hardcode_libdir_flag_spec_F77=' '
+      allow_undefined_flag_F77=unsupported
+      # Tell ltmain to make .lib files, not .a files.
+      libext=lib
+      # Tell ltmain to make .dll files, not .so files.
+      shrext_cmds=".dll"
+      # FIXME: Setting linknames here is a bad hack.
+      archive_cmds_F77='$CC -o $lib $libobjs $compiler_flags `$ECHO "X$deplibs" | $Xsed -e '\''s/ -lc$//'\''` -link -dll~linknames='
+      # The linker will automatically build a .lib file if we build a DLL.
+      old_archive_from_new_cmds_F77='true'
+      # FIXME: Should let the user specify the lib program.
+      old_archive_cmds_F77='lib -OUT:$oldlib$oldobjs$old_deplibs'
+      fix_srcfile_path_F77='`cygpath -w "$srcfile"`'
+      enable_shared_with_static_runtimes_F77=yes
+      ;;
+
+    darwin* | rhapsody*)
+
+
+  archive_cmds_need_lc_F77=no
+  hardcode_direct_F77=no
+  hardcode_automatic_F77=yes
+  hardcode_shlibpath_var_F77=unsupported
+  whole_archive_flag_spec_F77=''
+  link_all_deplibs_F77=yes
+  allow_undefined_flag_F77="$_lt_dar_allow_undefined"
+  case $cc_basename in
+     ifort*) _lt_dar_can_shared=yes ;;
+     *) _lt_dar_can_shared=$GCC ;;
+  esac
+  if test "$_lt_dar_can_shared" = "yes"; then
+    output_verbose_link_cmd=echo
+    archive_cmds_F77="\$CC -dynamiclib \$allow_undefined_flag -o \$lib \$libobjs \$deplibs \$compiler_flags -install_name \$rpath/\$soname \$verstring $_lt_dar_single_mod${_lt_dsymutil}"
+    module_cmds_F77="\$CC \$allow_undefined_flag -o \$lib -bundle \$libobjs \$deplibs \$compiler_flags${_lt_dsymutil}"
+    archive_expsym_cmds_F77="sed 's,^,_,' < \$export_symbols > \$output_objdir/\${libname}-symbols.expsym~\$CC -dynamiclib \$allow_undefined_flag -o \$lib \$libobjs \$deplibs \$compiler_flags -install_name \$rpath/\$soname \$verstring ${_lt_dar_single_mod}${_lt_dar_export_syms}${_lt_dsymutil}"
+    module_expsym_cmds_F77="sed -e 's,^,_,' < \$export_symbols > \$output_objdir/\${libname}-symbols.expsym~\$CC \$allow_undefined_flag -o \$lib -bundle \$libobjs \$deplibs \$compiler_flags${_lt_dar_export_syms}${_lt_dsymutil}"
+
+  else
+  ld_shlibs_F77=no
+  fi
+
+      ;;
+
+    dgux*)
+      archive_cmds_F77='$LD -G -h $soname -o $lib $libobjs $deplibs $linker_flags'
+      hardcode_libdir_flag_spec_F77='-L$libdir'
+      hardcode_shlibpath_var_F77=no
+      ;;
+
+    freebsd1*)
+      ld_shlibs_F77=no
+      ;;
+
+    # FreeBSD 2.2.[012] allows us to include c++rt0.o to get C++ constructor
+    # support.  Future versions do this automatically, but an explicit c++rt0.o
+    # does not break anything, and helps significantly (at the cost of a little
+    # extra space).
+    freebsd2.2*)
+      archive_cmds_F77='$LD -Bshareable -o $lib $libobjs $deplibs $linker_flags /usr/lib/c++rt0.o'
+      hardcode_libdir_flag_spec_F77='-R$libdir'
+      hardcode_direct_F77=yes
+      hardcode_shlibpath_var_F77=no
+      ;;
+
+    # Unfortunately, older versions of FreeBSD 2 do not have this feature.
+    freebsd2*)
+      archive_cmds_F77='$LD -Bshareable -o $lib $libobjs $deplibs $linker_flags'
+      hardcode_direct_F77=yes
+      hardcode_minus_L_F77=yes
+      hardcode_shlibpath_var_F77=no
+      ;;
+
+    # FreeBSD 3 and greater uses gcc -shared to do shared libraries.
+    freebsd* | dragonfly*)
+      archive_cmds_F77='$CC -shared -o $lib $libobjs $deplibs $compiler_flags'
+      hardcode_libdir_flag_spec_F77='-R$libdir'
+      hardcode_direct_F77=yes
+      hardcode_shlibpath_var_F77=no
+      ;;
+
+    hpux9*)
+      if test "$GCC" = yes; then
+       archive_cmds_F77='$RM $output_objdir/$soname~$CC -shared -fPIC ${wl}+b ${wl}$install_libdir -o $output_objdir/$soname $libobjs $deplibs $compiler_flags~test $output_objdir/$soname = $lib || mv $output_objdir/$soname $lib'
+      else
+       archive_cmds_F77='$RM $output_objdir/$soname~$LD -b +b $install_libdir -o $output_objdir/$soname $libobjs $deplibs $linker_flags~test $output_objdir/$soname = $lib || mv $output_objdir/$soname $lib'
+      fi
+      hardcode_libdir_flag_spec_F77='${wl}+b ${wl}$libdir'
+      hardcode_libdir_separator_F77=:
+      hardcode_direct_F77=yes
+
+      # hardcode_minus_L: Not really in the search PATH,
+      # but as the default location of the library.
+      hardcode_minus_L_F77=yes
+      export_dynamic_flag_spec_F77='${wl}-E'
+      ;;
+
+    hpux10*)
+      if test "$GCC" = yes -a "$with_gnu_ld" = no; then
+       archive_cmds_F77='$CC -shared -fPIC ${wl}+h ${wl}$soname ${wl}+b ${wl}$install_libdir -o $lib $libobjs $deplibs $compiler_flags'
+      else
+       archive_cmds_F77='$LD -b +h $soname +b $install_libdir -o $lib $libobjs $deplibs $linker_flags'
+      fi
+      if test "$with_gnu_ld" = no; then
+       hardcode_libdir_flag_spec_F77='${wl}+b ${wl}$libdir'
+       hardcode_libdir_flag_spec_ld_F77='+b $libdir'
+       hardcode_libdir_separator_F77=:
+       hardcode_direct_F77=yes
+       hardcode_direct_absolute_F77=yes
+       export_dynamic_flag_spec_F77='${wl}-E'
+       # hardcode_minus_L: Not really in the search PATH,
+       # but as the default location of the library.
+       hardcode_minus_L_F77=yes
+      fi
+      ;;
+
+    hpux11*)
+      if test "$GCC" = yes -a "$with_gnu_ld" = no; then
+       case $host_cpu in
+       hppa*64*)
+         archive_cmds_F77='$CC -shared ${wl}+h ${wl}$soname -o $lib $libobjs $deplibs $compiler_flags'
+         ;;
+       ia64*)
+         archive_cmds_F77='$CC -shared -fPIC ${wl}+h ${wl}$soname ${wl}+nodefaultrpath -o $lib $libobjs $deplibs $compiler_flags'
+         ;;
+       *)
+         archive_cmds_F77='$CC -shared -fPIC ${wl}+h ${wl}$soname ${wl}+b ${wl}$install_libdir -o $lib $libobjs $deplibs $compiler_flags'
+         ;;
+       esac
+      else
+       case $host_cpu in
+       hppa*64*)
+         archive_cmds_F77='$CC -b ${wl}+h ${wl}$soname -o $lib $libobjs $deplibs $compiler_flags'
+         ;;
+       ia64*)
+         archive_cmds_F77='$CC -b ${wl}+h ${wl}$soname ${wl}+nodefaultrpath -o $lib $libobjs $deplibs $compiler_flags'
+         ;;
+       *)
+         archive_cmds_F77='$CC -b ${wl}+h ${wl}$soname ${wl}+b ${wl}$install_libdir -o $lib $libobjs $deplibs $compiler_flags'
+         ;;
+       esac
+      fi
+      if test "$with_gnu_ld" = no; then
+       hardcode_libdir_flag_spec_F77='${wl}+b ${wl}$libdir'
+       hardcode_libdir_separator_F77=:
+
+       case $host_cpu in
+       hppa*64*|ia64*)
+         hardcode_direct_F77=no
+         hardcode_shlibpath_var_F77=no
+         ;;
+       *)
+         hardcode_direct_F77=yes
+         hardcode_direct_absolute_F77=yes
+         export_dynamic_flag_spec_F77='${wl}-E'
+
+         # hardcode_minus_L: Not really in the search PATH,
+         # but as the default location of the library.
+         hardcode_minus_L_F77=yes
+         ;;
+       esac
+      fi
+      ;;
+
+    irix5* | irix6* | nonstopux*)
+      if test "$GCC" = yes; then
+       archive_cmds_F77='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && $ECHO "X${wl}-set_version ${wl}$verstring" | $Xsed` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
+       # Try to use the -exported_symbol ld option, if it does not
+       # work, assume that -exports_file does not work either and
+       # implicitly export all symbols.
+        save_LDFLAGS="$LDFLAGS"
+        LDFLAGS="$LDFLAGS -shared ${wl}-exported_symbol ${wl}foo ${wl}-update_registry ${wl}/dev/null"
+        cat >conftest.$ac_ext <<_ACEOF
+int foo(void) {}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_f77_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  archive_expsym_cmds_F77='$CC -shared $libobjs $deplibs $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && $ECHO "X${wl}-set_version ${wl}$verstring" | $Xsed` ${wl}-update_registry ${wl}${output_objdir}/so_locations ${wl}-exports_file ${wl}$export_symbols -o $lib'
+
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+        LDFLAGS="$save_LDFLAGS"
+      else
+       archive_cmds_F77='$CC -shared $libobjs $deplibs $compiler_flags -soname $soname `test -n "$verstring" && $ECHO "X-set_version $verstring" | $Xsed` -update_registry ${output_objdir}/so_locations -o $lib'
+       archive_expsym_cmds_F77='$CC -shared $libobjs $deplibs $compiler_flags -soname $soname `test -n "$verstring" && $ECHO "X-set_version $verstring" | $Xsed` -update_registry ${output_objdir}/so_locations -exports_file $export_symbols -o $lib'
+      fi
+      archive_cmds_need_lc_F77='no'
+      hardcode_libdir_flag_spec_F77='${wl}-rpath ${wl}$libdir'
+      hardcode_libdir_separator_F77=:
+      inherit_rpath_F77=yes
+      link_all_deplibs_F77=yes
+      ;;
+
+    netbsd* | netbsdelf*-gnu)
+      if echo __ELF__ | $CC -E - | $GREP __ELF__ >/dev/null; then
+       archive_cmds_F77='$LD -Bshareable -o $lib $libobjs $deplibs $linker_flags'  # a.out
+      else
+       archive_cmds_F77='$LD -shared -o $lib $libobjs $deplibs $linker_flags'      # ELF
+      fi
+      hardcode_libdir_flag_spec_F77='-R$libdir'
+      hardcode_direct_F77=yes
+      hardcode_shlibpath_var_F77=no
+      ;;
+
+    newsos6)
+      archive_cmds_F77='$LD -G -h $soname -o $lib $libobjs $deplibs $linker_flags'
+      hardcode_direct_F77=yes
+      hardcode_libdir_flag_spec_F77='${wl}-rpath ${wl}$libdir'
+      hardcode_libdir_separator_F77=:
+      hardcode_shlibpath_var_F77=no
+      ;;
+
+    *nto* | *qnx*)
+      ;;
+
+    openbsd*)
+      if test -f /usr/libexec/ld.so; then
+       hardcode_direct_F77=yes
+       hardcode_shlibpath_var_F77=no
+       hardcode_direct_absolute_F77=yes
+       if test -z "`echo __ELF__ | $CC -E - | $GREP __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
+         archive_cmds_F77='$CC -shared $pic_flag -o $lib $libobjs $deplibs $compiler_flags'
+         archive_expsym_cmds_F77='$CC -shared $pic_flag -o $lib $libobjs $deplibs $compiler_flags ${wl}-retain-symbols-file,$export_symbols'
+         hardcode_libdir_flag_spec_F77='${wl}-rpath,$libdir'
+         export_dynamic_flag_spec_F77='${wl}-E'
+       else
+         case $host_os in
+          openbsd[01].* | openbsd2.[0-7] | openbsd2.[0-7].*)
+            archive_cmds_F77='$LD -Bshareable -o $lib $libobjs $deplibs $linker_flags'
+            hardcode_libdir_flag_spec_F77='-R$libdir'
+            ;;
+          *)
+            archive_cmds_F77='$CC -shared $pic_flag -o $lib $libobjs $deplibs $compiler_flags'
+            hardcode_libdir_flag_spec_F77='${wl}-rpath,$libdir'
+            ;;
+         esac
+       fi
+      else
+       ld_shlibs_F77=no
+      fi
+      ;;
+
+    os2*)
+      hardcode_libdir_flag_spec_F77='-L$libdir'
+      hardcode_minus_L_F77=yes
+      allow_undefined_flag_F77=unsupported
+      archive_cmds_F77='$ECHO "LIBRARY $libname INITINSTANCE" > $output_objdir/$libname.def~$ECHO "DESCRIPTION \"$libname\"" >> $output_objdir/$libname.def~$ECHO DATA >> $output_objdir/$libname.def~$ECHO " SINGLE NONSHARED" >> $output_objdir/$libname.def~$ECHO EXPORTS >> $output_objdir/$libname.def~emxexp $libobjs >> $output_objdir/$libname.def~$CC -Zdll -Zcrtdll -o $lib $libobjs $deplibs $compiler_flags $output_objdir/$libname.def'
+      old_archive_from_new_cmds_F77='emximp -o $output_objdir/$libname.a $output_objdir/$libname.def'
+      ;;
+
+    osf3*)
+      if test "$GCC" = yes; then
+       allow_undefined_flag_F77=' ${wl}-expect_unresolved ${wl}\*'
+       archive_cmds_F77='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags ${wl}-soname ${wl}$soname `test -n "$verstring" && $ECHO "X${wl}-set_version ${wl}$verstring" | $Xsed` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
+      else
+       allow_undefined_flag_F77=' -expect_unresolved \*'
+       archive_cmds_F77='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags -soname $soname `test -n "$verstring" && $ECHO "X-set_version $verstring" | $Xsed` -update_registry ${output_objdir}/so_locations -o $lib'
+      fi
+      archive_cmds_need_lc_F77='no'
+      hardcode_libdir_flag_spec_F77='${wl}-rpath ${wl}$libdir'
+      hardcode_libdir_separator_F77=:
+      ;;
+
+    osf4* | osf5*)     # as osf3* with the addition of -msym flag
+      if test "$GCC" = yes; then
+       allow_undefined_flag_F77=' ${wl}-expect_unresolved ${wl}\*'
+       archive_cmds_F77='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags ${wl}-msym ${wl}-soname ${wl}$soname `test -n "$verstring" && $ECHO "X${wl}-set_version ${wl}$verstring" | $Xsed` ${wl}-update_registry ${wl}${output_objdir}/so_locations -o $lib'
+       hardcode_libdir_flag_spec_F77='${wl}-rpath ${wl}$libdir'
+      else
+       allow_undefined_flag_F77=' -expect_unresolved \*'
+       archive_cmds_F77='$CC -shared${allow_undefined_flag} $libobjs $deplibs $compiler_flags -msym -soname $soname `test -n "$verstring" && $ECHO "X-set_version $verstring" | $Xsed` -update_registry ${output_objdir}/so_locations -o $lib'
+       archive_expsym_cmds_F77='for i in `cat $export_symbols`; do printf "%s %s\\n" -exported_symbol "\$i" >> $lib.exp; done; printf "%s\\n" "-hidden">> $lib.exp~
+       $CC -shared${allow_undefined_flag} ${wl}-input ${wl}$lib.exp $compiler_flags $libobjs $deplibs -soname $soname `test -n "$verstring" && $ECHO "X-set_version $verstring" | $Xsed` -update_registry ${output_objdir}/so_locations -o $lib~$RM $lib.exp'
+
+       # Both c and cxx compiler support -rpath directly
+       hardcode_libdir_flag_spec_F77='-rpath $libdir'
+      fi
+      archive_cmds_need_lc_F77='no'
+      hardcode_libdir_separator_F77=:
+      ;;
+
+    solaris*)
+      no_undefined_flag_F77=' -z defs'
+      if test "$GCC" = yes; then
+       wlarc='${wl}'
+       archive_cmds_F77='$CC -shared ${wl}-z ${wl}text ${wl}-h ${wl}$soname -o $lib $libobjs $deplibs $compiler_flags'
+       archive_expsym_cmds_F77='echo "{ global:" > $lib.exp~cat $export_symbols | $SED -e "s/\(.*\)/\1;/" >> $lib.exp~echo "local: *; };" >> $lib.exp~
+         $CC -shared ${wl}-z ${wl}text ${wl}-M ${wl}$lib.exp ${wl}-h ${wl}$soname -o $lib $libobjs $deplibs $compiler_flags~$RM $lib.exp'
+      else
+       case `$CC -V 2>&1` in
+       *"Compilers 5.0"*)
+         wlarc=''
+         archive_cmds_F77='$LD -G${allow_undefined_flag} -h $soname -o $lib $libobjs $deplibs $linker_flags'
+         archive_expsym_cmds_F77='echo "{ global:" > $lib.exp~cat $export_symbols | $SED -e "s/\(.*\)/\1;/" >> $lib.exp~echo "local: *; };" >> $lib.exp~
+         $LD -G${allow_undefined_flag} -M $lib.exp -h $soname -o $lib $libobjs $deplibs $linker_flags~$RM $lib.exp'
+         ;;
+       *)
+         wlarc='${wl}'
+         archive_cmds_F77='$CC -G${allow_undefined_flag} -h $soname -o $lib $libobjs $deplibs $compiler_flags'
+         archive_expsym_cmds_F77='echo "{ global:" > $lib.exp~cat $export_symbols | $SED -e "s/\(.*\)/\1;/" >> $lib.exp~echo "local: *; };" >> $lib.exp~
+         $CC -G${allow_undefined_flag} -M $lib.exp -h $soname -o $lib $libobjs $deplibs $compiler_flags~$RM $lib.exp'
+         ;;
+       esac
+      fi
+      hardcode_libdir_flag_spec_F77='-R$libdir'
+      hardcode_shlibpath_var_F77=no
+      case $host_os in
+      solaris2.[0-5] | solaris2.[0-5].*) ;;
+      *)
+       # The compiler driver will combine and reorder linker options,
+       # but understands `-z linker_flag'.  GCC discards it without `$wl',
+       # but is careful enough not to reorder.
+       # Supported since Solaris 2.6 (maybe 2.5.1?)
+       if test "$GCC" = yes; then
+         whole_archive_flag_spec_F77='${wl}-z ${wl}allextract$convenience ${wl}-z ${wl}defaultextract'
+       else
+         whole_archive_flag_spec_F77='-z allextract$convenience -z defaultextract'
+       fi
+       ;;
+      esac
+      link_all_deplibs_F77=yes
+      ;;
+
+    sunos4*)
+      if test "x$host_vendor" = xsequent; then
+       # Use $CC to link under sequent, because it throws in some extra .o
+       # files that make .init and .fini sections work.
+       archive_cmds_F77='$CC -G ${wl}-h $soname -o $lib $libobjs $deplibs $compiler_flags'
+      else
+       archive_cmds_F77='$LD -assert pure-text -Bstatic -o $lib $libobjs $deplibs $linker_flags'
+      fi
+      hardcode_libdir_flag_spec_F77='-L$libdir'
+      hardcode_direct_F77=yes
+      hardcode_minus_L_F77=yes
+      hardcode_shlibpath_var_F77=no
+      ;;
+
+    sysv4)
+      case $host_vendor in
+       sni)
+         archive_cmds_F77='$LD -G -h $soname -o $lib $libobjs $deplibs $linker_flags'
+         hardcode_direct_F77=yes # is this really true???
+       ;;
+       siemens)
+         ## LD is ld it makes a PLAMLIB
+         ## CC just makes a GrossModule.
+         archive_cmds_F77='$LD -G -o $lib $libobjs $deplibs $linker_flags'
+         reload_cmds_F77='$CC -r -o $output$reload_objs'
+         hardcode_direct_F77=no
+        ;;
+       motorola)
+         archive_cmds_F77='$LD -G -h $soname -o $lib $libobjs $deplibs $linker_flags'
+         hardcode_direct_F77=no #Motorola manual says yes, but my tests say they lie
+       ;;
+      esac
+      runpath_var='LD_RUN_PATH'
+      hardcode_shlibpath_var_F77=no
+      ;;
+
+    sysv4.3*)
+      archive_cmds_F77='$LD -G -h $soname -o $lib $libobjs $deplibs $linker_flags'
+      hardcode_shlibpath_var_F77=no
+      export_dynamic_flag_spec_F77='-Bexport'
+      ;;
+
+    sysv4*MP*)
+      if test -d /usr/nec; then
+       archive_cmds_F77='$LD -G -h $soname -o $lib $libobjs $deplibs $linker_flags'
+       hardcode_shlibpath_var_F77=no
+       runpath_var=LD_RUN_PATH
+       hardcode_runpath_var=yes
+       ld_shlibs_F77=yes
+      fi
+      ;;
+
+    sysv4*uw2* | sysv5OpenUNIX* | sysv5UnixWare7.[01].[10]* | unixware7* | sco3.2v5.0.[024]*)
+      no_undefined_flag_F77='${wl}-z,text'
+      archive_cmds_need_lc_F77=no
+      hardcode_shlibpath_var_F77=no
+      runpath_var='LD_RUN_PATH'
+
+      if test "$GCC" = yes; then
+       archive_cmds_F77='$CC -shared ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+       archive_expsym_cmds_F77='$CC -shared ${wl}-Bexport:$export_symbols ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+      else
+       archive_cmds_F77='$CC -G ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+       archive_expsym_cmds_F77='$CC -G ${wl}-Bexport:$export_symbols ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+      fi
+      ;;
+
+    sysv5* | sco3.2v5* | sco5v6*)
+      # Note: We can NOT use -z defs as we might desire, because we do not
+      # link with -lc, and that would cause any symbols used from libc to
+      # always be unresolved, which means just about no library would
+      # ever link correctly.  If we're not using GNU ld we use -z text
+      # though, which does catch some bad symbols but isn't as heavy-handed
+      # as -z defs.
+      no_undefined_flag_F77='${wl}-z,text'
+      allow_undefined_flag_F77='${wl}-z,nodefs'
+      archive_cmds_need_lc_F77=no
+      hardcode_shlibpath_var_F77=no
+      hardcode_libdir_flag_spec_F77='${wl}-R,$libdir'
+      hardcode_libdir_separator_F77=':'
+      link_all_deplibs_F77=yes
+      export_dynamic_flag_spec_F77='${wl}-Bexport'
+      runpath_var='LD_RUN_PATH'
+
+      if test "$GCC" = yes; then
+       archive_cmds_F77='$CC -shared ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+       archive_expsym_cmds_F77='$CC -shared ${wl}-Bexport:$export_symbols ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+      else
+       archive_cmds_F77='$CC -G ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+       archive_expsym_cmds_F77='$CC -G ${wl}-Bexport:$export_symbols ${wl}-h,$soname -o $lib $libobjs $deplibs $compiler_flags'
+      fi
+      ;;
+
+    uts4*)
+      archive_cmds_F77='$LD -G -h $soname -o $lib $libobjs $deplibs $linker_flags'
+      hardcode_libdir_flag_spec_F77='-L$libdir'
+      hardcode_shlibpath_var_F77=no
+      ;;
+
+    *)
+      ld_shlibs_F77=no
+      ;;
+    esac
+
+    if test x$host_vendor = xsni; then
+      case $host in
+      sysv4 | sysv4.2uw2* | sysv4.3* | sysv5*)
+       export_dynamic_flag_spec_F77='${wl}-Blargedynsym'
+       ;;
+      esac
+    fi
+  fi
+
+{ $as_echo "$as_me:$LINENO: result: $ld_shlibs_F77" >&5
+$as_echo "$ld_shlibs_F77" >&6; }
+test "$ld_shlibs_F77" = no && can_build_shared=no
+
+with_gnu_ld_F77=$with_gnu_ld
+
+
+
+
+
+
+#
+# Do we need to explicitly link libc?
+#
+case "x$archive_cmds_need_lc_F77" in
+x|xyes)
+  # Assume -lc should be added
+  archive_cmds_need_lc_F77=yes
+
+  if test "$enable_shared" = yes && test "$GCC" = yes; then
+    case $archive_cmds_F77 in
+    *'~'*)
+      # FIXME: we may have to deal with multi-command sequences.
+      ;;
+    '$CC '*)
+      # Test whether the compiler implicitly links with -lc since on some
+      # systems, -lgcc has to come before -lc. If gcc already passes -lc
+      # to ld, don't add -lc before -lgcc.
+      { $as_echo "$as_me:$LINENO: checking whether -lc should be explicitly linked in" >&5
+$as_echo_n "checking whether -lc should be explicitly linked in... " >&6; }
+      $RM conftest*
+      echo "$lt_simple_compile_test_code" > conftest.$ac_ext
+
+      if { (eval echo "$as_me:$LINENO: \"$ac_compile\"") >&5
+  (eval $ac_compile) 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } 2>conftest.err; then
+        soname=conftest
+        lib=conftest
+        libobjs=conftest.$ac_objext
+        deplibs=
+        wl=$lt_prog_compiler_wl_F77
+       pic_flag=$lt_prog_compiler_pic_F77
+        compiler_flags=-v
+        linker_flags=-v
+        verstring=
+        output_objdir=.
+        libname=conftest
+        lt_save_allow_undefined_flag=$allow_undefined_flag_F77
+        allow_undefined_flag_F77=
+        if { (eval echo "$as_me:$LINENO: \"$archive_cmds_F77 2\>\&1 \| $GREP \" -lc \" \>/dev/null 2\>\&1\"") >&5
+  (eval $archive_cmds_F77 2\>\&1 \| $GREP \" -lc \" \>/dev/null 2\>\&1) 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }
+        then
+         archive_cmds_need_lc_F77=no
+        else
+         archive_cmds_need_lc_F77=yes
+        fi
+        allow_undefined_flag_F77=$lt_save_allow_undefined_flag
+      else
+        cat conftest.err 1>&5
+      fi
+      $RM conftest*
+      { $as_echo "$as_me:$LINENO: result: $archive_cmds_need_lc_F77" >&5
+$as_echo "$archive_cmds_need_lc_F77" >&6; }
+      ;;
+    esac
+  fi
+  ;;
+esac
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+    { $as_echo "$as_me:$LINENO: checking dynamic linker characteristics" >&5
+$as_echo_n "checking dynamic linker characteristics... " >&6; }
+
+library_names_spec=
+libname_spec='lib$name'
+soname_spec=
+shrext_cmds=".so"
+postinstall_cmds=
+postuninstall_cmds=
+finish_cmds=
+finish_eval=
+shlibpath_var=
+shlibpath_overrides_runpath=unknown
+version_type=none
+dynamic_linker="$host_os ld.so"
+sys_lib_dlsearch_path_spec="/lib /usr/lib"
+need_lib_prefix=unknown
+hardcode_into_libs=no
+
+# when you set need_version to no, make sure it does not cause -set_version
+# flags to be left without arguments
+need_version=unknown
+
+case $host_os in
+aix3*)
+  version_type=linux
+  library_names_spec='${libname}${release}${shared_ext}$versuffix $libname.a'
+  shlibpath_var=LIBPATH
+
+  # AIX 3 has no versioning support, so we append a major version to the name.
+  soname_spec='${libname}${release}${shared_ext}$major'
+  ;;
+
+aix[4-9]*)
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  hardcode_into_libs=yes
+  if test "$host_cpu" = ia64; then
+    # AIX 5 supports IA64
+    library_names_spec='${libname}${release}${shared_ext}$major ${libname}${release}${shared_ext}$versuffix $libname${shared_ext}'
+    shlibpath_var=LD_LIBRARY_PATH
+  else
+    # With GCC up to 2.95.x, collect2 would create an import file
+    # for dependence libraries.  The import file would start with
+    # the line `#! .'.  This would cause the generated library to
+    # depend on `.', always an invalid library.  This was fixed in
+    # development snapshots of GCC prior to 3.0.
+    case $host_os in
+      aix4 | aix4.[01] | aix4.[01].*)
+      if { echo '#if __GNUC__ > 2 || (__GNUC__ == 2 && __GNUC_MINOR__ >= 97)'
+          echo ' yes '
+          echo '#endif'; } | ${CC} -E - | $GREP yes > /dev/null; then
+       :
+      else
+       can_build_shared=no
+      fi
+      ;;
+    esac
+    # AIX (on Power*) has no versioning support, so currently we can not hardcode correct
+    # soname into executable. Probably we can add versioning support to
+    # collect2, so additional links can be useful in future.
+    if test "$aix_use_runtimelinking" = yes; then
+      # If using run time linking (on AIX 4.2 or later) use lib<name>.so
+      # instead of lib<name>.a to let people know that these are not
+      # typical AIX shared libraries.
+      library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+    else
+      # We preserve .a as extension for shared libraries through AIX4.2
+      # and later when we are not doing run time linking.
+      library_names_spec='${libname}${release}.a $libname.a'
+      soname_spec='${libname}${release}${shared_ext}$major'
+    fi
+    shlibpath_var=LIBPATH
+  fi
+  ;;
+
+amigaos*)
+  case $host_cpu in
+  powerpc)
+    # Since July 2007 AmigaOS4 officially supports .so libraries.
+    # When compiling the executable, add -use-dynld -Lsobjs: to the compileline.
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+    ;;
+  m68k)
+    library_names_spec='$libname.ixlibrary $libname.a'
+    # Create ${libname}_ixlibrary.a entries in /sys/libs.
+    finish_eval='for lib in `ls $libdir/*.ixlibrary 2>/dev/null`; do libname=`$ECHO "X$lib" | $Xsed -e '\''s%^.*/\([^/]*\)\.ixlibrary$%\1%'\''`; test $RM /sys/libs/${libname}_ixlibrary.a; $show "cd /sys/libs && $LN_S $lib ${libname}_ixlibrary.a"; cd /sys/libs && $LN_S $lib ${libname}_ixlibrary.a || exit 1; done'
+    ;;
+  esac
+  ;;
+
+beos*)
+  library_names_spec='${libname}${shared_ext}'
+  dynamic_linker="$host_os ld.so"
+  shlibpath_var=LIBRARY_PATH
+  ;;
+
+bsdi[45]*)
+  version_type=linux
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  finish_cmds='PATH="\$PATH:/sbin" ldconfig $libdir'
+  shlibpath_var=LD_LIBRARY_PATH
+  sys_lib_search_path_spec="/shlib /usr/lib /usr/X11/lib /usr/contrib/lib /lib /usr/local/lib"
+  sys_lib_dlsearch_path_spec="/shlib /usr/lib /usr/local/lib"
+  # the default ld.so.conf also contains /usr/contrib/lib and
+  # /usr/X11R6/lib (/usr/X11 is a link to /usr/X11R6), but let us allow
+  # libtool to hard-code these into programs
+  ;;
+
+cygwin* | mingw* | pw32* | cegcc*)
+  version_type=windows
+  shrext_cmds=".dll"
+  need_version=no
+  need_lib_prefix=no
+
+  case $GCC,$host_os in
+  yes,cygwin* | yes,mingw* | yes,pw32* | yes,cegcc*)
+    library_names_spec='$libname.dll.a'
+    # DLL is installed to $(libdir)/../bin by postinstall_cmds
+    postinstall_cmds='base_file=`basename \${file}`~
+      dlpath=`$SHELL 2>&1 -c '\''. $dir/'\''\${base_file}'\''i; echo \$dlname'\''`~
+      dldir=$destdir/`dirname \$dlpath`~
+      test -d \$dldir || mkdir -p \$dldir~
+      $install_prog $dir/$dlname \$dldir/$dlname~
+      chmod a+x \$dldir/$dlname~
+      if test -n '\''$stripme'\'' && test -n '\''$striplib'\''; then
+        eval '\''$striplib \$dldir/$dlname'\'' || exit \$?;
+      fi'
+    postuninstall_cmds='dldll=`$SHELL 2>&1 -c '\''. $file; echo \$dlname'\''`~
+      dlpath=$dir/\$dldll~
+       $RM \$dlpath'
+    shlibpath_overrides_runpath=yes
+
+    case $host_os in
+    cygwin*)
+      # Cygwin DLLs use 'cyg' prefix rather than 'lib'
+      soname_spec='`echo ${libname} | sed -e 's/^lib/cyg/'``echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
+      sys_lib_search_path_spec="/usr/lib /lib/w32api /lib /usr/local/lib"
+      ;;
+    mingw* | cegcc*)
+      # MinGW DLLs use traditional 'lib' prefix
+      soname_spec='${libname}`echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
+      sys_lib_search_path_spec=`$CC -print-search-dirs | $GREP "^libraries:" | $SED -e "s/^libraries://" -e "s,=/,/,g"`
+      if $ECHO "$sys_lib_search_path_spec" | $GREP ';[c-zC-Z]:/' >/dev/null; then
+        # It is most probably a Windows format PATH printed by
+        # mingw gcc, but we are running on Cygwin. Gcc prints its search
+        # path with ; separators, and with drive letters. We can handle the
+        # drive letters (cygwin fileutils understands them), so leave them,
+        # especially as we might pass files found there to a mingw objdump,
+        # which wouldn't understand a cygwinified path. Ahh.
+        sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | $SED -e 's/;/ /g'`
+      else
+        sys_lib_search_path_spec=`$ECHO "$sys_lib_search_path_spec" | $SED  -e "s/$PATH_SEPARATOR/ /g"`
+      fi
+      ;;
+    pw32*)
+      # pw32 DLLs use 'pw' prefix rather than 'lib'
+      library_names_spec='`echo ${libname} | sed -e 's/^lib/pw/'``echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext}'
+      ;;
+    esac
+    ;;
+
+  *)
+    library_names_spec='${libname}`echo ${release} | $SED -e 's/[.]/-/g'`${versuffix}${shared_ext} $libname.lib'
+    ;;
+  esac
+  dynamic_linker='Win32 ld.exe'
+  # FIXME: first we should search . and the directory the executable is in
+  shlibpath_var=PATH
+  ;;
+
+darwin* | rhapsody*)
+  dynamic_linker="$host_os dyld"
+  version_type=darwin
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${major}$shared_ext ${libname}$shared_ext'
+  soname_spec='${libname}${release}${major}$shared_ext'
+  shlibpath_overrides_runpath=yes
+  shlibpath_var=DYLD_LIBRARY_PATH
+  shrext_cmds='`test .$module = .yes && echo .so || echo .dylib`'
+
+  sys_lib_dlsearch_path_spec='/usr/local/lib /lib /usr/lib'
+  ;;
+
+dgux*)
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname$shared_ext'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  ;;
+
+freebsd1*)
+  dynamic_linker=no
+  ;;
+
+freebsd* | dragonfly*)
+  # DragonFly does not have aout.  When/if they implement a new
+  # versioning mechanism, adjust this.
+  if test -x /usr/bin/objformat; then
+    objformat=`/usr/bin/objformat`
+  else
+    case $host_os in
+    freebsd[123]*) objformat=aout ;;
+    *) objformat=elf ;;
+    esac
+  fi
+  version_type=freebsd-$objformat
+  case $version_type in
+    freebsd-elf*)
+      library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext} $libname${shared_ext}'
+      need_version=no
+      need_lib_prefix=no
+      ;;
+    freebsd-*)
+      library_names_spec='${libname}${release}${shared_ext}$versuffix $libname${shared_ext}$versuffix'
+      need_version=yes
+      ;;
+  esac
+  shlibpath_var=LD_LIBRARY_PATH
+  case $host_os in
+  freebsd2*)
+    shlibpath_overrides_runpath=yes
+    ;;
+  freebsd3.[01]* | freebsdelf3.[01]*)
+    shlibpath_overrides_runpath=yes
+    hardcode_into_libs=yes
+    ;;
+  freebsd3.[2-9]* | freebsdelf3.[2-9]* | \
+  freebsd4.[0-5] | freebsdelf4.[0-5] | freebsd4.1.1 | freebsdelf4.1.1)
+    shlibpath_overrides_runpath=no
+    hardcode_into_libs=yes
+    ;;
+  *) # from 4.6 on, and DragonFly
+    shlibpath_overrides_runpath=yes
+    hardcode_into_libs=yes
+    ;;
+  esac
+  ;;
+
+gnu*)
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}${major} ${libname}${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  hardcode_into_libs=yes
+  ;;
+
+hpux9* | hpux10* | hpux11*)
+  # Give a soname corresponding to the major version so that dld.sl refuses to
+  # link against other versions.
+  version_type=sunos
+  need_lib_prefix=no
+  need_version=no
+  case $host_cpu in
+  ia64*)
+    shrext_cmds='.so'
+    hardcode_into_libs=yes
+    dynamic_linker="$host_os dld.so"
+    shlibpath_var=LD_LIBRARY_PATH
+    shlibpath_overrides_runpath=yes # Unless +noenvvar is specified.
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+    soname_spec='${libname}${release}${shared_ext}$major'
+    if test "X$HPUX_IA64_MODE" = X32; then
+      sys_lib_search_path_spec="/usr/lib/hpux32 /usr/local/lib/hpux32 /usr/local/lib"
+    else
+      sys_lib_search_path_spec="/usr/lib/hpux64 /usr/local/lib/hpux64"
+    fi
+    sys_lib_dlsearch_path_spec=$sys_lib_search_path_spec
+    ;;
+  hppa*64*)
+    shrext_cmds='.sl'
+    hardcode_into_libs=yes
+    dynamic_linker="$host_os dld.sl"
+    shlibpath_var=LD_LIBRARY_PATH # How should we handle SHLIB_PATH
+    shlibpath_overrides_runpath=yes # Unless +noenvvar is specified.
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+    soname_spec='${libname}${release}${shared_ext}$major'
+    sys_lib_search_path_spec="/usr/lib/pa20_64 /usr/ccs/lib/pa20_64"
+    sys_lib_dlsearch_path_spec=$sys_lib_search_path_spec
+    ;;
+  *)
+    shrext_cmds='.sl'
+    dynamic_linker="$host_os dld.sl"
+    shlibpath_var=SHLIB_PATH
+    shlibpath_overrides_runpath=no # +s is required to enable SHLIB_PATH
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+    soname_spec='${libname}${release}${shared_ext}$major'
+    ;;
+  esac
+  # HP-UX runs *really* slowly unless shared libraries are mode 555.
+  postinstall_cmds='chmod 555 $lib'
+  ;;
+
+interix[3-9]*)
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major ${libname}${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  dynamic_linker='Interix 3.x ld.so.1 (PE, like ELF)'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=no
+  hardcode_into_libs=yes
+  ;;
+
+irix5* | irix6* | nonstopux*)
+  case $host_os in
+    nonstopux*) version_type=nonstopux ;;
+    *)
+       if test "$lt_cv_prog_gnu_ld" = yes; then
+               version_type=linux
+       else
+               version_type=irix
+       fi ;;
+  esac
+  need_lib_prefix=no
+  need_version=no
+  soname_spec='${libname}${release}${shared_ext}$major'
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major ${libname}${release}${shared_ext} $libname${shared_ext}'
+  case $host_os in
+  irix5* | nonstopux*)
+    libsuff= shlibsuff=
+    ;;
+  *)
+    case $LD in # libtool.m4 will add one of these switches to LD
+    *-32|*"-32 "|*-melf32bsmip|*"-melf32bsmip ")
+      libsuff= shlibsuff= libmagic=32-bit;;
+    *-n32|*"-n32 "|*-melf32bmipn32|*"-melf32bmipn32 ")
+      libsuff=32 shlibsuff=N32 libmagic=N32;;
+    *-64|*"-64 "|*-melf64bmip|*"-melf64bmip ")
+      libsuff=64 shlibsuff=64 libmagic=64-bit;;
+    *) libsuff= shlibsuff= libmagic=never-match;;
+    esac
+    ;;
+  esac
+  shlibpath_var=LD_LIBRARY${shlibsuff}_PATH
+  shlibpath_overrides_runpath=no
+  sys_lib_search_path_spec="/usr/lib${libsuff} /lib${libsuff} /usr/local/lib${libsuff}"
+  sys_lib_dlsearch_path_spec="/usr/lib${libsuff} /lib${libsuff}"
+  hardcode_into_libs=yes
+  ;;
+
+# No shared lib support for Linux oldld, aout, or coff.
+linux*oldld* | linux*aout* | linux*coff*)
+  dynamic_linker=no
+  ;;
+
+# This must be Linux ELF.
+linux* | k*bsd*-gnu)
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  finish_cmds='PATH="\$PATH:/sbin" ldconfig -n $libdir'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=no
+  # Some binutils ld are patched to set DT_RUNPATH
+  save_LDFLAGS=$LDFLAGS
+  save_libdir=$libdir
+  eval "libdir=/foo; wl=\"$lt_prog_compiler_wl_F77\"; \
+       LDFLAGS=\"\$LDFLAGS $hardcode_libdir_flag_spec_F77\""
+  cat >conftest.$ac_ext <<_ACEOF
+      program main
+
+      end
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_f77_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  if  ($OBJDUMP -p conftest$ac_exeext) 2>/dev/null | grep "RUNPATH.*$libdir" >/dev/null; then
+  shlibpath_overrides_runpath=yes
+fi
+
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+  LDFLAGS=$save_LDFLAGS
+  libdir=$save_libdir
+
+  # This implies no fast_install, which is unacceptable.
+  # Some rework will be needed to allow for fast_install
+  # before this can be enabled.
+  hardcode_into_libs=yes
+
+  # Append ld.so.conf contents to the search path
+  if test -f /etc/ld.so.conf; then
+    lt_ld_extra=`awk '/^include / { system(sprintf("cd /etc; cat %s 2>/dev/null", \$2)); skip = 1; } { if (!skip) print \$0; skip = 0; }' < /etc/ld.so.conf | $SED -e 's/#.*//;/^[      ]*hwcap[        ]/d;s/[:,      ]/ /g;s/=[^=]*$//;s/=[^= ]* / /g;/^$/d' | tr '\n' ' '`
+    sys_lib_dlsearch_path_spec="/lib /usr/lib $lt_ld_extra"
+  fi
+
+  # We used to test for /lib/ld.so.1 and disable shared libraries on
+  # powerpc, because MkLinux only supported shared libraries with the
+  # GNU dynamic linker.  Since this was broken with cross compilers,
+  # most powerpc-linux boxes support dynamic linking these days and
+  # people can always --disable-shared, the test was removed, and we
+  # assume the GNU/Linux dynamic linker is in use.
+  dynamic_linker='GNU/Linux ld.so'
+  ;;
+
+netbsdelf*-gnu)
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major ${libname}${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=no
+  hardcode_into_libs=yes
+  dynamic_linker='NetBSD ld.elf_so'
+  ;;
+
+netbsd*)
+  version_type=sunos
+  need_lib_prefix=no
+  need_version=no
+  if echo __ELF__ | $CC -E - | $GREP __ELF__ >/dev/null; then
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${shared_ext}$versuffix'
+    finish_cmds='PATH="\$PATH:/sbin" ldconfig -m $libdir'
+    dynamic_linker='NetBSD (a.out) ld.so'
+  else
+    library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major ${libname}${shared_ext}'
+    soname_spec='${libname}${release}${shared_ext}$major'
+    dynamic_linker='NetBSD ld.elf_so'
+  fi
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=yes
+  hardcode_into_libs=yes
+  ;;
+
+newsos6)
+  version_type=linux
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=yes
+  ;;
+
+*nto* | *qnx*)
+  version_type=qnx
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=no
+  hardcode_into_libs=yes
+  dynamic_linker='ldqnx.so'
+  ;;
+
+openbsd*)
+  version_type=sunos
+  sys_lib_dlsearch_path_spec="/usr/lib"
+  need_lib_prefix=no
+  # Some older versions of OpenBSD (3.3 at least) *do* need versioned libs.
+  case $host_os in
+    openbsd3.3 | openbsd3.3.*) need_version=yes ;;
+    *)                         need_version=no  ;;
+  esac
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${shared_ext}$versuffix'
+  finish_cmds='PATH="\$PATH:/sbin" ldconfig -m $libdir'
+  shlibpath_var=LD_LIBRARY_PATH
+  if test -z "`echo __ELF__ | $CC -E - | $GREP __ELF__`" || test "$host_os-$host_cpu" = "openbsd2.8-powerpc"; then
+    case $host_os in
+      openbsd2.[89] | openbsd2.[89].*)
+       shlibpath_overrides_runpath=no
+       ;;
+      *)
+       shlibpath_overrides_runpath=yes
+       ;;
+      esac
+  else
+    shlibpath_overrides_runpath=yes
+  fi
+  ;;
+
+os2*)
+  libname_spec='$name'
+  shrext_cmds=".dll"
+  need_lib_prefix=no
+  library_names_spec='$libname${shared_ext} $libname.a'
+  dynamic_linker='OS/2 ld.exe'
+  shlibpath_var=LIBPATH
+  ;;
+
+osf3* | osf4* | osf5*)
+  version_type=osf
+  need_lib_prefix=no
+  need_version=no
+  soname_spec='${libname}${release}${shared_ext}$major'
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  shlibpath_var=LD_LIBRARY_PATH
+  sys_lib_search_path_spec="/usr/shlib /usr/ccs/lib /usr/lib/cmplrs/cc /usr/lib /usr/local/lib /var/shlib"
+  sys_lib_dlsearch_path_spec="$sys_lib_search_path_spec"
+  ;;
+
+rdos*)
+  dynamic_linker=no
+  ;;
+
+solaris*)
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=yes
+  hardcode_into_libs=yes
+  # ldd complains unless libraries are executable
+  postinstall_cmds='chmod +x $lib'
+  ;;
+
+sunos4*)
+  version_type=sunos
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${shared_ext}$versuffix'
+  finish_cmds='PATH="\$PATH:/usr/etc" ldconfig $libdir'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=yes
+  if test "$with_gnu_ld" = yes; then
+    need_lib_prefix=no
+  fi
+  need_version=yes
+  ;;
+
+sysv4 | sysv4.3*)
+  version_type=linux
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  case $host_vendor in
+    sni)
+      shlibpath_overrides_runpath=no
+      need_lib_prefix=no
+      runpath_var=LD_RUN_PATH
+      ;;
+    siemens)
+      need_lib_prefix=no
+      ;;
+    motorola)
+      need_lib_prefix=no
+      need_version=no
+      shlibpath_overrides_runpath=no
+      sys_lib_search_path_spec='/lib /usr/lib /usr/ccs/lib'
+      ;;
+  esac
+  ;;
+
+sysv4*MP*)
+  if test -d /usr/nec ;then
+    version_type=linux
+    library_names_spec='$libname${shared_ext}.$versuffix $libname${shared_ext}.$major $libname${shared_ext}'
+    soname_spec='$libname${shared_ext}.$major'
+    shlibpath_var=LD_LIBRARY_PATH
+  fi
+  ;;
+
+sysv5* | sco3.2v5* | sco5v6* | unixware* | OpenUNIX* | sysv4*uw2*)
+  version_type=freebsd-elf
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext} $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=yes
+  hardcode_into_libs=yes
+  if test "$with_gnu_ld" = yes; then
+    sys_lib_search_path_spec='/usr/local/lib /usr/gnu/lib /usr/ccs/lib /usr/lib /lib'
+  else
+    sys_lib_search_path_spec='/usr/ccs/lib /usr/lib'
+    case $host_os in
+      sco3.2v5*)
+        sys_lib_search_path_spec="$sys_lib_search_path_spec /lib"
+       ;;
+    esac
+  fi
+  sys_lib_dlsearch_path_spec='/usr/lib'
+  ;;
+
+tpf*)
+  # TPF is a cross-target only.  Preferred cross-host = GNU/Linux.
+  version_type=linux
+  need_lib_prefix=no
+  need_version=no
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  shlibpath_var=LD_LIBRARY_PATH
+  shlibpath_overrides_runpath=no
+  hardcode_into_libs=yes
+  ;;
+
+uts4*)
+  version_type=linux
+  library_names_spec='${libname}${release}${shared_ext}$versuffix ${libname}${release}${shared_ext}$major $libname${shared_ext}'
+  soname_spec='${libname}${release}${shared_ext}$major'
+  shlibpath_var=LD_LIBRARY_PATH
+  ;;
+
+*)
+  dynamic_linker=no
+  ;;
+esac
+{ $as_echo "$as_me:$LINENO: result: $dynamic_linker" >&5
+$as_echo "$dynamic_linker" >&6; }
+test "$dynamic_linker" = no && can_build_shared=no
+
+variables_saved_for_relink="PATH $shlibpath_var $runpath_var"
+if test "$GCC" = yes; then
+  variables_saved_for_relink="$variables_saved_for_relink GCC_EXEC_PREFIX COMPILER_PATH LIBRARY_PATH"
+fi
+
+if test "${lt_cv_sys_lib_search_path_spec+set}" = set; then
+  sys_lib_search_path_spec="$lt_cv_sys_lib_search_path_spec"
+fi
+if test "${lt_cv_sys_lib_dlsearch_path_spec+set}" = set; then
+  sys_lib_dlsearch_path_spec="$lt_cv_sys_lib_dlsearch_path_spec"
+fi
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+    { $as_echo "$as_me:$LINENO: checking how to hardcode library paths into programs" >&5
+$as_echo_n "checking how to hardcode library paths into programs... " >&6; }
+hardcode_action_F77=
+if test -n "$hardcode_libdir_flag_spec_F77" ||
+   test -n "$runpath_var_F77" ||
+   test "X$hardcode_automatic_F77" = "Xyes" ; then
+
+  # We can hardcode non-existent directories.
+  if test "$hardcode_direct_F77" != no &&
+     # If the only mechanism to avoid hardcoding is shlibpath_var, we
+     # have to relink, otherwise we might link with an installed library
+     # when we should be linking with a yet-to-be-installed one
+     ## test "$_LT_TAGVAR(hardcode_shlibpath_var, F77)" != no &&
+     test "$hardcode_minus_L_F77" != no; then
+    # Linking always hardcodes the temporary library directory.
+    hardcode_action_F77=relink
+  else
+    # We can link without hardcoding, and we can hardcode nonexisting dirs.
+    hardcode_action_F77=immediate
+  fi
+else
+  # We cannot hardcode anything, or else we can only hardcode existing
+  # directories.
+  hardcode_action_F77=unsupported
+fi
+{ $as_echo "$as_me:$LINENO: result: $hardcode_action_F77" >&5
+$as_echo "$hardcode_action_F77" >&6; }
+
+if test "$hardcode_action_F77" = relink ||
+   test "$inherit_rpath_F77" = yes; then
+  # Fast installation is not supported
+  enable_fast_install=no
+elif test "$shlibpath_overrides_runpath" = yes ||
+     test "$enable_shared" = no; then
+  # Fast installation is not necessary
+  enable_fast_install=needless
+fi
+
+
+
+
+
+
+
+  fi # test -n "$compiler"
+
+  GCC=$lt_save_GCC
+  CC="$lt_save_CC"
+fi # test "$_lt_disable_F77" != yes
+
+ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+
+        ac_ext=f
+ac_compile='$F77 -c $FFLAGS conftest.$ac_ext >&5'
+ac_link='$F77 -o conftest$ac_exeext $FFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_f77_compiler_gnu
+{ $as_echo "$as_me:$LINENO: checking how to get verbose linking output from $F77" >&5
+$as_echo_n "checking how to get verbose linking output from $F77... " >&6; }
+if test "${ac_cv_prog_f77_v+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.$ac_ext <<_ACEOF
+      program main
+
+      end
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_f77_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_cv_prog_f77_v=
+# Try some options frequently used verbose output
+for ac_verb in -v -verbose --verbose -V -\#\#\#; do
+  cat >conftest.$ac_ext <<_ACEOF
+      program main
+
+      end
+_ACEOF
+
+# Compile and link our simple test program by passing a flag (argument
+# 1 to this macro) to the Fortran compiler in order to get
+# "verbose" output that we can then parse for the Fortran linker
+# flags.
+ac_save_FFLAGS=$FFLAGS
+FFLAGS="$FFLAGS $ac_verb"
+eval "set x $ac_link"
+shift
+$as_echo "$as_me:$LINENO: $*" >&5
+# gfortran 4.3 outputs lines setting COLLECT_GCC_OPTIONS, COMPILER_PATH,
+# LIBRARY_PATH; skip all such settings.
+ac_f77_v_output=`eval $ac_link 5>&1 2>&1 |
+  grep -v 'Driving:' | grep -v "^[_$as_cr_Letters][_$as_cr_alnum]*="`
+$as_echo "$ac_f77_v_output" >&5
+FFLAGS=$ac_save_FFLAGS
+
+rm -rf conftest*
+
+# On HP/UX there is a line like: "LPATH is: /foo:/bar:/baz" where
+# /foo, /bar, and /baz are search directories for the Fortran linker.
+# Here, we change these into -L/foo -L/bar -L/baz (and put it first):
+ac_f77_v_output="`echo $ac_f77_v_output |
+       grep 'LPATH is:' |
+       sed 's|.*LPATH is\(: *[^ ]*\).*|\1|;s|: */| -L/|g'` $ac_f77_v_output"
+
+# FIXME: we keep getting bitten by quoted arguments; a more general fix
+#        that detects unbalanced quotes in FLIBS should be implemented
+#        and (ugh) tested at some point.
+case $ac_f77_v_output in
+  # If we are using xlf then replace all the commas with spaces.
+  *xlfentry*)
+    ac_f77_v_output=`echo $ac_f77_v_output | sed 's/,/ /g'` ;;
+
+  # With Intel ifc, ignore the quoted -mGLOB_options_string stuff (quoted
+  # $LIBS confuse us, and the libraries appear later in the output anyway).
+  *mGLOB_options_string*)
+    ac_f77_v_output=`echo $ac_f77_v_output | sed 's/"-mGLOB[^"]*"/ /g'` ;;
+
+  # Portland Group compiler has singly- or doubly-quoted -cmdline argument
+  # Singly-quoted arguments were reported for versions 5.2-4 and 6.0-4.
+  # Doubly-quoted arguments were reported for "PGF90/x86 Linux/x86 5.0-2".
+  *-cmdline\ * | *-ignore\ * | *-def\ *)
+    ac_f77_v_output=`echo $ac_f77_v_output | sed "\
+        s/-cmdline  *'[^']*'/ /g; s/-cmdline  *\"[^\"]*\"/ /g
+        s/-ignore  *'[^']*'/ /g; s/-ignore  *\"[^\"]*\"/ /g
+        s/-def  *'[^']*'/ /g; s/-def  *\"[^\"]*\"/ /g"` ;;
+
+  # If we are using Cray Fortran then delete quotes.
+  *cft90*)
+    ac_f77_v_output=`echo $ac_f77_v_output | sed 's/"//g'` ;;
+esac
+
+
+  # look for -l* and *.a constructs in the output
+  for ac_arg in $ac_f77_v_output; do
+     case $ac_arg in
+        [\\/]*.a | ?:[\\/]*.a | -[lLRu]*)
+          ac_cv_prog_f77_v=$ac_verb
+          break 2 ;;
+     esac
+  done
+done
+if test -z "$ac_cv_prog_f77_v"; then
+   { $as_echo "$as_me:$LINENO: WARNING: cannot determine how to obtain linking information from $F77" >&5
+$as_echo "$as_me: WARNING: cannot determine how to obtain linking information from $F77" >&2;}
+fi
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       { $as_echo "$as_me:$LINENO: WARNING: compilation failed" >&5
+$as_echo "$as_me: WARNING: compilation failed" >&2;}
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_prog_f77_v" >&5
+$as_echo "$ac_cv_prog_f77_v" >&6; }
+{ $as_echo "$as_me:$LINENO: checking for Fortran 77 libraries of $F77" >&5
+$as_echo_n "checking for Fortran 77 libraries of $F77... " >&6; }
+if test "${ac_cv_f77_libs+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test "x$FLIBS" != "x"; then
+  ac_cv_f77_libs="$FLIBS" # Let the user override the test.
+else
+
+cat >conftest.$ac_ext <<_ACEOF
+      program main
+
+      end
+_ACEOF
+
+# Compile and link our simple test program by passing a flag (argument
+# 1 to this macro) to the Fortran compiler in order to get
+# "verbose" output that we can then parse for the Fortran linker
+# flags.
+ac_save_FFLAGS=$FFLAGS
+FFLAGS="$FFLAGS $ac_cv_prog_f77_v"
+eval "set x $ac_link"
+shift
+$as_echo "$as_me:$LINENO: $*" >&5
+# gfortran 4.3 outputs lines setting COLLECT_GCC_OPTIONS, COMPILER_PATH,
+# LIBRARY_PATH; skip all such settings.
+ac_f77_v_output=`eval $ac_link 5>&1 2>&1 |
+  grep -v 'Driving:' | grep -v "^[_$as_cr_Letters][_$as_cr_alnum]*="`
+$as_echo "$ac_f77_v_output" >&5
+FFLAGS=$ac_save_FFLAGS
+
+rm -rf conftest*
+
+# On HP/UX there is a line like: "LPATH is: /foo:/bar:/baz" where
+# /foo, /bar, and /baz are search directories for the Fortran linker.
+# Here, we change these into -L/foo -L/bar -L/baz (and put it first):
+ac_f77_v_output="`echo $ac_f77_v_output |
+       grep 'LPATH is:' |
+       sed 's|.*LPATH is\(: *[^ ]*\).*|\1|;s|: */| -L/|g'` $ac_f77_v_output"
+
+# FIXME: we keep getting bitten by quoted arguments; a more general fix
+#        that detects unbalanced quotes in FLIBS should be implemented
+#        and (ugh) tested at some point.
+case $ac_f77_v_output in
+  # If we are using xlf then replace all the commas with spaces.
+  *xlfentry*)
+    ac_f77_v_output=`echo $ac_f77_v_output | sed 's/,/ /g'` ;;
+
+  # With Intel ifc, ignore the quoted -mGLOB_options_string stuff (quoted
+  # $LIBS confuse us, and the libraries appear later in the output anyway).
+  *mGLOB_options_string*)
+    ac_f77_v_output=`echo $ac_f77_v_output | sed 's/"-mGLOB[^"]*"/ /g'` ;;
+
+  # Portland Group compiler has singly- or doubly-quoted -cmdline argument
+  # Singly-quoted arguments were reported for versions 5.2-4 and 6.0-4.
+  # Doubly-quoted arguments were reported for "PGF90/x86 Linux/x86 5.0-2".
+  *-cmdline\ * | *-ignore\ * | *-def\ *)
+    ac_f77_v_output=`echo $ac_f77_v_output | sed "\
+        s/-cmdline  *'[^']*'/ /g; s/-cmdline  *\"[^\"]*\"/ /g
+        s/-ignore  *'[^']*'/ /g; s/-ignore  *\"[^\"]*\"/ /g
+        s/-def  *'[^']*'/ /g; s/-def  *\"[^\"]*\"/ /g"` ;;
+
+  # If we are using Cray Fortran then delete quotes.
+  *cft90*)
+    ac_f77_v_output=`echo $ac_f77_v_output | sed 's/"//g'` ;;
+esac
+
+
+
+ac_cv_f77_libs=
+
+# Save positional arguments (if any)
+ac_save_positional="$@"
+
+set X $ac_f77_v_output
+while test $# != 1; do
+  shift
+  ac_arg=$1
+  case $ac_arg in
+        [\\/]*.a | ?:[\\/]*.a)
+            ac_exists=false
+  for ac_i in $ac_cv_f77_libs; do
+    if test x"$ac_arg" = x"$ac_i"; then
+      ac_exists=true
+      break
+    fi
+  done
+
+  if test x"$ac_exists" = xtrue; then
+  :
+else
+  ac_cv_f77_libs="$ac_cv_f77_libs $ac_arg"
+fi
+
+          ;;
+        -bI:*)
+            ac_exists=false
+  for ac_i in $ac_cv_f77_libs; do
+    if test x"$ac_arg" = x"$ac_i"; then
+      ac_exists=true
+      break
+    fi
+  done
+
+  if test x"$ac_exists" = xtrue; then
+  :
+else
+  if test "$ac_compiler_gnu" = yes; then
+  for ac_link_opt in $ac_arg; do
+    ac_cv_f77_libs="$ac_cv_f77_libs -Xlinker $ac_link_opt"
+  done
+else
+  ac_cv_f77_libs="$ac_cv_f77_libs $ac_arg"
+fi
+fi
+
+          ;;
+          # Ignore these flags.
+        -lang* | -lcrt*.o | -lc | -lgcc* | -lSystem | -libmil | -LANG:=* | -LIST:* | -LNO:*)
+          ;;
+        -lkernel32)
+          test x"$CYGWIN" != xyes && ac_cv_f77_libs="$ac_cv_f77_libs $ac_arg"
+          ;;
+        -[LRuYz])
+          # These flags, when seen by themselves, take an argument.
+          # We remove the space between option and argument and re-iterate
+          # unless we find an empty arg or a new option (starting with -)
+         case $2 in
+            "" | -*);;
+            *)
+               ac_arg="$ac_arg$2"
+               shift; shift
+               set X $ac_arg "$@"
+               ;;
+         esac
+          ;;
+        -YP,*)
+          for ac_j in `$as_echo "$ac_arg" | sed -e 's/-YP,/-L/;s/:/ -L/g'`; do
+              ac_exists=false
+  for ac_i in $ac_cv_f77_libs; do
+    if test x"$ac_j" = x"$ac_i"; then
+      ac_exists=true
+      break
+    fi
+  done
+
+  if test x"$ac_exists" = xtrue; then
+  :
+else
+  ac_arg="$ac_arg $ac_j"
+                               ac_cv_f77_libs="$ac_cv_f77_libs $ac_j"
+fi
+
+          done
+          ;;
+        -[lLR]*)
+            ac_exists=false
+  for ac_i in $ac_cv_f77_libs; do
+    if test x"$ac_arg" = x"$ac_i"; then
+      ac_exists=true
+      break
+    fi
+  done
+
+  if test x"$ac_exists" = xtrue; then
+  :
+else
+  ac_cv_f77_libs="$ac_cv_f77_libs $ac_arg"
+fi
+
+          ;;
+       -zallextract*| -zdefaultextract)
+         ac_cv_f77_libs="$ac_cv_f77_libs $ac_arg"
+         ;;
+          # Ignore everything else.
+  esac
+done
+# restore positional arguments
+set X $ac_save_positional; shift
+
+# We only consider "LD_RUN_PATH" on Solaris systems.  If this is seen,
+# then we insist that the "run path" must be an absolute path (i.e. it
+# must begin with a "/").
+case `(uname -sr) 2>/dev/null` in
+   "SunOS 5"*)
+      ac_ld_run_path=`$as_echo "$ac_f77_v_output" |
+                        sed -n 's,^.*LD_RUN_PATH *= *\(/[^ ]*\).*$,-R\1,p'`
+      test "x$ac_ld_run_path" != x &&
+        if test "$ac_compiler_gnu" = yes; then
+  for ac_link_opt in $ac_ld_run_path; do
+    ac_cv_f77_libs="$ac_cv_f77_libs -Xlinker $ac_link_opt"
+  done
+else
+  ac_cv_f77_libs="$ac_cv_f77_libs $ac_ld_run_path"
+fi
+      ;;
+esac
+fi # test "x$[]_AC_LANG_PREFIX[]LIBS" = "x"
+
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_f77_libs" >&5
+$as_echo "$ac_cv_f77_libs" >&6; }
+FLIBS="$ac_cv_f77_libs"
+
+
+ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+    fi
+
+
+
+
+       no_undefined=""
+       case "${host_os}" in
+               *mingw* | *cygwin*)
+
+               # on MinGW/Cygwin extra LDFLAGS are required
+               no_undefined="-no-undefined"
+               ;;
+       esac
+       NO_UNDEFINED=$no_undefined
+
+
+
+
+
+
+        # Find any Python interpreter.
+    if test -z "$PYTHON"; then
+      for ac_prog in python python2 python2.5 python2.4 python2.3 python2.2 python2.1 python2.0 python1.6 python1.5
+do
+  # Extract the first word of "$ac_prog", so it can be a program name with args.
+set dummy $ac_prog; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_path_PYTHON+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  case $PYTHON in
+  [\\/]* | ?:[\\/]*)
+  ac_cv_path_PYTHON="$PYTHON" # Let the user override the test with a path.
+  ;;
+  *)
+  as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_path_PYTHON="$as_dir/$ac_word$ac_exec_ext"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+  ;;
+esac
+fi
+PYTHON=$ac_cv_path_PYTHON
+if test -n "$PYTHON"; then
+  { $as_echo "$as_me:$LINENO: result: $PYTHON" >&5
+$as_echo "$PYTHON" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+  test -n "$PYTHON" && break
+done
+test -n "$PYTHON" || PYTHON=":"
+
+    fi
+    am_display_PYTHON=python
+
+
+  if test "$PYTHON" = :; then
+      { { $as_echo "$as_me:$LINENO: error: no suitable Python interpreter found" >&5
+$as_echo "$as_me: error: no suitable Python interpreter found" >&2;}
+   { (exit 1); exit 1; }; }
+  else
+
+
+  { $as_echo "$as_me:$LINENO: checking for $am_display_PYTHON version" >&5
+$as_echo_n "checking for $am_display_PYTHON version... " >&6; }
+if test "${am_cv_python_version+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  am_cv_python_version=`$PYTHON -c "import sys; print sys.version[:3]"`
+fi
+{ $as_echo "$as_me:$LINENO: result: $am_cv_python_version" >&5
+$as_echo "$am_cv_python_version" >&6; }
+  PYTHON_VERSION=$am_cv_python_version
+
+
+
+  PYTHON_PREFIX='${prefix}'
+
+  PYTHON_EXEC_PREFIX='${exec_prefix}'
+
+
+
+  { $as_echo "$as_me:$LINENO: checking for $am_display_PYTHON platform" >&5
+$as_echo_n "checking for $am_display_PYTHON platform... " >&6; }
+if test "${am_cv_python_platform+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  am_cv_python_platform=`$PYTHON -c "import sys; print sys.platform"`
+fi
+{ $as_echo "$as_me:$LINENO: result: $am_cv_python_platform" >&5
+$as_echo "$am_cv_python_platform" >&6; }
+  PYTHON_PLATFORM=$am_cv_python_platform
+
+
+
+
+                { $as_echo "$as_me:$LINENO: checking for $am_display_PYTHON script directory" >&5
+$as_echo_n "checking for $am_display_PYTHON script directory... " >&6; }
+if test "${am_cv_python_pythondir+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test "x$prefix" = xNONE
+     then
+       py_prefix_arg=
+     else
+       py_prefix_arg=",prefix='$prefix'"
+     fi
+     am_cv_python_pythondir=`$PYTHON -c "from distutils import sysconfig; print sysconfig.get_python_lib(0,0$py_prefix_arg)" -n -q install $py_prefix_arg 2>/dev/null ||
+     echo "$PYTHON_PREFIX/lib/python$PYTHON_VERSION/site-packages"`
+fi
+{ $as_echo "$as_me:$LINENO: result: $am_cv_python_pythondir" >&5
+$as_echo "$am_cv_python_pythondir" >&6; }
+  pythondir=$am_cv_python_pythondir
+
+
+
+  pkgpythondir=\${pythondir}/$PACKAGE
+
+
+            { $as_echo "$as_me:$LINENO: checking for $am_display_PYTHON extension module directory" >&5
+$as_echo_n "checking for $am_display_PYTHON extension module directory... " >&6; }
+if test "${am_cv_python_pyexecdir+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test "x$exec_prefix" = xNONE
+     then
+       py_exec_prefix_arg=$py_prefix_arg
+     else
+       py_exec_prefix_arg=",prefix='$exec_prefix'"
+     fi
+     am_cv_python_pyexecdir=`$PYTHON -c "from distutils import sysconfig; print sysconfig.get_python_lib(1,0$py_exec_prefix_arg)" -n -q install $py_exec_prefix_arg 2>/dev/null ||
+     echo "$PYTHON_EXEC_PREFIX/lib/python$PYTHON_VERSION/site-packages"`
+fi
+{ $as_echo "$as_me:$LINENO: result: $am_cv_python_pyexecdir" >&5
+$as_echo "$am_cv_python_pyexecdir" >&6; }
+  pyexecdir=$am_cv_python_pyexecdir
+
+
+
+  pkgpyexecdir=\${pyexecdir}/$PACKAGE
+
+
+
+  fi
+
+
+
+
+
+
+
+# Check whether --with-pythondir was given.
+if test "${with_pythondir+set}" = set; then
+  withval=$with_pythondir; with_pythondir=${withval}
+else
+  with_pythondir=${prefix}/lib/python2.5/site-packages
+fi
+
+
+       # if we're cross-compiling, asking the host python about any of
+       # this is completely useless...
+
+       if test x$cross_compiling != xno
+       then
+               pythondir=$with_pythondir
+               pyexecdir=$with_pythondir
+
+
+        else
+
+           # For Fedora Core 5 and 6, see ticket:39 in Trac
+           if test -f '/etc/redhat-release'; then
+                   if  (echo $pyexecdir | grep -q lib64); then
+                           pythondir="$pyexecdir"
+                   fi
+           fi
+
+           # Check for Python include path
+           { $as_echo "$as_me:$LINENO: checking for Python include path" >&5
+$as_echo_n "checking for Python include path... " >&6; }
+           if test -z "$PYTHON" ; then
+                   { { $as_echo "$as_me:$LINENO: error: cannot find Python path" >&5
+$as_echo "$as_me: error: cannot find Python path" >&2;}
+   { (exit 1); exit 1; }; }
+           fi
+
+           # ask distutils which include path we should use
+           python_cmd='
+import distutils.sysconfig
+import os
+path = distutils.sysconfig.get_python_inc(plat_specific=False)
+if os.sep == "\\":
+  path = path.replace("\\", "/")
+print path
+'
+           python_path=`$PYTHON -c "$python_cmd"`
+           { $as_echo "$as_me:$LINENO: result: $python_path" >&5
+$as_echo "$python_path" >&6; }
+           if test -z "$python_path" ; then
+                   { { $as_echo "$as_me:$LINENO: error: cannot find Python include path" >&5
+$as_echo "$as_me: error: cannot find Python include path" >&2;}
+   { (exit 1); exit 1; }; }
+           fi
+
+           PYTHON_CPPFLAGS=-I$python_path
+
+
+           # Check for Python headers usability
+           python_save_CPPFLAGS=$CPPFLAGS
+           CPPFLAGS="$CPPFLAGS $PYTHON_CPPFLAGS"
+
+for ac_header in Python.h
+do
+as_ac_Header=`$as_echo "ac_cv_header_$ac_header" | $as_tr_sh`
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  { $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
+$as_echo_n "checking for $ac_header... " >&6; }
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+fi
+ac_res=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+else
+  # Is the header compilable?
+{ $as_echo "$as_me:$LINENO: checking $ac_header usability" >&5
+$as_echo_n "checking $ac_header usability... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+$ac_includes_default
+#include <$ac_header>
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_header_compiler=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_header_compiler=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_compiler" >&5
+$as_echo "$ac_header_compiler" >&6; }
+
+# Is the header present?
+{ $as_echo "$as_me:$LINENO: checking $ac_header presence" >&5
+$as_echo_n "checking $ac_header presence... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <$ac_header>
+_ACEOF
+if { (ac_try="$ac_cpp conftest.$ac_ext"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } >/dev/null && {
+        test -z "$ac_c_preproc_warn_flag$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       }; then
+  ac_header_preproc=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+  ac_header_preproc=no
+fi
+
+rm -f conftest.err conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_preproc" >&5
+$as_echo "$ac_header_preproc" >&6; }
+
+# So?  What about this header?
+case $ac_header_compiler:$ac_header_preproc:$ac_c_preproc_warn_flag in
+  yes:no: )
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: accepted by the compiler, rejected by the preprocessor!" >&5
+$as_echo "$as_me: WARNING: $ac_header: accepted by the compiler, rejected by the preprocessor!" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: proceeding with the compiler's result" >&5
+$as_echo "$as_me: WARNING: $ac_header: proceeding with the compiler's result" >&2;}
+    ac_header_preproc=yes
+    ;;
+  no:yes:* )
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: present but cannot be compiled" >&5
+$as_echo "$as_me: WARNING: $ac_header: present but cannot be compiled" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header:     check for missing prerequisite headers?" >&5
+$as_echo "$as_me: WARNING: $ac_header:     check for missing prerequisite headers?" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: see the Autoconf documentation" >&5
+$as_echo "$as_me: WARNING: $ac_header: see the Autoconf documentation" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header:     section \"Present But Cannot Be Compiled\"" >&5
+$as_echo "$as_me: WARNING: $ac_header:     section \"Present But Cannot Be Compiled\"" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: proceeding with the preprocessor's result" >&5
+$as_echo "$as_me: WARNING: $ac_header: proceeding with the preprocessor's result" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: in the future, the compiler will take precedence" >&5
+$as_echo "$as_me: WARNING: $ac_header: in the future, the compiler will take precedence" >&2;}
+
+    ;;
+esac
+{ $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
+$as_echo_n "checking for $ac_header... " >&6; }
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  eval "$as_ac_Header=\$ac_header_preproc"
+fi
+ac_res=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+
+fi
+as_val=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+   if test "x$as_val" = x""yes; then
+  cat >>confdefs.h <<_ACEOF
+#define `$as_echo "HAVE_$ac_header" | $as_tr_cpp` 1
+_ACEOF
+
+else
+  { { $as_echo "$as_me:$LINENO: error: cannot find usable Python headers" >&5
+$as_echo "$as_me: error: cannot find usable Python headers" >&2;}
+   { (exit 1); exit 1; }; }
+fi
+
+done
+
+           CPPFLAGS="$python_save_CPPFLAGS"
+
+           # Only set this on mingw and cygwin hosts, (only implemented
+           # for mingw host, for crosscompiling you need to trick this)
+
+           PYTHON_LDFLAGS=""
+           case $host_os in
+                *mingw* | *cygwin* )
+             { $as_echo "$as_me:$LINENO: checking for Python LDFLAGS" >&5
+$as_echo_n "checking for Python LDFLAGS... " >&6; }
+
+           python_cmd='
+import distutils.sysconfig
+import os
+path = distutils.sysconfig.get_config_var("LIBPL")
+if path == None:
+  path = distutils.sysconfig.PREFIX + "/libs"
+if os.sep == "\\":
+  path = path.replace("\\", "/")
+print path
+'
+             python_stdlib_path=`$PYTHON -c "$python_cmd"`
+
+             python_version_nodot=`echo $PYTHON_VERSION | sed "s,\.,,"`
+             libpython_name="python$PYTHON_VERSION"
+
+             # Standard install of python for win32 has libpython24.a
+             # instead of libpython2.4.a so we check for the library
+             # without the dot in the version number.
+
+             python_stdlib_filename=`find $python_stdlib_path -type f -name libpython$python_version_nodot.* -print | sed "1q"`
+             if test -n "$python_stdlib_filename" ; then
+                   libpython_name="python$python_version_nodot"
+             fi
+
+             PYTHON_LDFLAGS="-L$python_stdlib_path -l$libpython_name"
+             { $as_echo "$as_me:$LINENO: result: $PYTHON_LDFLAGS" >&5
+$as_echo "$PYTHON_LDFLAGS" >&6; }
+             # Replace all backslashes in PYTHON Paths with forward slashes
+             pythondir=`echo $pythondir |sed 's,\\\\,/,g'`
+             pkgpythondir=`echo $pkgpythondir |sed 's,\\\\,/,g'`
+             pyexecdir=`echo $pyexecdir |sed 's,\\\\,/,g'`
+             pkgpyexecdir=`echo $pkgpyexecdir |sed 's,\\\\,/,g'`
+             ;;
+           esac
+
+
+       fi
+
+
+
+
+
+
+
+
+       # Extract the first word of "swig", so it can be a program name with args.
+set dummy swig; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_SWIG+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$SWIG"; then
+  ac_cv_prog_SWIG="$SWIG" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_SWIG="`which swig`"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+SWIG=$ac_cv_prog_SWIG
+if test -n "$SWIG"; then
+  { $as_echo "$as_me:$LINENO: result: $SWIG" >&5
+$as_echo "$SWIG" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+       if test -z "$SWIG" ; then
+               { { $as_echo "$as_me:$LINENO: error: Cannot find 'swig' program.  SWIG version >= 1.3.31 required" >&5
+$as_echo "$as_me: error: Cannot find 'swig' program.  SWIG version >= 1.3.31 required" >&2;}
+   { (exit 1); exit 1; }; }
+               SWIG=false
+       elif test -n "1.3.31" ; then
+               { $as_echo "$as_me:$LINENO: checking for SWIG version" >&5
+$as_echo_n "checking for SWIG version... " >&6; }
+               swig_version=`$SWIG -version 2>&1 | \
+                       awk '/^SWIG Version [0-9]+\.[0-9]+\.[0-9]+.*$/ { split($3,a,"[^.0-9]"); print a[1] }'`
+               { $as_echo "$as_me:$LINENO: result: $swig_version" >&5
+$as_echo "$swig_version" >&6; }
+               if test -n "$swig_version" ; then
+                       swig_version=`echo $swig_version | \
+                               awk '{ split($1,a,"\."); print a[1]*1000000+a[2]*1000+a[3] }' 2>/dev/null`
+                       swig_required_version=`echo 1.3.31 | \
+                               awk '{ split($1,a,"\."); print a[1]*1000000+a[2]*1000+a[3] }' 2>/dev/null`
+                       if test $swig_required_version -gt $swig_version ; then
+                               { { $as_echo "$as_me:$LINENO: error: SWIG version >= 1.3.31 required" >&5
+$as_echo "$as_me: error: SWIG version >= 1.3.31 required" >&2;}
+   { (exit 1); exit 1; }; }
+                       fi
+               else
+                       { { $as_echo "$as_me:$LINENO: error: cannot determine SWIG version" >&5
+$as_echo "$as_me: error: cannot determine SWIG version" >&2;}
+   { (exit 1); exit 1; }; }
+               fi
+       fi
+
+
+
+
+       if test "$SWIG" != "false" ; then
+               SWIG="$SWIG -c++"
+       fi
+
+
+
+
+       if test "$SWIG" != "false" ; then
+               SWIG_PYTHON_LIB=-lswigpy
+
+               SWIG_PYTHON_OPT=-python
+
+       fi
+       SWIG_PYTHON_CPPFLAGS=$PYTHON_CPPFLAGS
+
+
+
+
+# Extract the first word of "xmlto", so it can be a program name with args.
+set dummy xmlto; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_XMLTO+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$XMLTO"; then
+  ac_cv_prog_XMLTO="$XMLTO" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_XMLTO="yes"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+XMLTO=$ac_cv_prog_XMLTO
+if test -n "$XMLTO"; then
+  { $as_echo "$as_me:$LINENO: result: $XMLTO" >&5
+$as_echo "$XMLTO" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+ if test x$XMLTO = xyes; then
+  HAS_XMLTO_TRUE=
+  HAS_XMLTO_FALSE='#'
+else
+  HAS_XMLTO_TRUE='#'
+  HAS_XMLTO_FALSE=
+fi
+
+
+
+{ $as_echo "$as_me:$LINENO: checking for socket in -lsocket" >&5
+$as_echo_n "checking for socket in -lsocket... " >&6; }
+if test "${ac_cv_lib_socket_socket+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-lsocket  $LIBS"
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char socket ();
+int
+main ()
+{
+return socket ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  ac_cv_lib_socket_socket=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_lib_socket_socket=no
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_lib_socket_socket" >&5
+$as_echo "$ac_cv_lib_socket_socket" >&6; }
+if test "x$ac_cv_lib_socket_socket" = x""yes; then
+  cat >>confdefs.h <<_ACEOF
+#define HAVE_LIBSOCKET 1
+_ACEOF
+
+  LIBS="-lsocket $LIBS"
+
+fi
+
+
+
+  # Check first for POSIX
+
+
+
+ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+acx_pthread_ok=no
+
+# We used to check for pthread.h first, but this fails if pthread.h
+# requires special compiler flags (e.g. on True64 or Sequent).
+# It gets checked for in the link test anyway.
+
+# First of all, check if the user has set any of the PTHREAD_LIBS,
+# etcetera environment variables, and if threads linking works using
+# them:
+if test x"$PTHREAD_LIBS$PTHREAD_CFLAGS" != x; then
+        save_CFLAGS="$CFLAGS"
+        CFLAGS="$CFLAGS $PTHREAD_CFLAGS"
+        save_LIBS="$LIBS"
+        LIBS="$PTHREAD_LIBS $LIBS"
+        { $as_echo "$as_me:$LINENO: checking for pthread_join in LIBS=$PTHREAD_LIBS with CFLAGS=$PTHREAD_CFLAGS" >&5
+$as_echo_n "checking for pthread_join in LIBS=$PTHREAD_LIBS with CFLAGS=$PTHREAD_CFLAGS... " >&6; }
+        cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char pthread_join ();
+int
+main ()
+{
+return pthread_join ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  acx_pthread_ok=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+        { $as_echo "$as_me:$LINENO: result: $acx_pthread_ok" >&5
+$as_echo "$acx_pthread_ok" >&6; }
+        if test x"$acx_pthread_ok" = xno; then
+                PTHREAD_LIBS=""
+                PTHREAD_CFLAGS=""
+        fi
+        LIBS="$save_LIBS"
+        CFLAGS="$save_CFLAGS"
+fi
+
+# We must check for the threads library under a number of different
+# names; the ordering is very important because some systems
+# (e.g. DEC) have both -lpthread and -lpthreads, where one of the
+# libraries is broken (non-POSIX).
+
+# Create a list of thread flags to try.  Items starting with a "-" are
+# C compiler flags, and other items are library names, except for "none"
+# which indicates that we try without any flags at all, and "pthread-config"
+# which is a program returning the flags for the Pth emulation library.
+
+acx_pthread_flags="pthreads none -Kthread -kthread lthread -pthread -pthreads -mthreads pthread --thread-safe -mt pthread-config"
+
+# The ordering *is* (sometimes) important.  Some notes on the
+# individual items follow:
+
+# pthreads: AIX (must check this before -lpthread)
+# none: in case threads are in libc; should be tried before -Kthread and
+#       other compiler flags to prevent continual compiler warnings
+# -Kthread: Sequent (threads in libc, but -Kthread needed for pthread.h)
+# -kthread: FreeBSD kernel threads (preferred to -pthread since SMP-able)
+# lthread: LinuxThreads port on FreeBSD (also preferred to -pthread)
+# -pthread: Linux/gcc (kernel threads), BSD/gcc (userland threads)
+# -pthreads: Solaris/gcc
+# -mthreads: Mingw32/gcc, Lynx/gcc
+# -mt: Sun Workshop C (may only link SunOS threads [-lthread], but it
+#      doesn't hurt to check since this sometimes defines pthreads too;
+#      also defines -D_REENTRANT)
+#      ... -mt is also the pthreads flag for HP/aCC
+# pthread: Linux, etcetera
+# --thread-safe: KAI C++
+# pthread-config: use pthread-config program (for GNU Pth library)
+
+case "${host_cpu}-${host_os}" in
+        *solaris*)
+
+        # On Solaris (at least, for some versions), libc contains stubbed
+        # (non-functional) versions of the pthreads routines, so link-based
+        # tests will erroneously succeed.  (We need to link with -pthreads/-mt/
+        # -lpthread.)  (The stubs are missing pthread_cleanup_push, or rather
+        # a function called by this macro, so we could check for that, but
+        # who knows whether they'll stub that too in a future libc.)  So,
+        # we'll just look for -pthreads and -lpthread first:
+
+        acx_pthread_flags="-pthreads pthread -mt -pthread $acx_pthread_flags"
+        ;;
+esac
+
+if test x"$acx_pthread_ok" = xno; then
+for flag in $acx_pthread_flags; do
+
+        case $flag in
+                none)
+                { $as_echo "$as_me:$LINENO: checking whether pthreads work without any flags" >&5
+$as_echo_n "checking whether pthreads work without any flags... " >&6; }
+                ;;
+
+                -*)
+                { $as_echo "$as_me:$LINENO: checking whether pthreads work with $flag" >&5
+$as_echo_n "checking whether pthreads work with $flag... " >&6; }
+                PTHREAD_CFLAGS="$flag"
+                ;;
+
+               pthread-config)
+               # Extract the first word of "pthread-config", so it can be a program name with args.
+set dummy pthread-config; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_acx_pthread_config+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$acx_pthread_config"; then
+  ac_cv_prog_acx_pthread_config="$acx_pthread_config" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_acx_pthread_config="yes"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+  test -z "$ac_cv_prog_acx_pthread_config" && ac_cv_prog_acx_pthread_config="no"
+fi
+fi
+acx_pthread_config=$ac_cv_prog_acx_pthread_config
+if test -n "$acx_pthread_config"; then
+  { $as_echo "$as_me:$LINENO: result: $acx_pthread_config" >&5
+$as_echo "$acx_pthread_config" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+               if test x"$acx_pthread_config" = xno; then continue; fi
+               PTHREAD_CFLAGS="`pthread-config --cflags`"
+               PTHREAD_LIBS="`pthread-config --ldflags` `pthread-config --libs`"
+               ;;
+
+                *)
+                { $as_echo "$as_me:$LINENO: checking for the pthreads library -l$flag" >&5
+$as_echo_n "checking for the pthreads library -l$flag... " >&6; }
+                PTHREAD_LIBS="-l$flag"
+                ;;
+        esac
+
+        save_LIBS="$LIBS"
+        save_CFLAGS="$CFLAGS"
+        LIBS="$PTHREAD_LIBS $LIBS"
+        CFLAGS="$CFLAGS $PTHREAD_CFLAGS"
+
+        # Check for various functions.  We must include pthread.h,
+        # since some functions may be macros.  (On the Sequent, we
+        # need a special flag -Kthread to make this header compile.)
+        # We check for pthread_join because it is in -lpthread on IRIX
+        # while pthread_create is in libc.  We check for pthread_attr_init
+        # due to DEC craziness with -lpthreads.  We check for
+        # pthread_cleanup_push because it is one of the few pthread
+        # functions on Solaris that doesn't have a non-functional libc stub.
+        # We try pthread_create on general principles.
+        cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <pthread.h>
+int
+main ()
+{
+pthread_t th; pthread_join(th, 0);
+                     pthread_attr_init(0); pthread_cleanup_push(0, 0);
+                     pthread_create(0,0,0,0); pthread_cleanup_pop(0);
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  acx_pthread_ok=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+
+        LIBS="$save_LIBS"
+        CFLAGS="$save_CFLAGS"
+
+        { $as_echo "$as_me:$LINENO: result: $acx_pthread_ok" >&5
+$as_echo "$acx_pthread_ok" >&6; }
+        if test "x$acx_pthread_ok" = xyes; then
+                break;
+        fi
+
+        PTHREAD_LIBS=""
+        PTHREAD_CFLAGS=""
+done
+fi
+
+# Various other checks:
+if test "x$acx_pthread_ok" = xyes; then
+        save_LIBS="$LIBS"
+        LIBS="$PTHREAD_LIBS $LIBS"
+        save_CFLAGS="$CFLAGS"
+        CFLAGS="$CFLAGS $PTHREAD_CFLAGS"
+
+        # Detect AIX lossage: JOINABLE attribute is called UNDETACHED.
+       { $as_echo "$as_me:$LINENO: checking for joinable pthread attribute" >&5
+$as_echo_n "checking for joinable pthread attribute... " >&6; }
+       attr_name=unknown
+       for attr in PTHREAD_CREATE_JOINABLE PTHREAD_CREATE_UNDETACHED; do
+           cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <pthread.h>
+int
+main ()
+{
+int attr=$attr; return attr;
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  attr_name=$attr; break
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+       done
+        { $as_echo "$as_me:$LINENO: result: $attr_name" >&5
+$as_echo "$attr_name" >&6; }
+        if test "$attr_name" != PTHREAD_CREATE_JOINABLE; then
+
+cat >>confdefs.h <<_ACEOF
+#define PTHREAD_CREATE_JOINABLE $attr_name
+_ACEOF
+
+        fi
+
+        { $as_echo "$as_me:$LINENO: checking if more special flags are required for pthreads" >&5
+$as_echo_n "checking if more special flags are required for pthreads... " >&6; }
+        flag=no
+        case "${host_cpu}-${host_os}" in
+            *-aix* | *-freebsd* | *-darwin*) flag="-D_THREAD_SAFE";;
+            *solaris* | *-osf* | *-hpux*) flag="-D_REENTRANT";;
+        esac
+        { $as_echo "$as_me:$LINENO: result: ${flag}" >&5
+$as_echo "${flag}" >&6; }
+        if test "x$flag" != xno; then
+            PTHREAD_CFLAGS="$flag $PTHREAD_CFLAGS"
+        fi
+
+        LIBS="$save_LIBS"
+        CFLAGS="$save_CFLAGS"
+
+        # More AIX lossage: must compile with xlc_r or cc_r
+       if test x"$GCC" != xyes; then
+          for ac_prog in xlc_r cc_r
+do
+  # Extract the first word of "$ac_prog", so it can be a program name with args.
+set dummy $ac_prog; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_PTHREAD_CC+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$PTHREAD_CC"; then
+  ac_cv_prog_PTHREAD_CC="$PTHREAD_CC" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_PTHREAD_CC="$ac_prog"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+PTHREAD_CC=$ac_cv_prog_PTHREAD_CC
+if test -n "$PTHREAD_CC"; then
+  { $as_echo "$as_me:$LINENO: result: $PTHREAD_CC" >&5
+$as_echo "$PTHREAD_CC" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+  test -n "$PTHREAD_CC" && break
+done
+test -n "$PTHREAD_CC" || PTHREAD_CC="${CC}"
+
+        else
+          PTHREAD_CC=$CC
+       fi
+else
+        PTHREAD_CC="$CC"
+fi
+
+
+
+
+
+# Finally, execute ACTION-IF-FOUND/ACTION-IF-NOT-FOUND:
+if test x"$acx_pthread_ok" = xyes; then
+
+cat >>confdefs.h <<\_ACEOF
+#define HAVE_PTHREAD 1
+_ACEOF
+
+    ot_posix="yes"
+    DEFINES="$DEFINES -DOMNITHREAD_POSIX=1"
+
+        :
+else
+        acx_pthread_ok=no
+
+    # If no POSIX support found, then check for NT threads
+    { $as_echo "$as_me:$LINENO: checking for NT threads" >&5
+$as_echo_n "checking for NT threads... " >&6; }
+
+    cat >conftest.$ac_ext <<_ACEOF
+
+        #include <windows.h>
+        #include <winbase.h>
+        int main() { InitializeCriticalSection(NULL); return 0; }
+
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+
+        ot_nt="yes"
+        DEFINES="$DEFINES -DOMNITHREAD_NT=1"
+
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       { { $as_echo "$as_me:$LINENO: error: in \`$ac_pwd':" >&5
+$as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
+{ { $as_echo "$as_me:$LINENO: error: GNU Radio requires POSIX threads.  pthreads not found.
+See \`config.log' for more details." >&5
+$as_echo "$as_me: error: GNU Radio requires POSIX threads.  pthreads not found.
+See \`config.log' for more details." >&2;}
+   { (exit 1); exit 1; }; }; }
+
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+    { $as_echo "$as_me:$LINENO: result: yes" >&5
+$as_echo "yes" >&6; }
+
+fi
+ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+
+   if test "x$ot_posix" = xyes; then
+  OMNITHREAD_POSIX_TRUE=
+  OMNITHREAD_POSIX_FALSE='#'
+else
+  OMNITHREAD_POSIX_TRUE='#'
+  OMNITHREAD_POSIX_FALSE=
+fi
+
+   if test "x$ot_nt" = xyes; then
+  OMNITHREAD_NT_TRUE=
+  OMNITHREAD_NT_FALSE='#'
+else
+  OMNITHREAD_NT_TRUE='#'
+  OMNITHREAD_NT_FALSE=
+fi
+
+
+  save_LIBS="$LIBS"
+  { $as_echo "$as_me:$LINENO: checking for library containing clock_gettime" >&5
+$as_echo_n "checking for library containing clock_gettime... " >&6; }
+if test "${ac_cv_search_clock_gettime+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_func_search_save_LIBS=$LIBS
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char clock_gettime ();
+int
+main ()
+{
+return clock_gettime ();
+  ;
+  return 0;
+}
+_ACEOF
+for ac_lib in '' rt; do
+  if test -z "$ac_lib"; then
+    ac_res="none required"
+  else
+    ac_res=-l$ac_lib
+    LIBS="-l$ac_lib  $ac_func_search_save_LIBS"
+  fi
+  rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  ac_cv_search_clock_gettime=$ac_res
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext
+  if test "${ac_cv_search_clock_gettime+set}" = set; then
+  break
+fi
+done
+if test "${ac_cv_search_clock_gettime+set}" = set; then
+  :
+else
+  ac_cv_search_clock_gettime=no
+fi
+rm conftest.$ac_ext
+LIBS=$ac_func_search_save_LIBS
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_search_clock_gettime" >&5
+$as_echo "$ac_cv_search_clock_gettime" >&6; }
+ac_res=$ac_cv_search_clock_gettime
+if test "$ac_res" != no; then
+  test "$ac_res" = "none required" || LIBS="$ac_res $LIBS"
+  PTHREAD_LIBS="$PTHREAD_LIBS $LIBS"
+fi
+
+
+
+
+for ac_func in clock_gettime gettimeofday nanosleep
+do
+as_ac_var=`$as_echo "ac_cv_func_$ac_func" | $as_tr_sh`
+{ $as_echo "$as_me:$LINENO: checking for $ac_func" >&5
+$as_echo_n "checking for $ac_func... " >&6; }
+if { as_var=$as_ac_var; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+/* Define $ac_func to an innocuous variant, in case <limits.h> declares $ac_func.
+   For example, HP-UX 11i <limits.h> declares gettimeofday.  */
+#define $ac_func innocuous_$ac_func
+
+/* System header to define __stub macros and hopefully few prototypes,
+    which can conflict with char $ac_func (); below.
+    Prefer <limits.h> to <assert.h> if __STDC__ is defined, since
+    <limits.h> exists even on freestanding compilers.  */
+
+#ifdef __STDC__
+# include <limits.h>
+#else
+# include <assert.h>
+#endif
+
+#undef $ac_func
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char $ac_func ();
+/* The GNU C library defines this for functions which it implements
+    to always fail with ENOSYS.  Some functions are actually named
+    something starting with __ and the normal name is an alias.  */
+#if defined __stub_$ac_func || defined __stub___$ac_func
+choke me
+#endif
+
+int
+main ()
+{
+return $ac_func ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  eval "$as_ac_var=yes"
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       eval "$as_ac_var=no"
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+fi
+ac_res=`eval 'as_val=${'$as_ac_var'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+as_val=`eval 'as_val=${'$as_ac_var'}
+                $as_echo "$as_val"'`
+   if test "x$as_val" = x""yes; then
+  cat >>confdefs.h <<_ACEOF
+#define `$as_echo "HAVE_$ac_func" | $as_tr_cpp` 1
+_ACEOF
+
+fi
+done
+
+  LIBS="$save_LIBS"
+
+
+if test x$CXX_FOR_BUILD = x
+then
+  if test x$cross_compiling = xno; then
+    CXX_FOR_BUILD=${CXX}
+  else
+    CXX_FOR_BUILD=g++
+  fi
+fi
+
+
+
+
+       ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+
+
+
+for ac_header in sys/ipc.h sys/shm.h
+do
+as_ac_Header=`$as_echo "ac_cv_header_$ac_header" | $as_tr_sh`
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  { $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
+$as_echo_n "checking for $ac_header... " >&6; }
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+fi
+ac_res=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+else
+  # Is the header compilable?
+{ $as_echo "$as_me:$LINENO: checking $ac_header usability" >&5
+$as_echo_n "checking $ac_header usability... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+$ac_includes_default
+#include <$ac_header>
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_header_compiler=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_header_compiler=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_compiler" >&5
+$as_echo "$ac_header_compiler" >&6; }
+
+# Is the header present?
+{ $as_echo "$as_me:$LINENO: checking $ac_header presence" >&5
+$as_echo_n "checking $ac_header presence... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <$ac_header>
+_ACEOF
+if { (ac_try="$ac_cpp conftest.$ac_ext"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } >/dev/null && {
+        test -z "$ac_c_preproc_warn_flag$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       }; then
+  ac_header_preproc=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+  ac_header_preproc=no
+fi
+
+rm -f conftest.err conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_preproc" >&5
+$as_echo "$ac_header_preproc" >&6; }
+
+# So?  What about this header?
+case $ac_header_compiler:$ac_header_preproc:$ac_c_preproc_warn_flag in
+  yes:no: )
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: accepted by the compiler, rejected by the preprocessor!" >&5
+$as_echo "$as_me: WARNING: $ac_header: accepted by the compiler, rejected by the preprocessor!" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: proceeding with the compiler's result" >&5
+$as_echo "$as_me: WARNING: $ac_header: proceeding with the compiler's result" >&2;}
+    ac_header_preproc=yes
+    ;;
+  no:yes:* )
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: present but cannot be compiled" >&5
+$as_echo "$as_me: WARNING: $ac_header: present but cannot be compiled" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header:     check for missing prerequisite headers?" >&5
+$as_echo "$as_me: WARNING: $ac_header:     check for missing prerequisite headers?" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: see the Autoconf documentation" >&5
+$as_echo "$as_me: WARNING: $ac_header: see the Autoconf documentation" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header:     section \"Present But Cannot Be Compiled\"" >&5
+$as_echo "$as_me: WARNING: $ac_header:     section \"Present But Cannot Be Compiled\"" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: proceeding with the preprocessor's result" >&5
+$as_echo "$as_me: WARNING: $ac_header: proceeding with the preprocessor's result" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: in the future, the compiler will take precedence" >&5
+$as_echo "$as_me: WARNING: $ac_header: in the future, the compiler will take precedence" >&2;}
+
+    ;;
+esac
+{ $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
+$as_echo_n "checking for $ac_header... " >&6; }
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  eval "$as_ac_Header=\$ac_header_preproc"
+fi
+ac_res=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+
+fi
+as_val=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+   if test "x$as_val" = x""yes; then
+  cat >>confdefs.h <<_ACEOF
+#define `$as_echo "HAVE_$ac_header" | $as_tr_cpp` 1
+_ACEOF
+
+fi
+
+done
+
+
+        save_LIBS="$LIBS"
+       { $as_echo "$as_me:$LINENO: checking for library containing shmat" >&5
+$as_echo_n "checking for library containing shmat... " >&6; }
+if test "${ac_cv_search_shmat+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_func_search_save_LIBS=$LIBS
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char shmat ();
+int
+main ()
+{
+return shmat ();
+  ;
+  return 0;
+}
+_ACEOF
+for ac_lib in '' cygipc ipc; do
+  if test -z "$ac_lib"; then
+    ac_res="none required"
+  else
+    ac_res=-l$ac_lib
+    LIBS="-l$ac_lib  $ac_func_search_save_LIBS"
+  fi
+  rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  ac_cv_search_shmat=$ac_res
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext
+  if test "${ac_cv_search_shmat+set}" = set; then
+  break
+fi
+done
+if test "${ac_cv_search_shmat+set}" = set; then
+  :
+else
+  ac_cv_search_shmat=no
+fi
+rm conftest.$ac_ext
+LIBS=$ac_func_search_save_LIBS
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_search_shmat" >&5
+$as_echo "$ac_cv_search_shmat" >&6; }
+ac_res=$ac_cv_search_shmat
+if test "$ac_res" != no; then
+  test "$ac_res" = "none required" || LIBS="$ac_res $LIBS"
+   IPC_LIBS="$LIBS"
+else
+   { $as_echo "$as_me:$LINENO: WARNING: SystemV IPC support not found. " >&5
+$as_echo "$as_me: WARNING: SystemV IPC support not found. " >&2;}
+
+fi
+
+        LIBS="$save_LIBS"
+
+       ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+
+
+
+{ $as_echo "$as_me:$LINENO: checking for ANSI C header files" >&5
+$as_echo_n "checking for ANSI C header files... " >&6; }
+if test "${ac_cv_header_stdc+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <stdlib.h>
+#include <stdarg.h>
+#include <string.h>
+#include <float.h>
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_cv_header_stdc=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_header_stdc=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+
+if test $ac_cv_header_stdc = yes; then
+  # SunOS 4.x string.h does not declare mem*, contrary to ANSI.
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <string.h>
+
+_ACEOF
+if (eval "$ac_cpp conftest.$ac_ext") 2>&5 |
+  $EGREP "memchr" >/dev/null 2>&1; then
+  :
+else
+  ac_cv_header_stdc=no
+fi
+rm -f conftest*
+
+fi
+
+if test $ac_cv_header_stdc = yes; then
+  # ISC 2.0.2 stdlib.h does not declare free, contrary to ANSI.
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <stdlib.h>
+
+_ACEOF
+if (eval "$ac_cpp conftest.$ac_ext") 2>&5 |
+  $EGREP "free" >/dev/null 2>&1; then
+  :
+else
+  ac_cv_header_stdc=no
+fi
+rm -f conftest*
+
+fi
+
+if test $ac_cv_header_stdc = yes; then
+  # /bin/cc in Irix-4.0.5 gets non-ANSI ctype macros unless using -ansi.
+  if test "$cross_compiling" = yes; then
+  :
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <ctype.h>
+#include <stdlib.h>
+#if ((' ' & 0x0FF) == 0x020)
+# define ISLOWER(c) ('a' <= (c) && (c) <= 'z')
+# define TOUPPER(c) (ISLOWER(c) ? 'A' + ((c) - 'a') : (c))
+#else
+# define ISLOWER(c) \
+                  (('a' <= (c) && (c) <= 'i') \
+                    || ('j' <= (c) && (c) <= 'r') \
+                    || ('s' <= (c) && (c) <= 'z'))
+# define TOUPPER(c) (ISLOWER(c) ? ((c) | 0x40) : (c))
+#endif
+
+#define XOR(e, f) (((e) && !(f)) || (!(e) && (f)))
+int
+main ()
+{
+  int i;
+  for (i = 0; i < 256; i++)
+    if (XOR (islower (i), ISLOWER (i))
+       || toupper (i) != TOUPPER (i))
+      return 2;
+  return 0;
+}
+_ACEOF
+rm -f conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && { ac_try='./conftest$ac_exeext'
+  { (case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_try") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; }; then
+  :
+else
+  $as_echo "$as_me: program exited with status $ac_status" >&5
+$as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+( exit $ac_status )
+ac_cv_header_stdc=no
+fi
+rm -rf conftest.dSYM
+rm -f core *.core core.conftest.* gmon.out bb.out conftest$ac_exeext conftest.$ac_objext conftest.$ac_ext
+fi
+
+
+fi
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_header_stdc" >&5
+$as_echo "$ac_cv_header_stdc" >&6; }
+if test $ac_cv_header_stdc = yes; then
+
+cat >>confdefs.h <<\_ACEOF
+#define STDC_HEADERS 1
+_ACEOF
+
+fi
+
+{ $as_echo "$as_me:$LINENO: checking for sys/wait.h that is POSIX.1 compatible" >&5
+$as_echo_n "checking for sys/wait.h that is POSIX.1 compatible... " >&6; }
+if test "${ac_cv_header_sys_wait_h+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <sys/types.h>
+#include <sys/wait.h>
+#ifndef WEXITSTATUS
+# define WEXITSTATUS(stat_val) ((unsigned int) (stat_val) >> 8)
+#endif
+#ifndef WIFEXITED
+# define WIFEXITED(stat_val) (((stat_val) & 255) == 0)
+#endif
+
+int
+main ()
+{
+  int s;
+  wait (&s);
+  s = WIFEXITED (s) ? WEXITSTATUS (s) : 1;
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_cv_header_sys_wait_h=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_header_sys_wait_h=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_header_sys_wait_h" >&5
+$as_echo "$ac_cv_header_sys_wait_h" >&6; }
+if test $ac_cv_header_sys_wait_h = yes; then
+
+cat >>confdefs.h <<\_ACEOF
+#define HAVE_SYS_WAIT_H 1
+_ACEOF
+
+fi
+
+
+
+
+
+
+
+
+for ac_header in fcntl.h limits.h strings.h time.h sys/ioctl.h sys/time.h unistd.h
+do
+as_ac_Header=`$as_echo "ac_cv_header_$ac_header" | $as_tr_sh`
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  { $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
+$as_echo_n "checking for $ac_header... " >&6; }
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+fi
+ac_res=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+else
+  # Is the header compilable?
+{ $as_echo "$as_me:$LINENO: checking $ac_header usability" >&5
+$as_echo_n "checking $ac_header usability... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+$ac_includes_default
+#include <$ac_header>
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_header_compiler=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_header_compiler=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_compiler" >&5
+$as_echo "$ac_header_compiler" >&6; }
+
+# Is the header present?
+{ $as_echo "$as_me:$LINENO: checking $ac_header presence" >&5
+$as_echo_n "checking $ac_header presence... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <$ac_header>
+_ACEOF
+if { (ac_try="$ac_cpp conftest.$ac_ext"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } >/dev/null && {
+        test -z "$ac_c_preproc_warn_flag$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       }; then
+  ac_header_preproc=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+  ac_header_preproc=no
+fi
+
+rm -f conftest.err conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_preproc" >&5
+$as_echo "$ac_header_preproc" >&6; }
+
+# So?  What about this header?
+case $ac_header_compiler:$ac_header_preproc:$ac_c_preproc_warn_flag in
+  yes:no: )
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: accepted by the compiler, rejected by the preprocessor!" >&5
+$as_echo "$as_me: WARNING: $ac_header: accepted by the compiler, rejected by the preprocessor!" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: proceeding with the compiler's result" >&5
+$as_echo "$as_me: WARNING: $ac_header: proceeding with the compiler's result" >&2;}
+    ac_header_preproc=yes
+    ;;
+  no:yes:* )
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: present but cannot be compiled" >&5
+$as_echo "$as_me: WARNING: $ac_header: present but cannot be compiled" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header:     check for missing prerequisite headers?" >&5
+$as_echo "$as_me: WARNING: $ac_header:     check for missing prerequisite headers?" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: see the Autoconf documentation" >&5
+$as_echo "$as_me: WARNING: $ac_header: see the Autoconf documentation" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header:     section \"Present But Cannot Be Compiled\"" >&5
+$as_echo "$as_me: WARNING: $ac_header:     section \"Present But Cannot Be Compiled\"" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: proceeding with the preprocessor's result" >&5
+$as_echo "$as_me: WARNING: $ac_header: proceeding with the preprocessor's result" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: in the future, the compiler will take precedence" >&5
+$as_echo "$as_me: WARNING: $ac_header: in the future, the compiler will take precedence" >&2;}
+
+    ;;
+esac
+{ $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
+$as_echo_n "checking for $ac_header... " >&6; }
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  eval "$as_ac_Header=\$ac_header_preproc"
+fi
+ac_res=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+
+fi
+as_val=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+   if test "x$as_val" = x""yes; then
+  cat >>confdefs.h <<_ACEOF
+#define `$as_echo "HAVE_$ac_header" | $as_tr_cpp` 1
+_ACEOF
+
+fi
+
+done
+
+
+
+
+
+
+for ac_header in linux/ppdev.h dev/ppbus/ppi.h sys/mman.h sys/select.h sys/types.h
+do
+as_ac_Header=`$as_echo "ac_cv_header_$ac_header" | $as_tr_sh`
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  { $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
+$as_echo_n "checking for $ac_header... " >&6; }
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+fi
+ac_res=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+else
+  # Is the header compilable?
+{ $as_echo "$as_me:$LINENO: checking $ac_header usability" >&5
+$as_echo_n "checking $ac_header usability... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+$ac_includes_default
+#include <$ac_header>
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_header_compiler=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_header_compiler=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_compiler" >&5
+$as_echo "$ac_header_compiler" >&6; }
+
+# Is the header present?
+{ $as_echo "$as_me:$LINENO: checking $ac_header presence" >&5
+$as_echo_n "checking $ac_header presence... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <$ac_header>
+_ACEOF
+if { (ac_try="$ac_cpp conftest.$ac_ext"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } >/dev/null && {
+        test -z "$ac_c_preproc_warn_flag$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       }; then
+  ac_header_preproc=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+  ac_header_preproc=no
+fi
+
+rm -f conftest.err conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_preproc" >&5
+$as_echo "$ac_header_preproc" >&6; }
+
+# So?  What about this header?
+case $ac_header_compiler:$ac_header_preproc:$ac_c_preproc_warn_flag in
+  yes:no: )
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: accepted by the compiler, rejected by the preprocessor!" >&5
+$as_echo "$as_me: WARNING: $ac_header: accepted by the compiler, rejected by the preprocessor!" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: proceeding with the compiler's result" >&5
+$as_echo "$as_me: WARNING: $ac_header: proceeding with the compiler's result" >&2;}
+    ac_header_preproc=yes
+    ;;
+  no:yes:* )
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: present but cannot be compiled" >&5
+$as_echo "$as_me: WARNING: $ac_header: present but cannot be compiled" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header:     check for missing prerequisite headers?" >&5
+$as_echo "$as_me: WARNING: $ac_header:     check for missing prerequisite headers?" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: see the Autoconf documentation" >&5
+$as_echo "$as_me: WARNING: $ac_header: see the Autoconf documentation" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header:     section \"Present But Cannot Be Compiled\"" >&5
+$as_echo "$as_me: WARNING: $ac_header:     section \"Present But Cannot Be Compiled\"" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: proceeding with the preprocessor's result" >&5
+$as_echo "$as_me: WARNING: $ac_header: proceeding with the preprocessor's result" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: in the future, the compiler will take precedence" >&5
+$as_echo "$as_me: WARNING: $ac_header: in the future, the compiler will take precedence" >&2;}
+
+    ;;
+esac
+{ $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
+$as_echo_n "checking for $ac_header... " >&6; }
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  eval "$as_ac_Header=\$ac_header_preproc"
+fi
+ac_res=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+
+fi
+as_val=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+   if test "x$as_val" = x""yes; then
+  cat >>confdefs.h <<_ACEOF
+#define `$as_echo "HAVE_$ac_header" | $as_tr_cpp` 1
+_ACEOF
+
+fi
+
+done
+
+
+
+
+
+
+
+for ac_header in sys/resource.h stdint.h sched.h signal.h sys/syscall.h malloc.h
+do
+as_ac_Header=`$as_echo "ac_cv_header_$ac_header" | $as_tr_sh`
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  { $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
+$as_echo_n "checking for $ac_header... " >&6; }
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+fi
+ac_res=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+else
+  # Is the header compilable?
+{ $as_echo "$as_me:$LINENO: checking $ac_header usability" >&5
+$as_echo_n "checking $ac_header usability... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+$ac_includes_default
+#include <$ac_header>
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_header_compiler=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_header_compiler=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_compiler" >&5
+$as_echo "$ac_header_compiler" >&6; }
+
+# Is the header present?
+{ $as_echo "$as_me:$LINENO: checking $ac_header presence" >&5
+$as_echo_n "checking $ac_header presence... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <$ac_header>
+_ACEOF
+if { (ac_try="$ac_cpp conftest.$ac_ext"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } >/dev/null && {
+        test -z "$ac_c_preproc_warn_flag$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       }; then
+  ac_header_preproc=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+  ac_header_preproc=no
+fi
+
+rm -f conftest.err conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_preproc" >&5
+$as_echo "$ac_header_preproc" >&6; }
+
+# So?  What about this header?
+case $ac_header_compiler:$ac_header_preproc:$ac_c_preproc_warn_flag in
+  yes:no: )
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: accepted by the compiler, rejected by the preprocessor!" >&5
+$as_echo "$as_me: WARNING: $ac_header: accepted by the compiler, rejected by the preprocessor!" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: proceeding with the compiler's result" >&5
+$as_echo "$as_me: WARNING: $ac_header: proceeding with the compiler's result" >&2;}
+    ac_header_preproc=yes
+    ;;
+  no:yes:* )
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: present but cannot be compiled" >&5
+$as_echo "$as_me: WARNING: $ac_header: present but cannot be compiled" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header:     check for missing prerequisite headers?" >&5
+$as_echo "$as_me: WARNING: $ac_header:     check for missing prerequisite headers?" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: see the Autoconf documentation" >&5
+$as_echo "$as_me: WARNING: $ac_header: see the Autoconf documentation" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header:     section \"Present But Cannot Be Compiled\"" >&5
+$as_echo "$as_me: WARNING: $ac_header:     section \"Present But Cannot Be Compiled\"" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: proceeding with the preprocessor's result" >&5
+$as_echo "$as_me: WARNING: $ac_header: proceeding with the preprocessor's result" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: in the future, the compiler will take precedence" >&5
+$as_echo "$as_me: WARNING: $ac_header: in the future, the compiler will take precedence" >&2;}
+
+    ;;
+esac
+{ $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
+$as_echo_n "checking for $ac_header... " >&6; }
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  eval "$as_ac_Header=\$ac_header_preproc"
+fi
+ac_res=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+
+fi
+as_val=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+   if test "x$as_val" = x""yes; then
+  cat >>confdefs.h <<_ACEOF
+#define `$as_echo "HAVE_$ac_header" | $as_tr_cpp` 1
+_ACEOF
+
+fi
+
+done
+
+
+for ac_header in netinet/in.h
+do
+as_ac_Header=`$as_echo "ac_cv_header_$ac_header" | $as_tr_sh`
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  { $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
+$as_echo_n "checking for $ac_header... " >&6; }
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+fi
+ac_res=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+else
+  # Is the header compilable?
+{ $as_echo "$as_me:$LINENO: checking $ac_header usability" >&5
+$as_echo_n "checking $ac_header usability... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+$ac_includes_default
+#include <$ac_header>
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_header_compiler=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_header_compiler=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_compiler" >&5
+$as_echo "$ac_header_compiler" >&6; }
+
+# Is the header present?
+{ $as_echo "$as_me:$LINENO: checking $ac_header presence" >&5
+$as_echo_n "checking $ac_header presence... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <$ac_header>
+_ACEOF
+if { (ac_try="$ac_cpp conftest.$ac_ext"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } >/dev/null && {
+        test -z "$ac_c_preproc_warn_flag$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       }; then
+  ac_header_preproc=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+  ac_header_preproc=no
+fi
+
+rm -f conftest.err conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_preproc" >&5
+$as_echo "$ac_header_preproc" >&6; }
+
+# So?  What about this header?
+case $ac_header_compiler:$ac_header_preproc:$ac_c_preproc_warn_flag in
+  yes:no: )
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: accepted by the compiler, rejected by the preprocessor!" >&5
+$as_echo "$as_me: WARNING: $ac_header: accepted by the compiler, rejected by the preprocessor!" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: proceeding with the compiler's result" >&5
+$as_echo "$as_me: WARNING: $ac_header: proceeding with the compiler's result" >&2;}
+    ac_header_preproc=yes
+    ;;
+  no:yes:* )
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: present but cannot be compiled" >&5
+$as_echo "$as_me: WARNING: $ac_header: present but cannot be compiled" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header:     check for missing prerequisite headers?" >&5
+$as_echo "$as_me: WARNING: $ac_header:     check for missing prerequisite headers?" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: see the Autoconf documentation" >&5
+$as_echo "$as_me: WARNING: $ac_header: see the Autoconf documentation" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header:     section \"Present But Cannot Be Compiled\"" >&5
+$as_echo "$as_me: WARNING: $ac_header:     section \"Present But Cannot Be Compiled\"" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: proceeding with the preprocessor's result" >&5
+$as_echo "$as_me: WARNING: $ac_header: proceeding with the preprocessor's result" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: in the future, the compiler will take precedence" >&5
+$as_echo "$as_me: WARNING: $ac_header: in the future, the compiler will take precedence" >&2;}
+
+    ;;
+esac
+{ $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
+$as_echo_n "checking for $ac_header... " >&6; }
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  eval "$as_ac_Header=\$ac_header_preproc"
+fi
+ac_res=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+
+fi
+as_val=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+   if test "x$as_val" = x""yes; then
+  cat >>confdefs.h <<_ACEOF
+#define `$as_echo "HAVE_$ac_header" | $as_tr_cpp` 1
+_ACEOF
+
+fi
+
+done
+
+
+for ac_header in windows.h
+do
+as_ac_Header=`$as_echo "ac_cv_header_$ac_header" | $as_tr_sh`
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  { $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
+$as_echo_n "checking for $ac_header... " >&6; }
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+fi
+ac_res=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+else
+  # Is the header compilable?
+{ $as_echo "$as_me:$LINENO: checking $ac_header usability" >&5
+$as_echo_n "checking $ac_header usability... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+$ac_includes_default
+#include <$ac_header>
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_header_compiler=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_header_compiler=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_compiler" >&5
+$as_echo "$ac_header_compiler" >&6; }
+
+# Is the header present?
+{ $as_echo "$as_me:$LINENO: checking $ac_header presence" >&5
+$as_echo_n "checking $ac_header presence... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <$ac_header>
+_ACEOF
+if { (ac_try="$ac_cpp conftest.$ac_ext"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } >/dev/null && {
+        test -z "$ac_c_preproc_warn_flag$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       }; then
+  ac_header_preproc=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+  ac_header_preproc=no
+fi
+
+rm -f conftest.err conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_preproc" >&5
+$as_echo "$ac_header_preproc" >&6; }
+
+# So?  What about this header?
+case $ac_header_compiler:$ac_header_preproc:$ac_c_preproc_warn_flag in
+  yes:no: )
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: accepted by the compiler, rejected by the preprocessor!" >&5
+$as_echo "$as_me: WARNING: $ac_header: accepted by the compiler, rejected by the preprocessor!" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: proceeding with the compiler's result" >&5
+$as_echo "$as_me: WARNING: $ac_header: proceeding with the compiler's result" >&2;}
+    ac_header_preproc=yes
+    ;;
+  no:yes:* )
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: present but cannot be compiled" >&5
+$as_echo "$as_me: WARNING: $ac_header: present but cannot be compiled" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header:     check for missing prerequisite headers?" >&5
+$as_echo "$as_me: WARNING: $ac_header:     check for missing prerequisite headers?" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: see the Autoconf documentation" >&5
+$as_echo "$as_me: WARNING: $ac_header: see the Autoconf documentation" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header:     section \"Present But Cannot Be Compiled\"" >&5
+$as_echo "$as_me: WARNING: $ac_header:     section \"Present But Cannot Be Compiled\"" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: proceeding with the preprocessor's result" >&5
+$as_echo "$as_me: WARNING: $ac_header: proceeding with the preprocessor's result" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: in the future, the compiler will take precedence" >&5
+$as_echo "$as_me: WARNING: $ac_header: in the future, the compiler will take precedence" >&2;}
+
+    ;;
+esac
+{ $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
+$as_echo_n "checking for $ac_header... " >&6; }
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  eval "$as_ac_Header=\$ac_header_preproc"
+fi
+ac_res=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+
+fi
+as_val=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+   if test "x$as_val" = x""yes; then
+  cat >>confdefs.h <<_ACEOF
+#define `$as_echo "HAVE_$ac_header" | $as_tr_cpp` 1
+_ACEOF
+
+fi
+
+done
+
+
+for ac_header in vec_types.h
+do
+as_ac_Header=`$as_echo "ac_cv_header_$ac_header" | $as_tr_sh`
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  { $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
+$as_echo_n "checking for $ac_header... " >&6; }
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+fi
+ac_res=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+else
+  # Is the header compilable?
+{ $as_echo "$as_me:$LINENO: checking $ac_header usability" >&5
+$as_echo_n "checking $ac_header usability... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+$ac_includes_default
+#include <$ac_header>
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_header_compiler=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_header_compiler=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_compiler" >&5
+$as_echo "$ac_header_compiler" >&6; }
+
+# Is the header present?
+{ $as_echo "$as_me:$LINENO: checking $ac_header presence" >&5
+$as_echo_n "checking $ac_header presence... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <$ac_header>
+_ACEOF
+if { (ac_try="$ac_cpp conftest.$ac_ext"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } >/dev/null && {
+        test -z "$ac_c_preproc_warn_flag$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       }; then
+  ac_header_preproc=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+  ac_header_preproc=no
+fi
+
+rm -f conftest.err conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_preproc" >&5
+$as_echo "$ac_header_preproc" >&6; }
+
+# So?  What about this header?
+case $ac_header_compiler:$ac_header_preproc:$ac_c_preproc_warn_flag in
+  yes:no: )
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: accepted by the compiler, rejected by the preprocessor!" >&5
+$as_echo "$as_me: WARNING: $ac_header: accepted by the compiler, rejected by the preprocessor!" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: proceeding with the compiler's result" >&5
+$as_echo "$as_me: WARNING: $ac_header: proceeding with the compiler's result" >&2;}
+    ac_header_preproc=yes
+    ;;
+  no:yes:* )
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: present but cannot be compiled" >&5
+$as_echo "$as_me: WARNING: $ac_header: present but cannot be compiled" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header:     check for missing prerequisite headers?" >&5
+$as_echo "$as_me: WARNING: $ac_header:     check for missing prerequisite headers?" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: see the Autoconf documentation" >&5
+$as_echo "$as_me: WARNING: $ac_header: see the Autoconf documentation" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header:     section \"Present But Cannot Be Compiled\"" >&5
+$as_echo "$as_me: WARNING: $ac_header:     section \"Present But Cannot Be Compiled\"" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: proceeding with the preprocessor's result" >&5
+$as_echo "$as_me: WARNING: $ac_header: proceeding with the preprocessor's result" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: in the future, the compiler will take precedence" >&5
+$as_echo "$as_me: WARNING: $ac_header: in the future, the compiler will take precedence" >&2;}
+
+    ;;
+esac
+{ $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
+$as_echo_n "checking for $ac_header... " >&6; }
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  eval "$as_ac_Header=\$ac_header_preproc"
+fi
+ac_res=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+
+fi
+as_val=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+   if test "x$as_val" = x""yes; then
+  cat >>confdefs.h <<_ACEOF
+#define `$as_echo "HAVE_$ac_header" | $as_tr_cpp` 1
+_ACEOF
+
+fi
+
+done
+
+
+{ $as_echo "$as_me:$LINENO: checking for an ANSI C-conforming const" >&5
+$as_echo_n "checking for an ANSI C-conforming const... " >&6; }
+if test "${ac_cv_c_const+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+int
+main ()
+{
+/* FIXME: Include the comments suggested by Paul. */
+#ifndef __cplusplus
+  /* Ultrix mips cc rejects this.  */
+  typedef int charset[2];
+  const charset cs;
+  /* SunOS 4.1.1 cc rejects this.  */
+  char const *const *pcpcc;
+  char **ppc;
+  /* NEC SVR4.0.2 mips cc rejects this.  */
+  struct point {int x, y;};
+  static struct point const zero = {0,0};
+  /* AIX XL C 1.02.0.0 rejects this.
+     It does not let you subtract one const X* pointer from another in
+     an arm of an if-expression whose if-part is not a constant
+     expression */
+  const char *g = "string";
+  pcpcc = &g + (g ? g-g : 0);
+  /* HPUX 7.0 cc rejects these. */
+  ++pcpcc;
+  ppc = (char**) pcpcc;
+  pcpcc = (char const *const *) ppc;
+  { /* SCO 3.2v4 cc rejects this.  */
+    char *t;
+    char const *s = 0 ? (char *) 0 : (char const *) 0;
+
+    *t++ = 0;
+    if (s) return 0;
+  }
+  { /* Someone thinks the Sun supposedly-ANSI compiler will reject this.  */
+    int x[] = {25, 17};
+    const int *foo = &x[0];
+    ++foo;
+  }
+  { /* Sun SC1.0 ANSI compiler rejects this -- but not the above. */
+    typedef const int *iptr;
+    iptr p = 0;
+    ++p;
+  }
+  { /* AIX XL C 1.02.0.0 rejects this saying
+       "k.c", line 2.27: 1506-025 (S) Operand must be a modifiable lvalue. */
+    struct s { int j; const int *ap[3]; };
+    struct s *b; b->j = 5;
+  }
+  { /* ULTRIX-32 V3.1 (Rev 9) vcc rejects this */
+    const int foo = 10;
+    if (!foo) return 0;
+  }
+  return !cs[0] && !zero.x;
+#endif
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_cv_c_const=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_c_const=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_c_const" >&5
+$as_echo "$ac_cv_c_const" >&6; }
+if test $ac_cv_c_const = no; then
+
+cat >>confdefs.h <<\_ACEOF
+#define const /**/
+_ACEOF
+
+fi
+
+{ $as_echo "$as_me:$LINENO: checking for inline" >&5
+$as_echo_n "checking for inline... " >&6; }
+if test "${ac_cv_c_inline+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_cv_c_inline=no
+for ac_kw in inline __inline__ __inline; do
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#ifndef __cplusplus
+typedef int foo_t;
+static $ac_kw foo_t static_foo () {return 0; }
+$ac_kw foo_t foo () {return 0; }
+#endif
+
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_cv_c_inline=$ac_kw
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+  test "$ac_cv_c_inline" != no && break
+done
+
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_c_inline" >&5
+$as_echo "$ac_cv_c_inline" >&6; }
+
+
+case $ac_cv_c_inline in
+  inline | yes) ;;
+  *)
+    case $ac_cv_c_inline in
+      no) ac_val=;;
+      *) ac_val=$ac_cv_c_inline;;
+    esac
+    cat >>confdefs.h <<_ACEOF
+#ifndef __cplusplus
+#define inline $ac_val
+#endif
+_ACEOF
+    ;;
+esac
+
+{ $as_echo "$as_me:$LINENO: checking for size_t" >&5
+$as_echo_n "checking for size_t... " >&6; }
+if test "${ac_cv_type_size_t+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_cv_type_size_t=no
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+$ac_includes_default
+int
+main ()
+{
+if (sizeof (size_t))
+       return 0;
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+$ac_includes_default
+int
+main ()
+{
+if (sizeof ((size_t)))
+         return 0;
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  :
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_type_size_t=yes
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_type_size_t" >&5
+$as_echo "$ac_cv_type_size_t" >&6; }
+if test "x$ac_cv_type_size_t" = x""yes; then
+  :
+else
+
+cat >>confdefs.h <<_ACEOF
+#define size_t unsigned int
+_ACEOF
+
+fi
+
+{ $as_echo "$as_me:$LINENO: checking whether time.h and sys/time.h may both be included" >&5
+$as_echo_n "checking whether time.h and sys/time.h may both be included... " >&6; }
+if test "${ac_cv_header_time+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <sys/types.h>
+#include <sys/time.h>
+#include <time.h>
+
+int
+main ()
+{
+if ((struct tm *) 0)
+return 0;
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_cv_header_time=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_header_time=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_header_time" >&5
+$as_echo "$ac_cv_header_time" >&6; }
+if test $ac_cv_header_time = yes; then
+
+cat >>confdefs.h <<\_ACEOF
+#define TIME_WITH_SYS_TIME 1
+_ACEOF
+
+fi
+
+
+ { $as_echo "$as_me:$LINENO: checking whether byte ordering is bigendian" >&5
+$as_echo_n "checking whether byte ordering is bigendian... " >&6; }
+if test "${ac_cv_c_bigendian+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_cv_c_bigendian=unknown
+    # See if we're dealing with a universal compiler.
+    cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#ifndef __APPLE_CC__
+              not a universal capable compiler
+            #endif
+            typedef int dummy;
+
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+
+       # Check for potential -arch flags.  It is not universal unless
+       # there are some -arch flags.  Note that *ppc* also matches
+       # ppc64.  This check is also rather less than ideal.
+       case "${CC} ${CFLAGS} ${CPPFLAGS} ${LDFLAGS}" in  #(
+         *-arch*ppc*|*-arch*i386*|*-arch*x86_64*) ac_cv_c_bigendian=universal;;
+       esac
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+    if test $ac_cv_c_bigendian = unknown; then
+      # See if sys/param.h defines the BYTE_ORDER macro.
+      cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <sys/types.h>
+            #include <sys/param.h>
+
+int
+main ()
+{
+#if ! (defined BYTE_ORDER && defined BIG_ENDIAN \
+                    && defined LITTLE_ENDIAN && BYTE_ORDER && BIG_ENDIAN \
+                    && LITTLE_ENDIAN)
+             bogus endian macros
+            #endif
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  # It does; now see whether it defined to BIG_ENDIAN or not.
+        cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <sys/types.h>
+               #include <sys/param.h>
+
+int
+main ()
+{
+#if BYTE_ORDER != BIG_ENDIAN
+                not big endian
+               #endif
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_cv_c_bigendian=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_c_bigendian=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+    fi
+    if test $ac_cv_c_bigendian = unknown; then
+      # See if <limits.h> defines _LITTLE_ENDIAN or _BIG_ENDIAN (e.g., Solaris).
+      cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <limits.h>
+
+int
+main ()
+{
+#if ! (defined _LITTLE_ENDIAN || defined _BIG_ENDIAN)
+             bogus endian macros
+            #endif
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  # It does; now see whether it defined to _BIG_ENDIAN or not.
+        cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <limits.h>
+
+int
+main ()
+{
+#ifndef _BIG_ENDIAN
+                not big endian
+               #endif
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_cv_c_bigendian=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_c_bigendian=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+    fi
+    if test $ac_cv_c_bigendian = unknown; then
+      # Compile a test program.
+      if test "$cross_compiling" = yes; then
+  # Try to guess by grepping values from an object file.
+        cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+short int ascii_mm[] =
+                 { 0x4249, 0x4765, 0x6E44, 0x6961, 0x6E53, 0x7953, 0 };
+               short int ascii_ii[] =
+                 { 0x694C, 0x5454, 0x656C, 0x6E45, 0x6944, 0x6E61, 0 };
+               int use_ascii (int i) {
+                 return ascii_mm[i] + ascii_ii[i];
+               }
+               short int ebcdic_ii[] =
+                 { 0x89D3, 0xE3E3, 0x8593, 0x95C5, 0x89C4, 0x9581, 0 };
+               short int ebcdic_mm[] =
+                 { 0xC2C9, 0xC785, 0x95C4, 0x8981, 0x95E2, 0xA8E2, 0 };
+               int use_ebcdic (int i) {
+                 return ebcdic_mm[i] + ebcdic_ii[i];
+               }
+               extern int foo;
+
+int
+main ()
+{
+return use_ascii (foo) == use_ebcdic (foo);
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  if grep BIGenDianSyS conftest.$ac_objext >/dev/null; then
+             ac_cv_c_bigendian=yes
+           fi
+           if grep LiTTleEnDian conftest.$ac_objext >/dev/null ; then
+             if test "$ac_cv_c_bigendian" = unknown; then
+               ac_cv_c_bigendian=no
+             else
+               # finding both strings is unlikely to happen, but who knows?
+               ac_cv_c_bigendian=unknown
+             fi
+           fi
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+$ac_includes_default
+int
+main ()
+{
+
+            /* Are we little or big endian?  From Harbison&Steele.  */
+            union
+            {
+              long int l;
+              char c[sizeof (long int)];
+            } u;
+            u.l = 1;
+            return u.c[sizeof (long int) - 1] == 1;
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && { ac_try='./conftest$ac_exeext'
+  { (case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_try") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; }; then
+  ac_cv_c_bigendian=no
+else
+  $as_echo "$as_me: program exited with status $ac_status" >&5
+$as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+( exit $ac_status )
+ac_cv_c_bigendian=yes
+fi
+rm -rf conftest.dSYM
+rm -f core *.core core.conftest.* gmon.out bb.out conftest$ac_exeext conftest.$ac_objext conftest.$ac_ext
+fi
+
+
+    fi
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_c_bigendian" >&5
+$as_echo "$ac_cv_c_bigendian" >&6; }
+ case $ac_cv_c_bigendian in #(
+   yes)
+     GR_ARCH_BIGENDIAN=1;; #(
+   no)
+     GR_ARCH_BIGENDIAN=0 ;; #(
+   universal)
+
+cat >>confdefs.h <<\_ACEOF
+#define AC_APPLE_UNIVERSAL_BUILD 1
+_ACEOF
+
+     ;; #(
+   *)
+     { { $as_echo "$as_me:$LINENO: error: unknown endianness
+ presetting ac_cv_c_bigendian=no (or yes) will help" >&5
+$as_echo "$as_me: error: unknown endianness
+ presetting ac_cv_c_bigendian=no (or yes) will help" >&2;}
+   { (exit 1); exit 1; }; } ;;
+ esac
+
+
+{ $as_echo "$as_me:$LINENO: checking whether struct tm is in sys/time.h or time.h" >&5
+$as_echo_n "checking whether struct tm is in sys/time.h or time.h... " >&6; }
+if test "${ac_cv_struct_tm+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <sys/types.h>
+#include <time.h>
+
+int
+main ()
+{
+struct tm tm;
+                                    int *p = &tm.tm_sec;
+                                    return !p;
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_cv_struct_tm=time.h
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_struct_tm=sys/time.h
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_struct_tm" >&5
+$as_echo "$ac_cv_struct_tm" >&6; }
+if test $ac_cv_struct_tm = sys/time.h; then
+
+cat >>confdefs.h <<\_ACEOF
+#define TM_IN_SYS_TIME 1
+_ACEOF
+
+fi
+
+
+# The Ultrix 4.2 mips builtin alloca declared by alloca.h only works
+# for constant arguments.  Useless!
+{ $as_echo "$as_me:$LINENO: checking for working alloca.h" >&5
+$as_echo_n "checking for working alloca.h... " >&6; }
+if test "${ac_cv_working_alloca_h+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <alloca.h>
+int
+main ()
+{
+char *p = (char *) alloca (2 * sizeof (int));
+                         if (p) return 0;
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  ac_cv_working_alloca_h=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_working_alloca_h=no
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_working_alloca_h" >&5
+$as_echo "$ac_cv_working_alloca_h" >&6; }
+if test $ac_cv_working_alloca_h = yes; then
+
+cat >>confdefs.h <<\_ACEOF
+#define HAVE_ALLOCA_H 1
+_ACEOF
+
+fi
+
+{ $as_echo "$as_me:$LINENO: checking for alloca" >&5
+$as_echo_n "checking for alloca... " >&6; }
+if test "${ac_cv_func_alloca_works+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#ifdef __GNUC__
+# define alloca __builtin_alloca
+#else
+# ifdef _MSC_VER
+#  include <malloc.h>
+#  define alloca _alloca
+# else
+#  ifdef HAVE_ALLOCA_H
+#   include <alloca.h>
+#  else
+#   ifdef _AIX
+ #pragma alloca
+#   else
+#    ifndef alloca /* predefined by HP cc +Olibcalls */
+char *alloca ();
+#    endif
+#   endif
+#  endif
+# endif
+#endif
+
+int
+main ()
+{
+char *p = (char *) alloca (1);
+                                   if (p) return 0;
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  ac_cv_func_alloca_works=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_func_alloca_works=no
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_func_alloca_works" >&5
+$as_echo "$ac_cv_func_alloca_works" >&6; }
+
+if test $ac_cv_func_alloca_works = yes; then
+
+cat >>confdefs.h <<\_ACEOF
+#define HAVE_ALLOCA 1
+_ACEOF
+
+else
+  # The SVR3 libPW and SVR4 libucb both contain incompatible functions
+# that cause trouble.  Some versions do not even contain alloca or
+# contain a buggy version.  If you still want to use their alloca,
+# use ar to extract alloca.o from them instead of compiling alloca.c.
+
+ALLOCA=\${LIBOBJDIR}alloca.$ac_objext
+
+cat >>confdefs.h <<\_ACEOF
+#define C_ALLOCA 1
+_ACEOF
+
+
+{ $as_echo "$as_me:$LINENO: checking whether \`alloca.c' needs Cray hooks" >&5
+$as_echo_n "checking whether \`alloca.c' needs Cray hooks... " >&6; }
+if test "${ac_cv_os_cray+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#if defined CRAY && ! defined CRAY2
+webecray
+#else
+wenotbecray
+#endif
+
+_ACEOF
+if (eval "$ac_cpp conftest.$ac_ext") 2>&5 |
+  $EGREP "webecray" >/dev/null 2>&1; then
+  ac_cv_os_cray=yes
+else
+  ac_cv_os_cray=no
+fi
+rm -f conftest*
+
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_os_cray" >&5
+$as_echo "$ac_cv_os_cray" >&6; }
+if test $ac_cv_os_cray = yes; then
+  for ac_func in _getb67 GETB67 getb67; do
+    as_ac_var=`$as_echo "ac_cv_func_$ac_func" | $as_tr_sh`
+{ $as_echo "$as_me:$LINENO: checking for $ac_func" >&5
+$as_echo_n "checking for $ac_func... " >&6; }
+if { as_var=$as_ac_var; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+/* Define $ac_func to an innocuous variant, in case <limits.h> declares $ac_func.
+   For example, HP-UX 11i <limits.h> declares gettimeofday.  */
+#define $ac_func innocuous_$ac_func
+
+/* System header to define __stub macros and hopefully few prototypes,
+    which can conflict with char $ac_func (); below.
+    Prefer <limits.h> to <assert.h> if __STDC__ is defined, since
+    <limits.h> exists even on freestanding compilers.  */
+
+#ifdef __STDC__
+# include <limits.h>
+#else
+# include <assert.h>
+#endif
+
+#undef $ac_func
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char $ac_func ();
+/* The GNU C library defines this for functions which it implements
+    to always fail with ENOSYS.  Some functions are actually named
+    something starting with __ and the normal name is an alias.  */
+#if defined __stub_$ac_func || defined __stub___$ac_func
+choke me
+#endif
+
+int
+main ()
+{
+return $ac_func ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  eval "$as_ac_var=yes"
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       eval "$as_ac_var=no"
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+fi
+ac_res=`eval 'as_val=${'$as_ac_var'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+as_val=`eval 'as_val=${'$as_ac_var'}
+                $as_echo "$as_val"'`
+   if test "x$as_val" = x""yes; then
+
+cat >>confdefs.h <<_ACEOF
+#define CRAY_STACKSEG_END $ac_func
+_ACEOF
+
+    break
+fi
+
+  done
+fi
+
+{ $as_echo "$as_me:$LINENO: checking stack direction for C alloca" >&5
+$as_echo_n "checking stack direction for C alloca... " >&6; }
+if test "${ac_cv_c_stack_direction+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test "$cross_compiling" = yes; then
+  ac_cv_c_stack_direction=0
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+$ac_includes_default
+int
+find_stack_direction ()
+{
+  static char *addr = 0;
+  auto char dummy;
+  if (addr == 0)
+    {
+      addr = &dummy;
+      return find_stack_direction ();
+    }
+  else
+    return (&dummy > addr) ? 1 : -1;
+}
+
+int
+main ()
+{
+  return find_stack_direction () < 0;
+}
+_ACEOF
+rm -f conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && { ac_try='./conftest$ac_exeext'
+  { (case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_try") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; }; then
+  ac_cv_c_stack_direction=1
+else
+  $as_echo "$as_me: program exited with status $ac_status" >&5
+$as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+( exit $ac_status )
+ac_cv_c_stack_direction=-1
+fi
+rm -rf conftest.dSYM
+rm -f core *.core core.conftest.* gmon.out bb.out conftest$ac_exeext conftest.$ac_objext conftest.$ac_ext
+fi
+
+
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_c_stack_direction" >&5
+$as_echo "$ac_cv_c_stack_direction" >&6; }
+
+cat >>confdefs.h <<_ACEOF
+#define STACK_DIRECTION $ac_cv_c_stack_direction
+_ACEOF
+
+
+fi
+
+
+
+
+  # Check for allocing memory alignment functions
+  # If 'posix_memalign' is available, use it solely.
+
+for ac_func in posix_memalign
+do
+as_ac_var=`$as_echo "ac_cv_func_$ac_func" | $as_tr_sh`
+{ $as_echo "$as_me:$LINENO: checking for $ac_func" >&5
+$as_echo_n "checking for $ac_func... " >&6; }
+if { as_var=$as_ac_var; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+/* Define $ac_func to an innocuous variant, in case <limits.h> declares $ac_func.
+   For example, HP-UX 11i <limits.h> declares gettimeofday.  */
+#define $ac_func innocuous_$ac_func
+
+/* System header to define __stub macros and hopefully few prototypes,
+    which can conflict with char $ac_func (); below.
+    Prefer <limits.h> to <assert.h> if __STDC__ is defined, since
+    <limits.h> exists even on freestanding compilers.  */
+
+#ifdef __STDC__
+# include <limits.h>
+#else
+# include <assert.h>
+#endif
+
+#undef $ac_func
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char $ac_func ();
+/* The GNU C library defines this for functions which it implements
+    to always fail with ENOSYS.  Some functions are actually named
+    something starting with __ and the normal name is an alias.  */
+#if defined __stub_$ac_func || defined __stub___$ac_func
+choke me
+#endif
+
+int
+main ()
+{
+return $ac_func ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  eval "$as_ac_var=yes"
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       eval "$as_ac_var=no"
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+fi
+ac_res=`eval 'as_val=${'$as_ac_var'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+as_val=`eval 'as_val=${'$as_ac_var'}
+                $as_echo "$as_val"'`
+   if test "x$as_val" = x""yes; then
+  cat >>confdefs.h <<_ACEOF
+#define `$as_echo "HAVE_$ac_func" | $as_tr_cpp` 1
+_ACEOF
+
+else
+
+    # Otherwise, check for valloc and the
+    # (a-priori known) alignment of 'malloc'
+
+for ac_func in valloc
+do
+as_ac_var=`$as_echo "ac_cv_func_$ac_func" | $as_tr_sh`
+{ $as_echo "$as_me:$LINENO: checking for $ac_func" >&5
+$as_echo_n "checking for $ac_func... " >&6; }
+if { as_var=$as_ac_var; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+/* Define $ac_func to an innocuous variant, in case <limits.h> declares $ac_func.
+   For example, HP-UX 11i <limits.h> declares gettimeofday.  */
+#define $ac_func innocuous_$ac_func
+
+/* System header to define __stub macros and hopefully few prototypes,
+    which can conflict with char $ac_func (); below.
+    Prefer <limits.h> to <assert.h> if __STDC__ is defined, since
+    <limits.h> exists even on freestanding compilers.  */
+
+#ifdef __STDC__
+# include <limits.h>
+#else
+# include <assert.h>
+#endif
+
+#undef $ac_func
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char $ac_func ();
+/* The GNU C library defines this for functions which it implements
+    to always fail with ENOSYS.  Some functions are actually named
+    something starting with __ and the normal name is an alias.  */
+#if defined __stub_$ac_func || defined __stub___$ac_func
+choke me
+#endif
+
+int
+main ()
+{
+return $ac_func ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  eval "$as_ac_var=yes"
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       eval "$as_ac_var=no"
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+fi
+ac_res=`eval 'as_val=${'$as_ac_var'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+as_val=`eval 'as_val=${'$as_ac_var'}
+                $as_echo "$as_val"'`
+   if test "x$as_val" = x""yes; then
+  cat >>confdefs.h <<_ACEOF
+#define `$as_echo "HAVE_$ac_func" | $as_tr_cpp` 1
+_ACEOF
+
+fi
+done
+
+    aligned_malloc=0
+    # 'malloc' is 16-byte aligned on (at least) Darwin 8 and 9
+    case "$host_os" in
+      darwin8*) aligned_malloc=16 ;;
+      darwin9*) aligned_malloc=16 ;;
+      *) ;;
+    esac
+    if test $aligned_malloc = 0; then
+      { $as_echo "$as_me:$LINENO: result: malloc has unknown alignment." >&5
+$as_echo "malloc has unknown alignment." >&6; }
+    else
+      { $as_echo "$as_me:$LINENO: result: malloc is $aligned_malloc-byte aligned." >&5
+$as_echo "malloc is $aligned_malloc-byte aligned." >&6; }
+    fi
+
+cat >>confdefs.h <<_ACEOF
+#define ALIGNED_MALLOC $aligned_malloc
+_ACEOF
+
+
+fi
+done
+
+
+if test "${ac_cv_func_setvbuf_reversed+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_cv_func_setvbuf_reversed=no
+fi
+
+
+
+for ac_func in vprintf
+do
+as_ac_var=`$as_echo "ac_cv_func_$ac_func" | $as_tr_sh`
+{ $as_echo "$as_me:$LINENO: checking for $ac_func" >&5
+$as_echo_n "checking for $ac_func... " >&6; }
+if { as_var=$as_ac_var; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+/* Define $ac_func to an innocuous variant, in case <limits.h> declares $ac_func.
+   For example, HP-UX 11i <limits.h> declares gettimeofday.  */
+#define $ac_func innocuous_$ac_func
+
+/* System header to define __stub macros and hopefully few prototypes,
+    which can conflict with char $ac_func (); below.
+    Prefer <limits.h> to <assert.h> if __STDC__ is defined, since
+    <limits.h> exists even on freestanding compilers.  */
+
+#ifdef __STDC__
+# include <limits.h>
+#else
+# include <assert.h>
+#endif
+
+#undef $ac_func
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char $ac_func ();
+/* The GNU C library defines this for functions which it implements
+    to always fail with ENOSYS.  Some functions are actually named
+    something starting with __ and the normal name is an alias.  */
+#if defined __stub_$ac_func || defined __stub___$ac_func
+choke me
+#endif
+
+int
+main ()
+{
+return $ac_func ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  eval "$as_ac_var=yes"
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       eval "$as_ac_var=no"
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+fi
+ac_res=`eval 'as_val=${'$as_ac_var'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+as_val=`eval 'as_val=${'$as_ac_var'}
+                $as_echo "$as_val"'`
+   if test "x$as_val" = x""yes; then
+  cat >>confdefs.h <<_ACEOF
+#define `$as_echo "HAVE_$ac_func" | $as_tr_cpp` 1
+_ACEOF
+
+{ $as_echo "$as_me:$LINENO: checking for _doprnt" >&5
+$as_echo_n "checking for _doprnt... " >&6; }
+if test "${ac_cv_func__doprnt+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+/* Define _doprnt to an innocuous variant, in case <limits.h> declares _doprnt.
+   For example, HP-UX 11i <limits.h> declares gettimeofday.  */
+#define _doprnt innocuous__doprnt
+
+/* System header to define __stub macros and hopefully few prototypes,
+    which can conflict with char _doprnt (); below.
+    Prefer <limits.h> to <assert.h> if __STDC__ is defined, since
+    <limits.h> exists even on freestanding compilers.  */
+
+#ifdef __STDC__
+# include <limits.h>
+#else
+# include <assert.h>
+#endif
+
+#undef _doprnt
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char _doprnt ();
+/* The GNU C library defines this for functions which it implements
+    to always fail with ENOSYS.  Some functions are actually named
+    something starting with __ and the normal name is an alias.  */
+#if defined __stub__doprnt || defined __stub____doprnt
+choke me
+#endif
+
+int
+main ()
+{
+return _doprnt ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  ac_cv_func__doprnt=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_func__doprnt=no
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_func__doprnt" >&5
+$as_echo "$ac_cv_func__doprnt" >&6; }
+if test "x$ac_cv_func__doprnt" = x""yes; then
+
+cat >>confdefs.h <<\_ACEOF
+#define HAVE_DOPRNT 1
+_ACEOF
+
+fi
+
+fi
+done
+
+
+
+
+
+
+
+
+
+
+for ac_func in mmap select socket strcspn strerror strspn getpagesize sysconf
+do
+as_ac_var=`$as_echo "ac_cv_func_$ac_func" | $as_tr_sh`
+{ $as_echo "$as_me:$LINENO: checking for $ac_func" >&5
+$as_echo_n "checking for $ac_func... " >&6; }
+if { as_var=$as_ac_var; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+/* Define $ac_func to an innocuous variant, in case <limits.h> declares $ac_func.
+   For example, HP-UX 11i <limits.h> declares gettimeofday.  */
+#define $ac_func innocuous_$ac_func
+
+/* System header to define __stub macros and hopefully few prototypes,
+    which can conflict with char $ac_func (); below.
+    Prefer <limits.h> to <assert.h> if __STDC__ is defined, since
+    <limits.h> exists even on freestanding compilers.  */
+
+#ifdef __STDC__
+# include <limits.h>
+#else
+# include <assert.h>
+#endif
+
+#undef $ac_func
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char $ac_func ();
+/* The GNU C library defines this for functions which it implements
+    to always fail with ENOSYS.  Some functions are actually named
+    something starting with __ and the normal name is an alias.  */
+#if defined __stub_$ac_func || defined __stub___$ac_func
+choke me
+#endif
+
+int
+main ()
+{
+return $ac_func ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  eval "$as_ac_var=yes"
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       eval "$as_ac_var=no"
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+fi
+ac_res=`eval 'as_val=${'$as_ac_var'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+as_val=`eval 'as_val=${'$as_ac_var'}
+                $as_echo "$as_val"'`
+   if test "x$as_val" = x""yes; then
+  cat >>confdefs.h <<_ACEOF
+#define `$as_echo "HAVE_$ac_func" | $as_tr_cpp` 1
+_ACEOF
+
+fi
+done
+
+
+
+
+
+for ac_func in snprintf gettimeofday nanosleep sched_setscheduler
+do
+as_ac_var=`$as_echo "ac_cv_func_$ac_func" | $as_tr_sh`
+{ $as_echo "$as_me:$LINENO: checking for $ac_func" >&5
+$as_echo_n "checking for $ac_func... " >&6; }
+if { as_var=$as_ac_var; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+/* Define $ac_func to an innocuous variant, in case <limits.h> declares $ac_func.
+   For example, HP-UX 11i <limits.h> declares gettimeofday.  */
+#define $ac_func innocuous_$ac_func
+
+/* System header to define __stub macros and hopefully few prototypes,
+    which can conflict with char $ac_func (); below.
+    Prefer <limits.h> to <assert.h> if __STDC__ is defined, since
+    <limits.h> exists even on freestanding compilers.  */
+
+#ifdef __STDC__
+# include <limits.h>
+#else
+# include <assert.h>
+#endif
+
+#undef $ac_func
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char $ac_func ();
+/* The GNU C library defines this for functions which it implements
+    to always fail with ENOSYS.  Some functions are actually named
+    something starting with __ and the normal name is an alias.  */
+#if defined __stub_$ac_func || defined __stub___$ac_func
+choke me
+#endif
+
+int
+main ()
+{
+return $ac_func ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  eval "$as_ac_var=yes"
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       eval "$as_ac_var=no"
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+fi
+ac_res=`eval 'as_val=${'$as_ac_var'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+as_val=`eval 'as_val=${'$as_ac_var'}
+                $as_echo "$as_val"'`
+   if test "x$as_val" = x""yes; then
+  cat >>confdefs.h <<_ACEOF
+#define `$as_echo "HAVE_$ac_func" | $as_tr_cpp` 1
+_ACEOF
+
+fi
+done
+
+
+
+
+
+
+for ac_func in modf sqrt sigaction sigprocmask pthread_sigmask
+do
+as_ac_var=`$as_echo "ac_cv_func_$ac_func" | $as_tr_sh`
+{ $as_echo "$as_me:$LINENO: checking for $ac_func" >&5
+$as_echo_n "checking for $ac_func... " >&6; }
+if { as_var=$as_ac_var; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+/* Define $ac_func to an innocuous variant, in case <limits.h> declares $ac_func.
+   For example, HP-UX 11i <limits.h> declares gettimeofday.  */
+#define $ac_func innocuous_$ac_func
+
+/* System header to define __stub macros and hopefully few prototypes,
+    which can conflict with char $ac_func (); below.
+    Prefer <limits.h> to <assert.h> if __STDC__ is defined, since
+    <limits.h> exists even on freestanding compilers.  */
+
+#ifdef __STDC__
+# include <limits.h>
+#else
+# include <assert.h>
+#endif
+
+#undef $ac_func
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char $ac_func ();
+/* The GNU C library defines this for functions which it implements
+    to always fail with ENOSYS.  Some functions are actually named
+    something starting with __ and the normal name is an alias.  */
+#if defined __stub_$ac_func || defined __stub___$ac_func
+choke me
+#endif
+
+int
+main ()
+{
+return $ac_func ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  eval "$as_ac_var=yes"
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       eval "$as_ac_var=no"
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+fi
+ac_res=`eval 'as_val=${'$as_ac_var'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+as_val=`eval 'as_val=${'$as_ac_var'}
+                $as_echo "$as_val"'`
+   if test "x$as_val" = x""yes; then
+  cat >>confdefs.h <<_ACEOF
+#define `$as_echo "HAVE_$ac_func" | $as_tr_cpp` 1
+_ACEOF
+
+fi
+done
+
+
+for ac_func in sched_setaffinity
+do
+as_ac_var=`$as_echo "ac_cv_func_$ac_func" | $as_tr_sh`
+{ $as_echo "$as_me:$LINENO: checking for $ac_func" >&5
+$as_echo_n "checking for $ac_func... " >&6; }
+if { as_var=$as_ac_var; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+/* Define $ac_func to an innocuous variant, in case <limits.h> declares $ac_func.
+   For example, HP-UX 11i <limits.h> declares gettimeofday.  */
+#define $ac_func innocuous_$ac_func
+
+/* System header to define __stub macros and hopefully few prototypes,
+    which can conflict with char $ac_func (); below.
+    Prefer <limits.h> to <assert.h> if __STDC__ is defined, since
+    <limits.h> exists even on freestanding compilers.  */
+
+#ifdef __STDC__
+# include <limits.h>
+#else
+# include <assert.h>
+#endif
+
+#undef $ac_func
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char $ac_func ();
+/* The GNU C library defines this for functions which it implements
+    to always fail with ENOSYS.  Some functions are actually named
+    something starting with __ and the normal name is an alias.  */
+#if defined __stub_$ac_func || defined __stub___$ac_func
+choke me
+#endif
+
+int
+main ()
+{
+return $ac_func ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  eval "$as_ac_var=yes"
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       eval "$as_ac_var=no"
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+fi
+ac_res=`eval 'as_val=${'$as_ac_var'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+as_val=`eval 'as_val=${'$as_ac_var'}
+                $as_echo "$as_val"'`
+   if test "x$as_val" = x""yes; then
+  cat >>confdefs.h <<_ACEOF
+#define `$as_echo "HAVE_$ac_func" | $as_tr_cpp` 1
+_ACEOF
+
+fi
+done
+
+
+{ $as_echo "$as_me:$LINENO: checking for sincos in -lm" >&5
+$as_echo_n "checking for sincos in -lm... " >&6; }
+if test "${ac_cv_lib_m_sincos+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-lm  $LIBS"
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char sincos ();
+int
+main ()
+{
+return sincos ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  ac_cv_lib_m_sincos=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_lib_m_sincos=no
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_lib_m_sincos" >&5
+$as_echo "$ac_cv_lib_m_sincos" >&6; }
+if test "x$ac_cv_lib_m_sincos" = x""yes; then
+
+cat >>confdefs.h <<\_ACEOF
+#define HAVE_SINCOS 1
+_ACEOF
+
+fi
+
+{ $as_echo "$as_me:$LINENO: checking for sincosf in -lm" >&5
+$as_echo_n "checking for sincosf in -lm... " >&6; }
+if test "${ac_cv_lib_m_sincosf+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-lm  $LIBS"
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char sincosf ();
+int
+main ()
+{
+return sincosf ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  ac_cv_lib_m_sincosf=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_lib_m_sincosf=no
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_lib_m_sincosf" >&5
+$as_echo "$ac_cv_lib_m_sincosf" >&6; }
+if test "x$ac_cv_lib_m_sincosf" = x""yes; then
+
+cat >>confdefs.h <<\_ACEOF
+#define HAVE_SINCOSF 1
+_ACEOF
+
+fi
+
+{ $as_echo "$as_me:$LINENO: checking for sinf in -lm" >&5
+$as_echo_n "checking for sinf in -lm... " >&6; }
+if test "${ac_cv_lib_m_sinf+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-lm  $LIBS"
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char sinf ();
+int
+main ()
+{
+return sinf ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  ac_cv_lib_m_sinf=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_lib_m_sinf=no
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_lib_m_sinf" >&5
+$as_echo "$ac_cv_lib_m_sinf" >&6; }
+if test "x$ac_cv_lib_m_sinf" = x""yes; then
+
+cat >>confdefs.h <<\_ACEOF
+#define HAVE_SINF 1
+_ACEOF
+
+fi
+
+{ $as_echo "$as_me:$LINENO: checking for cosf in -lm" >&5
+$as_echo_n "checking for cosf in -lm... " >&6; }
+if test "${ac_cv_lib_m_cosf+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-lm  $LIBS"
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char cosf ();
+int
+main ()
+{
+return cosf ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  ac_cv_lib_m_cosf=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_lib_m_cosf=no
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_lib_m_cosf" >&5
+$as_echo "$ac_cv_lib_m_cosf" >&6; }
+if test "x$ac_cv_lib_m_cosf" = x""yes; then
+
+cat >>confdefs.h <<\_ACEOF
+#define HAVE_COSF 1
+_ACEOF
+
+fi
+
+{ $as_echo "$as_me:$LINENO: checking for trunc in -lm" >&5
+$as_echo_n "checking for trunc in -lm... " >&6; }
+if test "${ac_cv_lib_m_trunc+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-lm  $LIBS"
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char trunc ();
+int
+main ()
+{
+return trunc ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  ac_cv_lib_m_trunc=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_lib_m_trunc=no
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_lib_m_trunc" >&5
+$as_echo "$ac_cv_lib_m_trunc" >&6; }
+if test "x$ac_cv_lib_m_trunc" = x""yes; then
+
+cat >>confdefs.h <<\_ACEOF
+#define HAVE_TRUNC 1
+_ACEOF
+
+fi
+
+{ $as_echo "$as_me:$LINENO: checking for exp10 in -lm" >&5
+$as_echo_n "checking for exp10 in -lm... " >&6; }
+if test "${ac_cv_lib_m_exp10+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-lm  $LIBS"
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char exp10 ();
+int
+main ()
+{
+return exp10 ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  ac_cv_lib_m_exp10=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_lib_m_exp10=no
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_lib_m_exp10" >&5
+$as_echo "$ac_cv_lib_m_exp10" >&6; }
+if test "x$ac_cv_lib_m_exp10" = x""yes; then
+
+cat >>confdefs.h <<\_ACEOF
+#define HAVE_EXP10 1
+_ACEOF
+
+fi
+
+{ $as_echo "$as_me:$LINENO: checking for log2 in -lm" >&5
+$as_echo_n "checking for log2 in -lm... " >&6; }
+if test "${ac_cv_lib_m_log2+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-lm  $LIBS"
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char log2 ();
+int
+main ()
+{
+return log2 ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  ac_cv_lib_m_log2=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_lib_m_log2=no
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_lib_m_log2" >&5
+$as_echo "$ac_cv_lib_m_log2" >&6; }
+if test "x$ac_cv_lib_m_log2" = x""yes; then
+
+cat >>confdefs.h <<\_ACEOF
+#define HAVE_LOG2 1
+_ACEOF
+
+fi
+
+#AC_FUNC_MKTIME
+
+
+
+
+
+  SHM_OPEN_LIBS=""
+  save_LIBS="$LIBS"
+  { $as_echo "$as_me:$LINENO: checking for library containing shm_open" >&5
+$as_echo_n "checking for library containing shm_open... " >&6; }
+if test "${ac_cv_search_shm_open+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_func_search_save_LIBS=$LIBS
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char shm_open ();
+int
+main ()
+{
+return shm_open ();
+  ;
+  return 0;
+}
+_ACEOF
+for ac_lib in '' rt; do
+  if test -z "$ac_lib"; then
+    ac_res="none required"
+  else
+    ac_res=-l$ac_lib
+    LIBS="-l$ac_lib  $ac_func_search_save_LIBS"
+  fi
+  rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  ac_cv_search_shm_open=$ac_res
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext
+  if test "${ac_cv_search_shm_open+set}" = set; then
+  break
+fi
+done
+if test "${ac_cv_search_shm_open+set}" = set; then
+  :
+else
+  ac_cv_search_shm_open=no
+fi
+rm conftest.$ac_ext
+LIBS=$ac_func_search_save_LIBS
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_search_shm_open" >&5
+$as_echo "$ac_cv_search_shm_open" >&6; }
+ac_res=$ac_cv_search_shm_open
+if test "$ac_res" != no; then
+  test "$ac_res" = "none required" || LIBS="$ac_res $LIBS"
+  SHM_OPEN_LIBS="$LIBS"
+fi
+
+
+for ac_func in shm_open
+do
+as_ac_var=`$as_echo "ac_cv_func_$ac_func" | $as_tr_sh`
+{ $as_echo "$as_me:$LINENO: checking for $ac_func" >&5
+$as_echo_n "checking for $ac_func... " >&6; }
+if { as_var=$as_ac_var; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+/* Define $ac_func to an innocuous variant, in case <limits.h> declares $ac_func.
+   For example, HP-UX 11i <limits.h> declares gettimeofday.  */
+#define $ac_func innocuous_$ac_func
+
+/* System header to define __stub macros and hopefully few prototypes,
+    which can conflict with char $ac_func (); below.
+    Prefer <limits.h> to <assert.h> if __STDC__ is defined, since
+    <limits.h> exists even on freestanding compilers.  */
+
+#ifdef __STDC__
+# include <limits.h>
+#else
+# include <assert.h>
+#endif
+
+#undef $ac_func
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char $ac_func ();
+/* The GNU C library defines this for functions which it implements
+    to always fail with ENOSYS.  Some functions are actually named
+    something starting with __ and the normal name is an alias.  */
+#if defined __stub_$ac_func || defined __stub___$ac_func
+choke me
+#endif
+
+int
+main ()
+{
+return $ac_func ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  eval "$as_ac_var=yes"
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       eval "$as_ac_var=no"
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+fi
+ac_res=`eval 'as_val=${'$as_ac_var'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+as_val=`eval 'as_val=${'$as_ac_var'}
+                $as_echo "$as_val"'`
+   if test "x$as_val" = x""yes; then
+  cat >>confdefs.h <<_ACEOF
+#define `$as_echo "HAVE_$ac_func" | $as_tr_cpp` 1
+_ACEOF
+
+fi
+done
+
+  LIBS="$save_LIBS"
+
+
+
+
+# Check whether --with-gnu-ld was given.
+if test "${with_gnu_ld+set}" = set; then
+  withval=$with_gnu_ld; test "$withval" = no || with_gnu_ld=yes
+else
+  with_gnu_ld=no
+fi
+
+ac_prog=ld
+if test "$GCC" = yes; then
+  # Check if gcc -print-prog-name=ld gives a path.
+  { $as_echo "$as_me:$LINENO: checking for ld used by $CC" >&5
+$as_echo_n "checking for ld used by $CC... " >&6; }
+  case $host in
+  *-*-mingw*)
+    # gcc leaves a trailing carriage return which upsets mingw
+    ac_prog=`($CC -print-prog-name=ld) 2>&5 | tr -d '\015'` ;;
+  *)
+    ac_prog=`($CC -print-prog-name=ld) 2>&5` ;;
+  esac
+  case $ac_prog in
+    # Accept absolute paths.
+    [\\/]* | ?:[\\/]*)
+      re_direlt='/[^/][^/]*/\.\./'
+      # Canonicalize the pathname of ld
+      ac_prog=`$ECHO "$ac_prog"| $SED 's%\\\\%/%g'`
+      while $ECHO "$ac_prog" | $GREP "$re_direlt" > /dev/null 2>&1; do
+       ac_prog=`$ECHO $ac_prog| $SED "s%$re_direlt%/%"`
+      done
+      test -z "$LD" && LD="$ac_prog"
+      ;;
+  "")
+    # If it fails, then pretend we aren't using GCC.
+    ac_prog=ld
+    ;;
+  *)
+    # If it is relative, then search for the first ld in PATH.
+    with_gnu_ld=unknown
+    ;;
+  esac
+elif test "$with_gnu_ld" = yes; then
+  { $as_echo "$as_me:$LINENO: checking for GNU ld" >&5
+$as_echo_n "checking for GNU ld... " >&6; }
+else
+  { $as_echo "$as_me:$LINENO: checking for non-GNU ld" >&5
+$as_echo_n "checking for non-GNU ld... " >&6; }
+fi
+if test "${lt_cv_path_LD+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -z "$LD"; then
+  lt_save_ifs="$IFS"; IFS=$PATH_SEPARATOR
+  for ac_dir in $PATH; do
+    IFS="$lt_save_ifs"
+    test -z "$ac_dir" && ac_dir=.
+    if test -f "$ac_dir/$ac_prog" || test -f "$ac_dir/$ac_prog$ac_exeext"; then
+      lt_cv_path_LD="$ac_dir/$ac_prog"
+      # Check to see if the program is GNU ld.  I'd rather use --version,
+      # but apparently some variants of GNU ld only accept -v.
+      # Break only if it was the GNU/non-GNU ld that we prefer.
+      case `"$lt_cv_path_LD" -v 2>&1 </dev/null` in
+      *GNU* | *'with BFD'*)
+       test "$with_gnu_ld" != no && break
+       ;;
+      *)
+       test "$with_gnu_ld" != yes && break
+       ;;
+      esac
+    fi
+  done
+  IFS="$lt_save_ifs"
+else
+  lt_cv_path_LD="$LD" # Let the user override the test with a path.
+fi
+fi
+
+LD="$lt_cv_path_LD"
+if test -n "$LD"; then
+  { $as_echo "$as_me:$LINENO: result: $LD" >&5
+$as_echo "$LD" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+test -z "$LD" && { { $as_echo "$as_me:$LINENO: error: no acceptable ld found in \$PATH" >&5
+$as_echo "$as_me: error: no acceptable ld found in \$PATH" >&2;}
+   { (exit 1); exit 1; }; }
+{ $as_echo "$as_me:$LINENO: checking if the linker ($LD) is GNU ld" >&5
+$as_echo_n "checking if the linker ($LD) is GNU ld... " >&6; }
+if test "${lt_cv_prog_gnu_ld+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  # I'd rather use --version here, but apparently some GNU lds only accept -v.
+case `$LD -v 2>&1 </dev/null` in
+*GNU* | *'with BFD'*)
+  lt_cv_prog_gnu_ld=yes
+  ;;
+*)
+  lt_cv_prog_gnu_ld=no
+  ;;
+esac
+fi
+{ $as_echo "$as_me:$LINENO: result: $lt_cv_prog_gnu_ld" >&5
+$as_echo "$lt_cv_prog_gnu_ld" >&6; }
+with_gnu_ld=$lt_cv_prog_gnu_ld
+
+
+
+
+
+
+
+
+# on Mingw32 extra LDFLAGS are required to ease global variable linking
+LIBGNURADIO_CORE_EXTRA_LDFLAGS=""
+
+{ $as_echo "$as_me:$LINENO: checking whether $LD accepts --enable-runtime-pseudo-reloc" >&5
+$as_echo_n "checking whether $LD accepts --enable-runtime-pseudo-reloc... " >&6; }
+if ${LD} --enable-runtime-pseudo-reloc --version >/dev/null 2>&1
+then
+        # libtool requires the quotes
+        LIBGNURADIO_CORE_EXTRA_LDFLAGS="\"-Wl,--enable-runtime-pseudo-reloc\""
+        { $as_echo "$as_me:$LINENO: result: yes" >&5
+$as_echo "yes" >&6; }
+else
+        { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+
+
+
+  { $as_echo "$as_me:$LINENO: checking for CreateFileMapping function" >&5
+$as_echo_n "checking for CreateFileMapping function... " >&6; }
+  cat >conftest.$ac_ext <<_ACEOF
+
+#include <windows.h>
+int main (int argc, char **argv)
+{
+    HANDLE handle;
+    int size;
+    char               seg_name[1024];
+    handle = CreateFileMapping(
+                     INVALID_HANDLE_VALUE,    // use paging file
+                     NULL,                    // default security
+                     PAGE_READWRITE,          // read/write access
+                     0,                       // max. object size
+                     size,                // buffer size
+                     seg_name);                 // name of mapping object
+    return 0;
+}
+
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  HAVE_CREATEFILEMAPPING=yes
+
+cat >>confdefs.h <<\_ACEOF
+#define HAVE_CREATEFILEMAPPING 1
+_ACEOF
+
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       HAVE_CREATEFILEMAPPING=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+
+  { $as_echo "$as_me:$LINENO: result: $HAVE_CREATEFILEMAPPING" >&5
+$as_echo "$HAVE_CREATEFILEMAPPING" >&6; }
+   if test x$HAVE_CREATEFILEMAPPING = xyes; then
+  HAVE_CREATEFILEMAPPING_TRUE=
+  HAVE_CREATEFILEMAPPING_FALSE='#'
+else
+  HAVE_CREATEFILEMAPPING_TRUE='#'
+  HAVE_CREATEFILEMAPPING_FALSE=
+fi
+
+
+
+
+
+
+
+
+for ac_header in sys/types.h fcntl.h io.h
+do
+as_ac_Header=`$as_echo "ac_cv_header_$ac_header" | $as_tr_sh`
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  { $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
+$as_echo_n "checking for $ac_header... " >&6; }
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+fi
+ac_res=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+else
+  # Is the header compilable?
+{ $as_echo "$as_me:$LINENO: checking $ac_header usability" >&5
+$as_echo_n "checking $ac_header usability... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+$ac_includes_default
+#include <$ac_header>
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_header_compiler=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_header_compiler=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_compiler" >&5
+$as_echo "$ac_header_compiler" >&6; }
+
+# Is the header present?
+{ $as_echo "$as_me:$LINENO: checking $ac_header presence" >&5
+$as_echo_n "checking $ac_header presence... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <$ac_header>
+_ACEOF
+if { (ac_try="$ac_cpp conftest.$ac_ext"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } >/dev/null && {
+        test -z "$ac_c_preproc_warn_flag$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       }; then
+  ac_header_preproc=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+  ac_header_preproc=no
+fi
+
+rm -f conftest.err conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_preproc" >&5
+$as_echo "$ac_header_preproc" >&6; }
+
+# So?  What about this header?
+case $ac_header_compiler:$ac_header_preproc:$ac_c_preproc_warn_flag in
+  yes:no: )
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: accepted by the compiler, rejected by the preprocessor!" >&5
+$as_echo "$as_me: WARNING: $ac_header: accepted by the compiler, rejected by the preprocessor!" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: proceeding with the compiler's result" >&5
+$as_echo "$as_me: WARNING: $ac_header: proceeding with the compiler's result" >&2;}
+    ac_header_preproc=yes
+    ;;
+  no:yes:* )
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: present but cannot be compiled" >&5
+$as_echo "$as_me: WARNING: $ac_header: present but cannot be compiled" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header:     check for missing prerequisite headers?" >&5
+$as_echo "$as_me: WARNING: $ac_header:     check for missing prerequisite headers?" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: see the Autoconf documentation" >&5
+$as_echo "$as_me: WARNING: $ac_header: see the Autoconf documentation" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header:     section \"Present But Cannot Be Compiled\"" >&5
+$as_echo "$as_me: WARNING: $ac_header:     section \"Present But Cannot Be Compiled\"" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: proceeding with the preprocessor's result" >&5
+$as_echo "$as_me: WARNING: $ac_header: proceeding with the preprocessor's result" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: in the future, the compiler will take precedence" >&5
+$as_echo "$as_me: WARNING: $ac_header: in the future, the compiler will take precedence" >&2;}
+
+    ;;
+esac
+{ $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
+$as_echo_n "checking for $ac_header... " >&6; }
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  eval "$as_ac_Header=\$ac_header_preproc"
+fi
+ac_res=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+
+fi
+as_val=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+   if test "x$as_val" = x""yes; then
+  cat >>confdefs.h <<_ACEOF
+#define `$as_echo "HAVE_$ac_header" | $as_tr_cpp` 1
+_ACEOF
+
+fi
+
+done
+
+
+for ac_header in windows.h
+do
+as_ac_Header=`$as_echo "ac_cv_header_$ac_header" | $as_tr_sh`
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  { $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
+$as_echo_n "checking for $ac_header... " >&6; }
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+fi
+ac_res=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+else
+  # Is the header compilable?
+{ $as_echo "$as_me:$LINENO: checking $ac_header usability" >&5
+$as_echo_n "checking $ac_header usability... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+$ac_includes_default
+#include <$ac_header>
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_header_compiler=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_header_compiler=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_compiler" >&5
+$as_echo "$ac_header_compiler" >&6; }
+
+# Is the header present?
+{ $as_echo "$as_me:$LINENO: checking $ac_header presence" >&5
+$as_echo_n "checking $ac_header presence... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <$ac_header>
+_ACEOF
+if { (ac_try="$ac_cpp conftest.$ac_ext"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } >/dev/null && {
+        test -z "$ac_c_preproc_warn_flag$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       }; then
+  ac_header_preproc=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+  ac_header_preproc=no
+fi
+
+rm -f conftest.err conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_preproc" >&5
+$as_echo "$ac_header_preproc" >&6; }
+
+# So?  What about this header?
+case $ac_header_compiler:$ac_header_preproc:$ac_c_preproc_warn_flag in
+  yes:no: )
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: accepted by the compiler, rejected by the preprocessor!" >&5
+$as_echo "$as_me: WARNING: $ac_header: accepted by the compiler, rejected by the preprocessor!" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: proceeding with the compiler's result" >&5
+$as_echo "$as_me: WARNING: $ac_header: proceeding with the compiler's result" >&2;}
+    ac_header_preproc=yes
+    ;;
+  no:yes:* )
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: present but cannot be compiled" >&5
+$as_echo "$as_me: WARNING: $ac_header: present but cannot be compiled" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header:     check for missing prerequisite headers?" >&5
+$as_echo "$as_me: WARNING: $ac_header:     check for missing prerequisite headers?" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: see the Autoconf documentation" >&5
+$as_echo "$as_me: WARNING: $ac_header: see the Autoconf documentation" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header:     section \"Present But Cannot Be Compiled\"" >&5
+$as_echo "$as_me: WARNING: $ac_header:     section \"Present But Cannot Be Compiled\"" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: proceeding with the preprocessor's result" >&5
+$as_echo "$as_me: WARNING: $ac_header: proceeding with the preprocessor's result" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: in the future, the compiler will take precedence" >&5
+$as_echo "$as_me: WARNING: $ac_header: in the future, the compiler will take precedence" >&2;}
+
+    ;;
+esac
+{ $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
+$as_echo_n "checking for $ac_header... " >&6; }
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  eval "$as_ac_Header=\$ac_header_preproc"
+fi
+ac_res=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+
+fi
+as_val=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+   if test "x$as_val" = x""yes; then
+  cat >>confdefs.h <<_ACEOF
+#define `$as_echo "HAVE_$ac_header" | $as_tr_cpp` 1
+_ACEOF
+
+fi
+
+done
+
+
+
+for ac_header in winioctl.h winbase.h
+do
+as_ac_Header=`$as_echo "ac_cv_header_$ac_header" | $as_tr_sh`
+{ $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
+$as_echo_n "checking for $ac_header... " >&6; }
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+       #if HAVE_WINDOWS_H
+       #include <windows.h>
+       #endif
+
+
+#include <$ac_header>
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  eval "$as_ac_Header=yes"
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       eval "$as_ac_Header=no"
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+fi
+ac_res=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+as_val=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+   if test "x$as_val" = x""yes; then
+  cat >>confdefs.h <<_ACEOF
+#define `$as_echo "HAVE_$ac_header" | $as_tr_cpp` 1
+_ACEOF
+
+fi
+
+done
+
+
+
+
+
+
+
+
+
+
+
+
+for ac_func in getopt usleep gettimeofday nanosleep rand srand random srandom sleep sigaction
+do
+as_ac_var=`$as_echo "ac_cv_func_$ac_func" | $as_tr_sh`
+{ $as_echo "$as_me:$LINENO: checking for $ac_func" >&5
+$as_echo_n "checking for $ac_func... " >&6; }
+if { as_var=$as_ac_var; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+/* Define $ac_func to an innocuous variant, in case <limits.h> declares $ac_func.
+   For example, HP-UX 11i <limits.h> declares gettimeofday.  */
+#define $ac_func innocuous_$ac_func
+
+/* System header to define __stub macros and hopefully few prototypes,
+    which can conflict with char $ac_func (); below.
+    Prefer <limits.h> to <assert.h> if __STDC__ is defined, since
+    <limits.h> exists even on freestanding compilers.  */
+
+#ifdef __STDC__
+# include <limits.h>
+#else
+# include <assert.h>
+#endif
+
+#undef $ac_func
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char $ac_func ();
+/* The GNU C library defines this for functions which it implements
+    to always fail with ENOSYS.  Some functions are actually named
+    something starting with __ and the normal name is an alias.  */
+#if defined __stub_$ac_func || defined __stub___$ac_func
+choke me
+#endif
+
+int
+main ()
+{
+return $ac_func ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  eval "$as_ac_var=yes"
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       eval "$as_ac_var=no"
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+fi
+ac_res=`eval 'as_val=${'$as_ac_var'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+as_val=`eval 'as_val=${'$as_ac_var'}
+                $as_echo "$as_val"'`
+   if test "x$as_val" = x""yes; then
+  cat >>confdefs.h <<_ACEOF
+#define `$as_echo "HAVE_$ac_func" | $as_tr_cpp` 1
+_ACEOF
+
+fi
+done
+
+{ $as_echo "$as_me:$LINENO: checking for struct timezone" >&5
+$as_echo_n "checking for struct timezone... " >&6; }
+if test "${ac_cv_type_struct_timezone+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_cv_type_struct_timezone=no
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+     #if HAVE_SYS_TYPES_H
+     # include <sys/types.h>
+     #endif
+     #if TIME_WITH_SYS_TIME
+     # include <sys/time.h>
+     # include <time.h>
+     #else
+     # if HAVE_SYS_TIME_H
+     #  include <sys/time.h>
+     # else
+     #  include <time.h>
+     # endif
+     #endif
+
+
+int
+main ()
+{
+if (sizeof (struct timezone))
+       return 0;
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+     #if HAVE_SYS_TYPES_H
+     # include <sys/types.h>
+     #endif
+     #if TIME_WITH_SYS_TIME
+     # include <sys/time.h>
+     # include <time.h>
+     #else
+     # if HAVE_SYS_TIME_H
+     #  include <sys/time.h>
+     # else
+     #  include <time.h>
+     # endif
+     #endif
+
+
+int
+main ()
+{
+if (sizeof ((struct timezone)))
+         return 0;
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  :
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_type_struct_timezone=yes
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_type_struct_timezone" >&5
+$as_echo "$ac_cv_type_struct_timezone" >&6; }
+if test "x$ac_cv_type_struct_timezone" = x""yes; then
+
+cat >>confdefs.h <<_ACEOF
+#define HAVE_STRUCT_TIMEZONE 1
+_ACEOF
+
+
+fi
+{ $as_echo "$as_me:$LINENO: checking for struct timespec" >&5
+$as_echo_n "checking for struct timespec... " >&6; }
+if test "${ac_cv_type_struct_timespec+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_cv_type_struct_timespec=no
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+     #if HAVE_SYS_TYPES_H
+     # include <sys/types.h>
+     #endif
+     #if TIME_WITH_SYS_TIME
+     # include <sys/time.h>
+     # include <time.h>
+     #else
+     # if HAVE_SYS_TIME_H
+     #  include <sys/time.h>
+     # else
+     #  include <time.h>
+     # endif
+     #endif
+
+
+int
+main ()
+{
+if (sizeof (struct timespec))
+       return 0;
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+     #if HAVE_SYS_TYPES_H
+     # include <sys/types.h>
+     #endif
+     #if TIME_WITH_SYS_TIME
+     # include <sys/time.h>
+     # include <time.h>
+     #else
+     # if HAVE_SYS_TIME_H
+     #  include <sys/time.h>
+     # else
+     #  include <time.h>
+     # endif
+     #endif
+
+
+int
+main ()
+{
+if (sizeof ((struct timespec)))
+         return 0;
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  :
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_type_struct_timespec=yes
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_type_struct_timespec" >&5
+$as_echo "$ac_cv_type_struct_timespec" >&6; }
+if test "x$ac_cv_type_struct_timespec" = x""yes; then
+
+cat >>confdefs.h <<_ACEOF
+#define HAVE_STRUCT_TIMESPEC 1
+_ACEOF
+
+
+fi
+{ $as_echo "$as_me:$LINENO: checking for ssize_t" >&5
+$as_echo_n "checking for ssize_t... " >&6; }
+if test "${ac_cv_type_ssize_t+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_cv_type_ssize_t=no
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+     #if HAVE_SYS_TYPES_H
+     # include <sys/types.h>
+     #endif
+     #if TIME_WITH_SYS_TIME
+     # include <sys/time.h>
+     # include <time.h>
+     #else
+     # if HAVE_SYS_TIME_H
+     #  include <sys/time.h>
+     # else
+     #  include <time.h>
+     # endif
+     #endif
+
+
+int
+main ()
+{
+if (sizeof (ssize_t))
+       return 0;
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+     #if HAVE_SYS_TYPES_H
+     # include <sys/types.h>
+     #endif
+     #if TIME_WITH_SYS_TIME
+     # include <sys/time.h>
+     # include <time.h>
+     #else
+     # if HAVE_SYS_TIME_H
+     #  include <sys/time.h>
+     # else
+     #  include <time.h>
+     # endif
+     #endif
+
+
+int
+main ()
+{
+if (sizeof ((ssize_t)))
+         return 0;
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  :
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_type_ssize_t=yes
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_type_ssize_t" >&5
+$as_echo "$ac_cv_type_ssize_t" >&6; }
+if test "x$ac_cv_type_ssize_t" = x""yes; then
+
+cat >>confdefs.h <<_ACEOF
+#define HAVE_SSIZE_T 1
+_ACEOF
+
+
+fi
+
+
+
+
+
+for ac_func in getopt usleep gettimeofday
+do
+as_ac_var=`$as_echo "ac_cv_func_$ac_func" | $as_tr_sh`
+{ $as_echo "$as_me:$LINENO: checking for $ac_func" >&5
+$as_echo_n "checking for $ac_func... " >&6; }
+if { as_var=$as_ac_var; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+/* Define $ac_func to an innocuous variant, in case <limits.h> declares $ac_func.
+   For example, HP-UX 11i <limits.h> declares gettimeofday.  */
+#define $ac_func innocuous_$ac_func
+
+/* System header to define __stub macros and hopefully few prototypes,
+    which can conflict with char $ac_func (); below.
+    Prefer <limits.h> to <assert.h> if __STDC__ is defined, since
+    <limits.h> exists even on freestanding compilers.  */
+
+#ifdef __STDC__
+# include <limits.h>
+#else
+# include <assert.h>
+#endif
+
+#undef $ac_func
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char $ac_func ();
+/* The GNU C library defines this for functions which it implements
+    to always fail with ENOSYS.  Some functions are actually named
+    something starting with __ and the normal name is an alias.  */
+#if defined __stub_$ac_func || defined __stub___$ac_func
+choke me
+#endif
+
+int
+main ()
+{
+return $ac_func ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  eval "$as_ac_var=yes"
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       eval "$as_ac_var=no"
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+fi
+ac_res=`eval 'as_val=${'$as_ac_var'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+as_val=`eval 'as_val=${'$as_ac_var'}
+                $as_echo "$as_val"'`
+   if test "x$as_val" = x""yes; then
+  cat >>confdefs.h <<_ACEOF
+#define `$as_echo "HAVE_$ac_func" | $as_tr_cpp` 1
+_ACEOF
+
+else
+  case " $LIBOBJS " in
+  *" $ac_func.$ac_objext "* ) ;;
+  *) LIBOBJS="$LIBOBJS $ac_func.$ac_objext"
+ ;;
+esac
+
+fi
+done
+
+
+
+
+{ $as_echo "$as_me:$LINENO: checking for Sleep" >&5
+$as_echo_n "checking for Sleep... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+   #include <windows.h>
+                #include <winbase.h>
+
+int
+main ()
+{
+ Sleep(0);
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+
+cat >>confdefs.h <<\_ACEOF
+#define HAVE_SSLEEP 1
+_ACEOF
+
+                { $as_echo "$as_me:$LINENO: result: yes" >&5
+$as_echo "yes" >&6; }
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+
+{ $as_echo "$as_me:$LINENO: checking whether mkdir accepts only one arg" >&5
+$as_echo_n "checking whether mkdir accepts only one arg... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <sys/types.h>
+       #include <sys/stat.h>
+       #include <fcntl.h>
+int
+main ()
+{
+
+       mkdir("")
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+   { $as_echo "$as_me:$LINENO: result: yes" >&5
+$as_echo "yes" >&6; }
+
+cat >>confdefs.h <<\_ACEOF
+#define MKDIR_TAKES_ONE_ARG /**/
+_ACEOF
+
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+        { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+
+
+
+
+
+
+
+# Extract the first word of "dot", so it can be a program name with args.
+set dummy dot; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_HAVE_DOT+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$HAVE_DOT"; then
+  ac_cv_prog_HAVE_DOT="$HAVE_DOT" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_HAVE_DOT="YES"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+  test -z "$ac_cv_prog_HAVE_DOT" && ac_cv_prog_HAVE_DOT="NO"
+fi
+fi
+HAVE_DOT=$ac_cv_prog_HAVE_DOT
+if test -n "$HAVE_DOT"; then
+  { $as_echo "$as_me:$LINENO: result: $HAVE_DOT" >&5
+$as_echo "$HAVE_DOT" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+
+
+
+if test "x$ac_cv_env_PKG_CONFIG_set" != "xset"; then
+       if test -n "$ac_tool_prefix"; then
+  # Extract the first word of "${ac_tool_prefix}pkg-config", so it can be a program name with args.
+set dummy ${ac_tool_prefix}pkg-config; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_path_PKG_CONFIG+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  case $PKG_CONFIG in
+  [\\/]* | ?:[\\/]*)
+  ac_cv_path_PKG_CONFIG="$PKG_CONFIG" # Let the user override the test with a path.
+  ;;
+  *)
+  as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_path_PKG_CONFIG="$as_dir/$ac_word$ac_exec_ext"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+  ;;
+esac
+fi
+PKG_CONFIG=$ac_cv_path_PKG_CONFIG
+if test -n "$PKG_CONFIG"; then
+  { $as_echo "$as_me:$LINENO: result: $PKG_CONFIG" >&5
+$as_echo "$PKG_CONFIG" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+fi
+if test -z "$ac_cv_path_PKG_CONFIG"; then
+  ac_pt_PKG_CONFIG=$PKG_CONFIG
+  # Extract the first word of "pkg-config", so it can be a program name with args.
+set dummy pkg-config; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_path_ac_pt_PKG_CONFIG+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  case $ac_pt_PKG_CONFIG in
+  [\\/]* | ?:[\\/]*)
+  ac_cv_path_ac_pt_PKG_CONFIG="$ac_pt_PKG_CONFIG" # Let the user override the test with a path.
+  ;;
+  *)
+  as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_path_ac_pt_PKG_CONFIG="$as_dir/$ac_word$ac_exec_ext"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+  ;;
+esac
+fi
+ac_pt_PKG_CONFIG=$ac_cv_path_ac_pt_PKG_CONFIG
+if test -n "$ac_pt_PKG_CONFIG"; then
+  { $as_echo "$as_me:$LINENO: result: $ac_pt_PKG_CONFIG" >&5
+$as_echo "$ac_pt_PKG_CONFIG" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+  if test "x$ac_pt_PKG_CONFIG" = x; then
+    PKG_CONFIG=""
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:$LINENO: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    PKG_CONFIG=$ac_pt_PKG_CONFIG
+  fi
+else
+  PKG_CONFIG="$ac_cv_path_PKG_CONFIG"
+fi
+
+fi
+if test -n "$PKG_CONFIG"; then
+       _pkg_min_version=0.18
+       { $as_echo "$as_me:$LINENO: checking pkg-config is at least version $_pkg_min_version" >&5
+$as_echo_n "checking pkg-config is at least version $_pkg_min_version... " >&6; }
+       if $PKG_CONFIG --atleast-pkgconfig-version $_pkg_min_version; then
+               { $as_echo "$as_me:$LINENO: result: yes" >&5
+$as_echo "yes" >&6; }
+       else
+               { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+               PKG_CONFIG=""
+       fi
+
+fi
+
+
+
+pkg_failed=no
+{ $as_echo "$as_me:$LINENO: checking for FFTW3F" >&5
+$as_echo_n "checking for FFTW3F... " >&6; }
+
+if test -n "$PKG_CONFIG"; then
+    if test -n "$FFTW3F_CFLAGS"; then
+        pkg_cv_FFTW3F_CFLAGS="$FFTW3F_CFLAGS"
+    else
+        if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"fftw3f >= 3.0\"") >&5
+  ($PKG_CONFIG --exists --print-errors "fftw3f >= 3.0") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  pkg_cv_FFTW3F_CFLAGS=`$PKG_CONFIG --cflags "fftw3f >= 3.0" 2>/dev/null`
+else
+  pkg_failed=yes
+fi
+    fi
+else
+       pkg_failed=untried
+fi
+
+if test x$cross_compiling = xyes
+then
+    if test -n "$PKG_CONFIG"; then
+    if test -n "$FFTW3F_LIBS"; then
+        pkg_cv_FFTW3F_LIBS="$FFTW3F_LIBS"
+    else
+        if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"fftw3f >= 3.0\"") >&5
+  ($PKG_CONFIG --exists --print-errors "fftw3f >= 3.0") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  pkg_cv_FFTW3F_LIBS=`$PKG_CONFIG --libs --static "fftw3f >= 3.0" 2>/dev/null`
+else
+  pkg_failed=yes
+fi
+    fi
+else
+       pkg_failed=untried
+fi
+    _pkg_tmp=
+  for flag in $pkg_cv_FFTW3F_LIBS; do
+    case $flag in
+    (-L/lib* | -L/usr/lib* )      ;; # ignore
+    (*) _pkg_tmp="$_pkg_tmp $flag" ;;
+    esac
+  done
+  pkg_cv_FFTW3F_LIBS="$_pkg_tmp"
+else
+  if test -n "$PKG_CONFIG"; then
+    if test -n "$FFTW3F_LIBS"; then
+        pkg_cv_FFTW3F_LIBS="$FFTW3F_LIBS"
+    else
+        if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"fftw3f >= 3.0\"") >&5
+  ($PKG_CONFIG --exists --print-errors "fftw3f >= 3.0") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  pkg_cv_FFTW3F_LIBS=`$PKG_CONFIG --libs --static "fftw3f >= 3.0" 2>/dev/null`
+else
+  pkg_failed=yes
+fi
+    fi
+else
+       pkg_failed=untried
+fi
+fi
+
+if test -n "$PKG_CONFIG"; then
+    if test -n "$FFTW3F_INCLUDEDIR"; then
+        pkg_cv_FFTW3F_INCLUDEDIR="$FFTW3F_INCLUDEDIR"
+    else
+        if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"fftw3f >= 3.0\"") >&5
+  ($PKG_CONFIG --exists --print-errors "fftw3f >= 3.0") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  pkg_cv_FFTW3F_INCLUDEDIR=`$PKG_CONFIG --variable=includedir "fftw3f >= 3.0" 2>/dev/null`
+else
+  pkg_failed=yes
+fi
+    fi
+else
+       pkg_failed=untried
+fi
+
+
+
+
+if test $pkg_failed = yes; then
+
+if $PKG_CONFIG --atleast-pkgconfig-version 0.20; then
+        _pkg_short_errors_supported=yes
+else
+        _pkg_short_errors_supported=no
+fi
+        if test $_pkg_short_errors_supported = yes; then
+               FFTW3F_PKG_ERRORS=`$PKG_CONFIG --short-errors --errors-to-stdout --print-errors "fftw3f >= 3.0"`
+        else
+               FFTW3F_PKG_ERRORS=`$PKG_CONFIG --errors-to-stdout --print-errors "fftw3f >= 3.0"`
+        fi
+       # Put the nasty error message in config.log where it belongs
+       echo "$FFTW3F_PKG_ERRORS" >&5
+
+       { { $as_echo "$as_me:$LINENO: error: Package requirements (fftw3f >= 3.0) were not met:
+
+$FFTW3F_PKG_ERRORS
+
+Consider adjusting the PKG_CONFIG_PATH environment variable if you
+installed software in a non-standard prefix.
+
+Alternatively, you may set the environment variables FFTW3F_CFLAGS
+and FFTW3F_LIBS to avoid the need to call pkg-config.
+See the pkg-config man page for more details.
+" >&5
+$as_echo "$as_me: error: Package requirements (fftw3f >= 3.0) were not met:
+
+$FFTW3F_PKG_ERRORS
+
+Consider adjusting the PKG_CONFIG_PATH environment variable if you
+installed software in a non-standard prefix.
+
+Alternatively, you may set the environment variables FFTW3F_CFLAGS
+and FFTW3F_LIBS to avoid the need to call pkg-config.
+See the pkg-config man page for more details.
+" >&2;}
+   { (exit 1); exit 1; }; }
+elif test $pkg_failed = untried; then
+       { { $as_echo "$as_me:$LINENO: error: in \`$ac_pwd':" >&5
+$as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
+{ { $as_echo "$as_me:$LINENO: error: The pkg-config script could not be found or is too old.  Make sure it
+is in your PATH or set the PKG_CONFIG environment variable to the full
+path to pkg-config.
+
+Alternatively, you may set the environment variables FFTW3F_CFLAGS
+and FFTW3F_LIBS to avoid the need to call pkg-config.
+See the pkg-config man page for more details.
+
+To get pkg-config, see <http://pkg-config.freedesktop.org/>.
+See \`config.log' for more details." >&5
+$as_echo "$as_me: error: The pkg-config script could not be found or is too old.  Make sure it
+is in your PATH or set the PKG_CONFIG environment variable to the full
+path to pkg-config.
+
+Alternatively, you may set the environment variables FFTW3F_CFLAGS
+and FFTW3F_LIBS to avoid the need to call pkg-config.
+See the pkg-config man page for more details.
+
+To get pkg-config, see <http://pkg-config.freedesktop.org/>.
+See \`config.log' for more details." >&2;}
+   { (exit 1); exit 1; }; }; }
+else
+       FFTW3F_CFLAGS=$pkg_cv_FFTW3F_CFLAGS
+       FFTW3F_LIBS=$pkg_cv_FFTW3F_LIBS
+       FFTW3F_INCLUDEDIR=$pkg_cv_FFTW3F_INCLUDEDIR
+
+       FFTW3F_CPPFLAGS=""
+       for flag in $FFTW3F_CFLAGS; do
+         case $flag in
+          -I* | -D* | -U*) FFTW3F_CPPFLAGS="$FFTW3F_CPPFLAGS $flag" ;;
+          esac
+        done
+       pkg_cv_FFTW3F_CPPFLAGS=$FFTW3F_CPPFLAGS
+
+
+        { $as_echo "$as_me:$LINENO: result: yes" >&5
+$as_echo "yes" >&6; }
+       :
+fi
+
+
+
+  # Check whether --enable-doxygen was given.
+if test "${enable_doxygen+set}" = set; then
+  enableval=$enable_doxygen;
+fi
+
+  # Check whether --enable-dot was given.
+if test "${enable_dot+set}" = set; then
+  enableval=$enable_dot;
+fi
+
+
+  if test "x$enable_doxygen" = xno; then
+        enable_doc=no
+  else
+        # Extract the first word of "doxygen", so it can be a program name with args.
+set dummy doxygen; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_path_DOXYGEN+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  case $DOXYGEN in
+  [\\/]* | ?:[\\/]*)
+  ac_cv_path_DOXYGEN="$DOXYGEN" # Let the user override the test with a path.
+  ;;
+  *)
+  as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_path_DOXYGEN="$as_dir/$ac_word$ac_exec_ext"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+  ;;
+esac
+fi
+DOXYGEN=$ac_cv_path_DOXYGEN
+if test -n "$DOXYGEN"; then
+  { $as_echo "$as_me:$LINENO: result: $DOXYGEN" >&5
+$as_echo "$DOXYGEN" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+        if test x$DOXYGEN = x; then
+                if test "x$enable_doxygen" = xyes; then
+                        { { $as_echo "$as_me:$LINENO: error: could not find doxygen" >&5
+$as_echo "$as_me: error: could not find doxygen" >&2;}
+   { (exit 1); exit 1; }; }
+                fi
+                enable_doc=no
+               generate_docs=
+        else
+                enable_doc=yes
+               generate_docs=docs
+                # Extract the first word of "dot", so it can be a program name with args.
+set dummy dot; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_path_DOT+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  case $DOT in
+  [\\/]* | ?:[\\/]*)
+  ac_cv_path_DOT="$DOT" # Let the user override the test with a path.
+  ;;
+  *)
+  as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_path_DOT="$as_dir/$ac_word$ac_exec_ext"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+  ;;
+esac
+fi
+DOT=$ac_cv_path_DOT
+if test -n "$DOT"; then
+  { $as_echo "$as_me:$LINENO: result: $DOT" >&5
+$as_echo "$DOT" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+        fi
+  fi
+
+   if test x$enable_doc = xyes; then
+  DOC_TRUE=
+  DOC_FALSE='#'
+else
+  DOC_TRUE='#'
+  DOC_FALSE=
+fi
+
+
+  if test x$DOT = x; then
+        if test "x$enable_dot" = xyes; then
+                { { $as_echo "$as_me:$LINENO: error: could not find dot" >&5
+$as_echo "$as_me: error: could not find dot" >&2;}
+   { (exit 1); exit 1; }; }
+        fi
+        enable_dot=no
+  else
+        enable_dot=yes
+  fi
+
+  enable_xml_docs=YES
+
+  enable_html_docs=YES
+
+  enable_latex_docs=NO
+
+
+
+
+
+
+# Check whether --with-md-cpu was given.
+if test "${with_md_cpu+set}" = set; then
+  withval=$with_md_cpu; cf_with_md_cpu="$withval"
+else
+  cf_with_md_cpu="$host_cpu"
+fi
+
+
+  case "$cf_with_md_cpu" in
+   x86 | i[3-7]86)     MD_CPU=x86      MD_SUBCPU=x86 ;;
+   x86_64)             MD_CPU=x86      MD_SUBCPU=x86_64 ;;
+   powerpc*)            MD_CPU=powerpc ;;
+   *)                  MD_CPU=generic ;;
+  esac
+
+  # Check whether --enable-altivec was given.
+if test "${enable_altivec+set}" = set; then
+  enableval=$enable_altivec;  if test $MD_CPU = powerpc; then
+        case "$enableval" in
+          (no)  MD_CPU=generic ;;
+          (yes)
+
+  echo 'void f(){}' > conftest.c
+  for i in -mabi=altivec -maltivec
+  do
+    { $as_echo "$as_me:$LINENO: checking whether $CC accepts $i" >&5
+$as_echo_n "checking whether $CC accepts $i... " >&6; }
+    if test -z "`${CC} $i -c conftest.c 2>&1`"
+    then
+      lf_CFLAGS="${lf_CFLAGS} $i"
+      { $as_echo "$as_me:$LINENO: result: yes" >&5
+$as_echo "yes" >&6; }
+    else
+      { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+    fi
+  done
+  rm -f conftest.c conftest.o
+
+
+
+  echo 'void f(){}' > conftest.cc
+  for i in -mabi=altivec -maltivec
+  do
+    { $as_echo "$as_me:$LINENO: checking whether $CXX accepts $i" >&5
+$as_echo_n "checking whether $CXX accepts $i... " >&6; }
+    if test -z "`${CXX} $i -c conftest.cc 2>&1`"
+    then
+      lf_CXXFLAGS="${lf_CXXFLAGS} $i"
+      { $as_echo "$as_me:$LINENO: result: yes" >&5
+$as_echo "yes" >&6; }
+    else
+      { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+    fi
+  done
+  rm -f conftest.cc conftest.o
+
+
+ ;;
+          (*) { { $as_echo "$as_me:$LINENO: error: Invalid argument ($enableval) to --enable-altivec" >&5
+$as_echo "$as_me: error: Invalid argument ($enableval) to --enable-altivec" >&2;}
+   { (exit 1); exit 1; }; } ;;
+        esac
+      fi
+else
+   if test $MD_CPU = powerpc; then
+
+  echo 'void f(){}' > conftest.c
+  for i in -mabi=altivec -maltivec
+  do
+    { $as_echo "$as_me:$LINENO: checking whether $CC accepts $i" >&5
+$as_echo_n "checking whether $CC accepts $i... " >&6; }
+    if test -z "`${CC} $i -c conftest.c 2>&1`"
+    then
+      lf_CFLAGS="${lf_CFLAGS} $i"
+      { $as_echo "$as_me:$LINENO: result: yes" >&5
+$as_echo "yes" >&6; }
+    else
+      { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+    fi
+  done
+  rm -f conftest.c conftest.o
+
+
+
+  echo 'void f(){}' > conftest.cc
+  for i in -mabi=altivec -maltivec
+  do
+    { $as_echo "$as_me:$LINENO: checking whether $CXX accepts $i" >&5
+$as_echo_n "checking whether $CXX accepts $i... " >&6; }
+    if test -z "`${CXX} $i -c conftest.cc 2>&1`"
+    then
+      lf_CXXFLAGS="${lf_CXXFLAGS} $i"
+      { $as_echo "$as_me:$LINENO: result: yes" >&5
+$as_echo "yes" >&6; }
+    else
+      { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+    fi
+  done
+  rm -f conftest.cc conftest.o
+
+
+ fi
+fi
+
+
+
+  { $as_echo "$as_me:$LINENO: checking for machine dependent speedups" >&5
+$as_echo_n "checking for machine dependent speedups... " >&6; }
+  { $as_echo "$as_me:$LINENO: result: $MD_CPU" >&5
+$as_echo "$MD_CPU" >&6; }
+
+
+
+   if test "$MD_CPU" = "x86"; then
+  MD_CPU_x86_TRUE=
+  MD_CPU_x86_FALSE='#'
+else
+  MD_CPU_x86_TRUE='#'
+  MD_CPU_x86_FALSE=
+fi
+
+   if test "$MD_SUBCPU" = "x86_64"; then
+  MD_SUBCPU_x86_64_TRUE=
+  MD_SUBCPU_x86_64_FALSE='#'
+else
+  MD_SUBCPU_x86_64_TRUE='#'
+  MD_SUBCPU_x86_64_FALSE=
+fi
+
+   if test "$MD_CPU" = "powerpc"; then
+  MD_CPU_powerpc_TRUE=
+  MD_CPU_powerpc_FALSE='#'
+else
+  MD_CPU_powerpc_TRUE='#'
+  MD_CPU_powerpc_FALSE=
+fi
+
+   if test "$MD_CPU" = "generic"; then
+  MD_CPU_generic_TRUE=
+  MD_CPU_generic_FALSE='#'
+else
+  MD_CPU_generic_TRUE='#'
+  MD_CPU_generic_FALSE=
+fi
+
+
+
+if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"cppunit\"") >&5
+  ($PKG_CONFIG --exists --print-errors "cppunit") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+
+
+
+pkg_failed=no
+{ $as_echo "$as_me:$LINENO: checking for CPPUNIT" >&5
+$as_echo_n "checking for CPPUNIT... " >&6; }
+
+if test -n "$PKG_CONFIG"; then
+    if test -n "$CPPUNIT_CFLAGS"; then
+        pkg_cv_CPPUNIT_CFLAGS="$CPPUNIT_CFLAGS"
+    else
+        if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"cppunit >= 1.9.14\"") >&5
+  ($PKG_CONFIG --exists --print-errors "cppunit >= 1.9.14") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  pkg_cv_CPPUNIT_CFLAGS=`$PKG_CONFIG --cflags "cppunit >= 1.9.14" 2>/dev/null`
+else
+  pkg_failed=yes
+fi
+    fi
+else
+       pkg_failed=untried
+fi
+
+if test x$cross_compiling = xyes
+then
+    if test -n "$PKG_CONFIG"; then
+    if test -n "$CPPUNIT_LIBS"; then
+        pkg_cv_CPPUNIT_LIBS="$CPPUNIT_LIBS"
+    else
+        if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"cppunit >= 1.9.14\"") >&5
+  ($PKG_CONFIG --exists --print-errors "cppunit >= 1.9.14") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  pkg_cv_CPPUNIT_LIBS=`$PKG_CONFIG --libs --static "cppunit >= 1.9.14" 2>/dev/null`
+else
+  pkg_failed=yes
+fi
+    fi
+else
+       pkg_failed=untried
+fi
+    _pkg_tmp=
+  for flag in $pkg_cv_CPPUNIT_LIBS; do
+    case $flag in
+    (-L/lib* | -L/usr/lib* )      ;; # ignore
+    (*) _pkg_tmp="$_pkg_tmp $flag" ;;
+    esac
+  done
+  pkg_cv_CPPUNIT_LIBS="$_pkg_tmp"
+else
+  if test -n "$PKG_CONFIG"; then
+    if test -n "$CPPUNIT_LIBS"; then
+        pkg_cv_CPPUNIT_LIBS="$CPPUNIT_LIBS"
+    else
+        if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"cppunit >= 1.9.14\"") >&5
+  ($PKG_CONFIG --exists --print-errors "cppunit >= 1.9.14") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  pkg_cv_CPPUNIT_LIBS=`$PKG_CONFIG --libs --static "cppunit >= 1.9.14" 2>/dev/null`
+else
+  pkg_failed=yes
+fi
+    fi
+else
+       pkg_failed=untried
+fi
+fi
+
+if test -n "$PKG_CONFIG"; then
+    if test -n "$CPPUNIT_INCLUDEDIR"; then
+        pkg_cv_CPPUNIT_INCLUDEDIR="$CPPUNIT_INCLUDEDIR"
+    else
+        if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"cppunit >= 1.9.14\"") >&5
+  ($PKG_CONFIG --exists --print-errors "cppunit >= 1.9.14") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  pkg_cv_CPPUNIT_INCLUDEDIR=`$PKG_CONFIG --variable=includedir "cppunit >= 1.9.14" 2>/dev/null`
+else
+  pkg_failed=yes
+fi
+    fi
+else
+       pkg_failed=untried
+fi
+
+
+
+
+if test $pkg_failed = yes; then
+
+if $PKG_CONFIG --atleast-pkgconfig-version 0.20; then
+        _pkg_short_errors_supported=yes
+else
+        _pkg_short_errors_supported=no
+fi
+        if test $_pkg_short_errors_supported = yes; then
+               CPPUNIT_PKG_ERRORS=`$PKG_CONFIG --short-errors --errors-to-stdout --print-errors "cppunit >= 1.9.14"`
+        else
+               CPPUNIT_PKG_ERRORS=`$PKG_CONFIG --errors-to-stdout --print-errors "cppunit >= 1.9.14"`
+        fi
+       # Put the nasty error message in config.log where it belongs
+       echo "$CPPUNIT_PKG_ERRORS" >&5
+
+       { { $as_echo "$as_me:$LINENO: error: Package requirements (cppunit >= 1.9.14) were not met:
+
+$CPPUNIT_PKG_ERRORS
+
+Consider adjusting the PKG_CONFIG_PATH environment variable if you
+installed software in a non-standard prefix.
+
+Alternatively, you may set the environment variables CPPUNIT_CFLAGS
+and CPPUNIT_LIBS to avoid the need to call pkg-config.
+See the pkg-config man page for more details.
+" >&5
+$as_echo "$as_me: error: Package requirements (cppunit >= 1.9.14) were not met:
+
+$CPPUNIT_PKG_ERRORS
+
+Consider adjusting the PKG_CONFIG_PATH environment variable if you
+installed software in a non-standard prefix.
+
+Alternatively, you may set the environment variables CPPUNIT_CFLAGS
+and CPPUNIT_LIBS to avoid the need to call pkg-config.
+See the pkg-config man page for more details.
+" >&2;}
+   { (exit 1); exit 1; }; }
+elif test $pkg_failed = untried; then
+       { { $as_echo "$as_me:$LINENO: error: in \`$ac_pwd':" >&5
+$as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
+{ { $as_echo "$as_me:$LINENO: error: The pkg-config script could not be found or is too old.  Make sure it
+is in your PATH or set the PKG_CONFIG environment variable to the full
+path to pkg-config.
+
+Alternatively, you may set the environment variables CPPUNIT_CFLAGS
+and CPPUNIT_LIBS to avoid the need to call pkg-config.
+See the pkg-config man page for more details.
+
+To get pkg-config, see <http://pkg-config.freedesktop.org/>.
+See \`config.log' for more details." >&5
+$as_echo "$as_me: error: The pkg-config script could not be found or is too old.  Make sure it
+is in your PATH or set the PKG_CONFIG environment variable to the full
+path to pkg-config.
+
+Alternatively, you may set the environment variables CPPUNIT_CFLAGS
+and CPPUNIT_LIBS to avoid the need to call pkg-config.
+See the pkg-config man page for more details.
+
+To get pkg-config, see <http://pkg-config.freedesktop.org/>.
+See \`config.log' for more details." >&2;}
+   { (exit 1); exit 1; }; }; }
+else
+       CPPUNIT_CFLAGS=$pkg_cv_CPPUNIT_CFLAGS
+       CPPUNIT_LIBS=$pkg_cv_CPPUNIT_LIBS
+       CPPUNIT_INCLUDEDIR=$pkg_cv_CPPUNIT_INCLUDEDIR
+
+       CPPUNIT_CPPFLAGS=""
+       for flag in $CPPUNIT_CFLAGS; do
+         case $flag in
+          -I* | -D* | -U*) CPPUNIT_CPPFLAGS="$CPPUNIT_CPPFLAGS $flag" ;;
+          esac
+        done
+       pkg_cv_CPPUNIT_CPPFLAGS=$CPPUNIT_CPPFLAGS
+
+
+        { $as_echo "$as_me:$LINENO: result: yes" >&5
+$as_echo "yes" >&6; }
+       :
+fi
+else
+
+
+
+# Check whether --with-cppunit-prefix was given.
+if test "${with_cppunit_prefix+set}" = set; then
+  withval=$with_cppunit_prefix; cppunit_config_prefix="$withval"
+else
+  cppunit_config_prefix=""
+fi
+
+
+# Check whether --with-cppunit-exec-prefix was given.
+if test "${with_cppunit_exec_prefix+set}" = set; then
+  withval=$with_cppunit_exec_prefix; cppunit_config_exec_prefix="$withval"
+else
+  cppunit_config_exec_prefix=""
+fi
+
+
+  if test x$cppunit_config_exec_prefix != x ; then
+     cppunit_config_args="$cppunit_config_args --exec-prefix=$cppunit_config_exec_prefix"
+     if test x${CPPUNIT_CONFIG+set} != xset ; then
+        CPPUNIT_CONFIG=$cppunit_config_exec_prefix/bin/cppunit-config
+     fi
+  fi
+  if test x$cppunit_config_prefix != x ; then
+     cppunit_config_args="$cppunit_config_args --prefix=$cppunit_config_prefix"
+     if test x${CPPUNIT_CONFIG+set} != xset ; then
+        CPPUNIT_CONFIG=$cppunit_config_prefix/bin/cppunit-config
+     fi
+  fi
+
+  # Extract the first word of "cppunit-config", so it can be a program name with args.
+set dummy cppunit-config; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_path_CPPUNIT_CONFIG+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  case $CPPUNIT_CONFIG in
+  [\\/]* | ?:[\\/]*)
+  ac_cv_path_CPPUNIT_CONFIG="$CPPUNIT_CONFIG" # Let the user override the test with a path.
+  ;;
+  *)
+  as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_path_CPPUNIT_CONFIG="$as_dir/$ac_word$ac_exec_ext"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+  test -z "$ac_cv_path_CPPUNIT_CONFIG" && ac_cv_path_CPPUNIT_CONFIG="no"
+  ;;
+esac
+fi
+CPPUNIT_CONFIG=$ac_cv_path_CPPUNIT_CONFIG
+if test -n "$CPPUNIT_CONFIG"; then
+  { $as_echo "$as_me:$LINENO: result: $CPPUNIT_CONFIG" >&5
+$as_echo "$CPPUNIT_CONFIG" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+  cppunit_version_min=1.9.14
+
+  { $as_echo "$as_me:$LINENO: checking for Cppunit - version >= $cppunit_version_min" >&5
+$as_echo_n "checking for Cppunit - version >= $cppunit_version_min... " >&6; }
+  no_cppunit=""
+  if test "$CPPUNIT_CONFIG" = "no" ; then
+    no_cppunit=yes
+  else
+    CPPUNIT_CFLAGS=`$CPPUNIT_CONFIG --cflags`
+    CPPUNIT_LIBS=`$CPPUNIT_CONFIG --libs`
+    cppunit_version=`$CPPUNIT_CONFIG --version`
+
+    cppunit_major_version=`echo $cppunit_version | \
+           sed 's/\([0-9]*\).\([0-9]*\).\([0-9]*\)/\1/'`
+    cppunit_minor_version=`echo $cppunit_version | \
+           sed 's/\([0-9]*\).\([0-9]*\).\([0-9]*\)/\2/'`
+    cppunit_micro_version=`echo $cppunit_version | \
+           sed 's/\([0-9]*\).\([0-9]*\).\([0-9]*\)/\3/'`
+
+    cppunit_major_min=`echo $cppunit_version_min | \
+           sed 's/\([0-9]*\).\([0-9]*\).\([0-9]*\)/\1/'`
+    cppunit_minor_min=`echo $cppunit_version_min | \
+           sed 's/\([0-9]*\).\([0-9]*\).\([0-9]*\)/\2/'`
+    cppunit_micro_min=`echo $cppunit_version_min | \
+           sed 's/\([0-9]*\).\([0-9]*\).\([0-9]*\)/\3/'`
+
+    cppunit_version_proper=`expr \
+        $cppunit_major_version \> $cppunit_major_min \| \
+        $cppunit_major_version \= $cppunit_major_min \& \
+        $cppunit_minor_version \> $cppunit_minor_min \| \
+        $cppunit_major_version \= $cppunit_major_min \& \
+        $cppunit_minor_version \= $cppunit_minor_min \& \
+        $cppunit_micro_version \>= $cppunit_micro_min `
+
+    if test "$cppunit_version_proper" = "1" ; then
+      { $as_echo "$as_me:$LINENO: result: $cppunit_major_version.$cppunit_minor_version.$cppunit_micro_version" >&5
+$as_echo "$cppunit_major_version.$cppunit_minor_version.$cppunit_micro_version" >&6; }
+    else
+      { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+      no_cppunit=yes
+    fi
+  fi
+
+  if test "x$no_cppunit" = x ; then
+     :
+  else
+     CPPUNIT_CFLAGS=""
+     CPPUNIT_LIBS=""
+     { { $as_echo "$as_me:$LINENO: error: GNU Radio requires cppunit.  Stop" >&5
+$as_echo "$as_me: error: GNU Radio requires cppunit.  Stop" >&2;}
+   { (exit 1); exit 1; }; }
+  fi
+
+
+
+
+fi
+
+CPPUNIT_INCLUDES=$CPPUNIT_CFLAGS
+
+
+# Extract the first word of "guile", so it can be a program name with args.
+set dummy guile; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_path_GUILE+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  case $GUILE in
+  [\\/]* | ?:[\\/]*)
+  ac_cv_path_GUILE="$GUILE" # Let the user override the test with a path.
+  ;;
+  *)
+  as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_path_GUILE="$as_dir/$ac_word$ac_exec_ext"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+  ;;
+esac
+fi
+GUILE=$ac_cv_path_GUILE
+if test -n "$GUILE"; then
+  { $as_echo "$as_me:$LINENO: result: $GUILE" >&5
+$as_echo "$GUILE" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+
+
+
+
+# Check whether --with-boost was given.
+if test "${with_boost+set}" = set; then
+  withval=$with_boost;
+    if test "$withval" = "no"; then
+        want_boost="no"
+    elif test "$withval" = "yes"; then
+        want_boost="yes"
+        ac_boost_path=""
+    else
+        want_boost="yes"
+        ac_boost_path="$withval"
+    fi
+
+else
+  want_boost="yes"
+fi
+
+
+
+
+# Check whether --with-boost-libdir was given.
+if test "${with_boost_libdir+set}" = set; then
+  withval=$with_boost_libdir;
+        if test -d $withval
+        then
+                ac_boost_lib_path="$withval"
+        else
+                { { $as_echo "$as_me:$LINENO: error: --with-boost-libdir expected directory name" >&5
+$as_echo "$as_me: error: --with-boost-libdir expected directory name" >&2;}
+   { (exit 1); exit 1; }; }
+        fi
+
+else
+  ac_boost_lib_path=""
+
+fi
+
+
+if test "x$want_boost" = "xyes"; then
+    boost_lib_version_req=1.35
+    boost_lib_version_req_shorten=`expr $boost_lib_version_req : '\([0-9]*\.[0-9]*\)'`
+    boost_lib_version_req_major=`expr $boost_lib_version_req : '\([0-9]*\)'`
+    boost_lib_version_req_minor=`expr $boost_lib_version_req : '[0-9]*\.\([0-9]*\)'`
+    boost_lib_version_req_sub_minor=`expr $boost_lib_version_req : '[0-9]*\.[0-9]*\.\([0-9]*\)'`
+    if test "x$boost_lib_version_req_sub_minor" = "x" ; then
+        boost_lib_version_req_sub_minor="0"
+        fi
+    WANT_BOOST_VERSION=`expr $boost_lib_version_req_major \* 100000 \+  $boost_lib_version_req_minor \* 100 \+ $boost_lib_version_req_sub_minor`
+    { $as_echo "$as_me:$LINENO: checking for boost >= $boost_lib_version_req" >&5
+$as_echo_n "checking for boost >= $boost_lib_version_req... " >&6; }
+    succeeded=no
+
+                if test "$ac_boost_path" != ""; then
+                       if test -d "$ac_boost_path/lib${gr_libdir_suffix}"; then
+            BOOST_LDFLAGS="-L$ac_boost_path/lib${gr_libdir_suffix}"
+        else
+            BOOST_LDFLAGS="-L$ac_boost_path/lib"
+        fi
+        BOOST_CPPFLAGS="-I$ac_boost_path/include"
+    else
+        for ac_boost_path_tmp in /usr /usr/local /opt /opt/local ; do
+            if test -d "$ac_boost_path_tmp/include/boost" && test -r "$ac_boost_path_tmp/include/boost"; then
+                                               if test -d "$ac_boost_path_tmp/lib${gr_libdir_suffix}"; then
+                    BOOST_LDFLAGS="-L$ac_boost_path_tmp/lib${gr_libdir_suffix}"
+               else
+                   BOOST_LDFLAGS="-L$ac_boost_path_tmp/lib"
+               fi
+                BOOST_CPPFLAGS="-I$ac_boost_path_tmp/include"
+                break;
+            fi
+        done
+    fi
+
+            if test "$ac_boost_lib_path" != ""; then
+       BOOST_LDFLAGS="-L$ac_boost_lib_path"
+    fi
+
+    CPPFLAGS_SAVED="$CPPFLAGS"
+    CPPFLAGS="$CPPFLAGS $BOOST_CPPFLAGS"
+    export CPPFLAGS
+
+    LDFLAGS_SAVED="$LDFLAGS"
+    LDFLAGS="$LDFLAGS $BOOST_LDFLAGS"
+    export LDFLAGS
+
+    ac_ext=cpp
+ac_cpp='$CXXCPP $CPPFLAGS'
+ac_compile='$CXX -c $CXXFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CXX -o conftest$ac_exeext $CXXFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_cxx_compiler_gnu
+
+        cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+    #include <boost/version.hpp>
+
+int
+main ()
+{
+
+    #if BOOST_VERSION >= $WANT_BOOST_VERSION
+    // Everything is okay
+    #else
+    #  error Boost version is too old
+    #endif
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_cxx_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  { $as_echo "$as_me:$LINENO: result: yes" >&5
+$as_echo "yes" >&6; }
+         succeeded=yes
+         found_system=yes
+
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+    ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+    CPPFLAGS="$CPPFLAGS_SAVED"
+    LDFLAGS="$LDFLAGS_SAVED"
+
+
+            if test "$succeeded" != "yes"; then
+        _version=0
+
+        if test "$ac_boost_path" != ""; then
+           path_list="$ac_boost_path"
+       else
+           path_list="/usr /usr/local /opt /opt/local"
+       fi
+        for ac_boost_path in $path_list ; do
+           if test -d "$ac_boost_path" && test -r "$ac_boost_path"; then
+               for i in `ls -d $ac_boost_path/include/boost-* 2>/dev/null`; do
+                   _version_tmp=`echo $i | sed "s#$ac_boost_path##" | sed 's,/include/boost-,,; s,_,.,'`
+                    V_CHECK=`expr $_version_tmp \> $_version`
+                    if test "$V_CHECK" = "1" ; then
+                        _version=$_version_tmp
+                        best_path=$ac_boost_path
+                   fi
+                done
+            fi
+       done
+
+        VERSION_UNDERSCORE=`echo $_version | sed 's/\./_/'`
+        BOOST_CPPFLAGS="-I$best_path/include/boost-$VERSION_UNDERSCORE"
+
+        if test "$ac_boost_lib_path" = "";  then
+                           if test -d "$best_path/lib${gr_libdir_suffix}"; then
+                BOOST_LDFLAGS="-L$best_path/lib${gr_libdir_suffix}"
+           else
+                BOOST_LDFLAGS="-L$best_path/lib"
+           fi
+        fi
+
+        CPPFLAGS="$CPPFLAGS $BOOST_CPPFLAGS"
+        export CPPFLAGS
+        LDFLAGS="$LDFLAGS $BOOST_LDFLAGS"
+        export LDFLAGS
+
+        ac_ext=cpp
+ac_cpp='$CXXCPP $CPPFLAGS'
+ac_compile='$CXX -c $CXXFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CXX -o conftest$ac_exeext $CXXFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_cxx_compiler_gnu
+
+            cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+        #include <boost/version.hpp>
+
+int
+main ()
+{
+
+        #if BOOST_VERSION >= $WANT_BOOST_VERSION
+        // Everything is okay
+        #else
+        #  error Boost version is too old
+        #endif
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_cxx_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  { $as_echo "$as_me:$LINENO: result: yes" >&5
+$as_echo "yes" >&6; }
+             succeeded=yes
+              found_system=yes
+
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+        ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+        CPPFLAGS="$CPPFLAGS_SAVED"
+        LDFLAGS="$LDFLAGS_SAVED"
+    fi
+
+    if test "$succeeded" != "yes" ; then
+       { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+        if test "$_version" = "0" ; then
+            { { $as_echo "$as_me:$LINENO: error: we could not detect the boost libraries (version $boost_lib_version_req_shorten or higher).
+If you are sure you have boost installed, then check your version number looking in <boost/version.hpp>." >&5
+$as_echo "$as_me: error: we could not detect the boost libraries (version $boost_lib_version_req_shorten or higher).
+If you are sure you have boost installed, then check your version number looking in <boost/version.hpp>." >&2;}
+   { (exit 1); exit 1; }; }
+        else
+            { { $as_echo "$as_me:$LINENO: error: your boost libraries seem to old (version $_version)." >&5
+$as_echo "$as_me: error: your boost libraries seem to old (version $_version)." >&2;}
+   { (exit 1); exit 1; }; }
+        fi
+    else
+
+
+
+cat >>confdefs.h <<\_ACEOF
+#define HAVE_BOOST 1
+_ACEOF
+
+    fi
+fi
+
+
+
+
+
+
+
+
+# Check whether --with-boost-thread was given.
+if test "${with_boost_thread+set}" = set; then
+  withval=$with_boost_thread;
+               if test "$withval" = "no"; then
+                   want_boost="no"
+               elif test "$withval" = "yes"; then
+                   want_boost="yes"
+                   ax_boost_user_lib=""
+               else
+                   want_boost="yes"
+                   ax_boost_user_lib="$withval"
+               fi
+
+else
+  want_boost="yes"
+fi
+
+
+
+
+    if test "$want_boost" = "yes"; then
+
+
+
+
+        CPPFLAGS_SAVED="$CPPFLAGS"
+        LDFLAGS_SAVED="$LDFLAGS"
+       CXXFLAGS_SAVED="$CXXFLAGS"
+
+        CPPFLAGS="$CPPFLAGS $BOOST_CPPFLAGS"
+        LDFLAGS="$LDFLAGS $BOOST_LDFLAGS $PTHREAD_LIBS"
+       CXXFLAGS="$CXXFLAGS $PTHREAD_CFLAGS"
+
+        { $as_echo "$as_me:$LINENO: checking whether the boost::thread includes are available" >&5
+$as_echo_n "checking whether the boost::thread includes are available... " >&6; }
+if test "${ax_cv_boost_thread+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_ext=cpp
+ac_cpp='$CXXCPP $CPPFLAGS'
+ac_compile='$CXX -c $CXXFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CXX -o conftest$ac_exeext $CXXFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_cxx_compiler_gnu
+
+             cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <boost/thread/thread.hpp>
+int
+main ()
+{
+boost::thread_group thrds;
+                                   return 0;
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_cxx_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ax_cv_boost_thread=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ax_cv_boost_thread=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+             ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+
+fi
+{ $as_echo "$as_me:$LINENO: result: $ax_cv_boost_thread" >&5
+$as_echo "$ax_cv_boost_thread" >&6; }
+
+        if test "$ax_cv_boost_thread" = "yes"; then
+           BOOST_CXXFLAGS="$PTHREAD_CFLAGS"
+
+
+
+    ac_ext=cpp
+ac_cpp='$CXXCPP $CPPFLAGS'
+ac_compile='$CXX -c $CXXFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CXX -o conftest$ac_exeext $CXXFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_cxx_compiler_gnu
+
+
+cat >>confdefs.h <<\_ACEOF
+#define HAVE_BOOST_THREAD 1
+_ACEOF
+
+    BOOSTLIBDIR=`echo $BOOST_LDFLAGS | sed -e 's/[^\/]*//'`
+
+        link_ok="no"
+    if test "$ax_boost_user_lib" != ""; then
+                for ax_lib in $ax_boost_user_lib boost_thread-${ax_boost_user_lib}; do
+           as_ac_Lib=`$as_echo "ac_cv_lib_$ax_lib''_exit" | $as_tr_sh`
+{ $as_echo "$as_me:$LINENO: checking for exit in -l$ax_lib" >&5
+$as_echo_n "checking for exit in -l$ax_lib... " >&6; }
+if { as_var=$as_ac_Lib; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-l$ax_lib  $LIBS"
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char exit ();
+int
+main ()
+{
+return exit ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_cxx_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  eval "$as_ac_Lib=yes"
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       eval "$as_ac_Lib=no"
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+ac_res=`eval 'as_val=${'$as_ac_Lib'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+as_val=`eval 'as_val=${'$as_ac_Lib'}
+                $as_echo "$as_val"'`
+   if test "x$as_val" = x""yes; then
+  BOOST_THREAD_LIB="-l$ax_lib";  link_ok="yes"; break
+fi
+
+        done
+    else
+               head=$BOOSTLIBDIR/libboost_thread
+       for f in ${head}*.so* ${head}*.a* ${head}*.dll* ${head}*.dylib; do
+                   case $f in
+             *\**) continue;;
+           esac
+           f=`echo $f | sed -e 's,.*/,,' -e 's,^lib,,'`
+                   f=`echo $f | sed -e 's,\(boost_thread.*\)\.so.*$,\1,' -e 's,\(boost_thread.*\)\.a.*$,\1,' -e 's,\(boost_thread.*\)\.dll.*$,\1,' -e 's,\(boost_thread.*\)\.dylib.*$,\1,'`
+
+           ax_lib=$f
+            as_ac_Lib=`$as_echo "ac_cv_lib_$ax_lib''_exit" | $as_tr_sh`
+{ $as_echo "$as_me:$LINENO: checking for exit in -l$ax_lib" >&5
+$as_echo_n "checking for exit in -l$ax_lib... " >&6; }
+if { as_var=$as_ac_Lib; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-l$ax_lib  $LIBS"
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char exit ();
+int
+main ()
+{
+return exit ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_cxx_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  eval "$as_ac_Lib=yes"
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       eval "$as_ac_Lib=no"
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+ac_res=`eval 'as_val=${'$as_ac_Lib'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+as_val=`eval 'as_val=${'$as_ac_Lib'}
+                $as_echo "$as_val"'`
+   if test "x$as_val" = x""yes; then
+  BOOST_THREAD_LIB="-l$ax_lib";  link_ok="yes"; break
+fi
+
+       done
+    fi
+
+    if test "$link_ok" != "yes"; then
+       { { $as_echo "$as_me:$LINENO: error: Could not link against libboost_thread!" >&5
+$as_echo "$as_me: error: Could not link against libboost_thread!" >&2;}
+   { (exit 1); exit 1; }; }
+    fi
+    ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+
+
+           if test "$link_ok" = "yes" && test -n "$PTHREAD_LIBS"; then
+               BOOST_THREAD_LIB="$BOOST_THREAD_LIB $PTHREAD_LIBS"
+           fi
+        fi
+
+        CPPFLAGS="$CPPFLAGS_SAVED"
+        LDFLAGS="$LDFLAGS_SAVED"
+       CXXFLAGS="$CXXFLAGS_SAVED"
+    fi
+
+CXXFLAGS="$CXXFLAGS $BOOST_CXXFLAGS"       CFLAGS="$CFLAGS $BOOST_CXXFLAGS"
+
+
+
+
+
+
+
+# Check whether --with-boost-date-time was given.
+if test "${with_boost_date_time+set}" = set; then
+  withval=$with_boost_date_time;
+               if test "$withval" = "no"; then
+                   want_boost="no"
+               elif test "$withval" = "yes"; then
+                   want_boost="yes"
+                   ax_boost_user_lib=""
+               else
+                   want_boost="yes"
+                   ax_boost_user_lib="$withval"
+               fi
+
+else
+  want_boost="yes"
+fi
+
+
+
+    if test "$want_boost" = "yes"; then
+
+
+        CPPFLAGS_SAVED="$CPPFLAGS"
+        CPPFLAGS="$CPPFLAGS $BOOST_CPPFLAGS"
+        LDFLAGS_SAVED="$LDFLAGS"
+        LDFLAGS="$LDFLAGS $BOOST_LDFLAGS"
+        { $as_echo "$as_me:$LINENO: checking whether the boost::date_time includes are available" >&5
+$as_echo_n "checking whether the boost::date_time includes are available... " >&6; }
+if test "${ax_cv_boost_date_time+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_ext=cpp
+ac_cpp='$CXXCPP $CPPFLAGS'
+ac_compile='$CXX -c $CXXFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CXX -o conftest$ac_exeext $CXXFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_cxx_compiler_gnu
+
+                        cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <boost/date_time/gregorian/gregorian_types.hpp>
+int
+main ()
+{
+using namespace boost::gregorian; date d(2002,Jan,10); return 0;
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_cxx_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ax_cv_boost_date_time=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ax_cv_boost_date_time=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+                        ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+
+fi
+{ $as_echo "$as_me:$LINENO: result: $ax_cv_boost_date_time" >&5
+$as_echo "$ax_cv_boost_date_time" >&6; }
+       if test "$ax_cv_boost_date_time" = "yes"; then
+
+
+    ac_ext=cpp
+ac_cpp='$CXXCPP $CPPFLAGS'
+ac_compile='$CXX -c $CXXFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CXX -o conftest$ac_exeext $CXXFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_cxx_compiler_gnu
+
+
+cat >>confdefs.h <<\_ACEOF
+#define HAVE_BOOST_DATE_TIME 1
+_ACEOF
+
+    BOOSTLIBDIR=`echo $BOOST_LDFLAGS | sed -e 's/[^\/]*//'`
+
+        link_ok="no"
+    if test "$ax_boost_user_lib" != ""; then
+                for ax_lib in $ax_boost_user_lib boost_date_time-${ax_boost_user_lib}; do
+           as_ac_Lib=`$as_echo "ac_cv_lib_$ax_lib''_exit" | $as_tr_sh`
+{ $as_echo "$as_me:$LINENO: checking for exit in -l$ax_lib" >&5
+$as_echo_n "checking for exit in -l$ax_lib... " >&6; }
+if { as_var=$as_ac_Lib; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-l$ax_lib  $LIBS"
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char exit ();
+int
+main ()
+{
+return exit ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_cxx_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  eval "$as_ac_Lib=yes"
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       eval "$as_ac_Lib=no"
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+ac_res=`eval 'as_val=${'$as_ac_Lib'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+as_val=`eval 'as_val=${'$as_ac_Lib'}
+                $as_echo "$as_val"'`
+   if test "x$as_val" = x""yes; then
+  BOOST_DATE_TIME_LIB="-l$ax_lib";  link_ok="yes"; break
+fi
+
+        done
+    else
+               head=$BOOSTLIBDIR/libboost_date_time
+       for f in ${head}*.so* ${head}*.a* ${head}*.dll* ${head}*.dylib; do
+                   case $f in
+             *\**) continue;;
+           esac
+           f=`echo $f | sed -e 's,.*/,,' -e 's,^lib,,'`
+                   f=`echo $f | sed -e 's,\(boost_date_time.*\)\.so.*$,\1,' -e 's,\(boost_date_time.*\)\.a.*$,\1,' -e 's,\(boost_date_time.*\)\.dll.*$,\1,' -e 's,\(boost_date_time.*\)\.dylib.*$,\1,'`
+
+           ax_lib=$f
+            as_ac_Lib=`$as_echo "ac_cv_lib_$ax_lib''_exit" | $as_tr_sh`
+{ $as_echo "$as_me:$LINENO: checking for exit in -l$ax_lib" >&5
+$as_echo_n "checking for exit in -l$ax_lib... " >&6; }
+if { as_var=$as_ac_Lib; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-l$ax_lib  $LIBS"
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char exit ();
+int
+main ()
+{
+return exit ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_cxx_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  eval "$as_ac_Lib=yes"
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       eval "$as_ac_Lib=no"
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+ac_res=`eval 'as_val=${'$as_ac_Lib'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+as_val=`eval 'as_val=${'$as_ac_Lib'}
+                $as_echo "$as_val"'`
+   if test "x$as_val" = x""yes; then
+  BOOST_DATE_TIME_LIB="-l$ax_lib";  link_ok="yes"; break
+fi
+
+       done
+    fi
+
+    if test "$link_ok" != "yes"; then
+       { { $as_echo "$as_me:$LINENO: error: Could not link against libboost_date_time!" >&5
+$as_echo "$as_me: error: Could not link against libboost_date_time!" >&2;}
+   { (exit 1); exit 1; }; }
+    fi
+    ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+
+
+       fi
+        CPPFLAGS="$CPPFLAGS_SAVED"
+        LDFLAGS="$LDFLAGS_SAVED"
+    fi
+
+
+
+
+
+
+
+
+
+
+# Check whether --with-boost-program-options was given.
+if test "${with_boost_program_options+set}" = set; then
+  withval=$with_boost_program_options;
+               if test "$withval" = "no"; then
+                   want_boost="no"
+               elif test "$withval" = "yes"; then
+                   want_boost="yes"
+                   ax_boost_user_lib=""
+               else
+                   want_boost="yes"
+                   ax_boost_user_lib="$withval"
+               fi
+
+else
+  want_boost="yes"
+fi
+
+
+
+    if test "$want_boost" = "yes"; then
+
+
+        CPPFLAGS_SAVED="$CPPFLAGS"
+        CPPFLAGS="$CPPFLAGS $BOOST_CPPFLAGS"
+        LDFLAGS_SAVED="$LDFLAGS"
+        LDFLAGS="$LDFLAGS $BOOST_LDFLAGS"
+        { $as_echo "$as_me:$LINENO: checking whether the boost::program_options includes are available" >&5
+$as_echo_n "checking whether the boost::program_options includes are available... " >&6; }
+if test "${ax_cv_boost_program_options+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_ext=cpp
+ac_cpp='$CXXCPP $CPPFLAGS'
+ac_compile='$CXX -c $CXXFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CXX -o conftest$ac_exeext $CXXFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_cxx_compiler_gnu
+
+                        cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <boost/program_options.hpp>
+int
+main ()
+{
+boost::program_options::options_description generic("Generic options");
+                     return 0;
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_cxx_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ax_cv_boost_program_options=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ax_cv_boost_program_options=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+                        ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+
+fi
+{ $as_echo "$as_me:$LINENO: result: $ax_cv_boost_program_options" >&5
+$as_echo "$ax_cv_boost_program_options" >&6; }
+       if test "$ax_cv_boost_program_options" = "yes"; then
+
+
+    ac_ext=cpp
+ac_cpp='$CXXCPP $CPPFLAGS'
+ac_compile='$CXX -c $CXXFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CXX -o conftest$ac_exeext $CXXFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_cxx_compiler_gnu
+
+
+cat >>confdefs.h <<\_ACEOF
+#define HAVE_BOOST_PROGRAM_OPTIONS 1
+_ACEOF
+
+    BOOSTLIBDIR=`echo $BOOST_LDFLAGS | sed -e 's/[^\/]*//'`
+
+        link_ok="no"
+    if test "$ax_boost_user_lib" != ""; then
+                for ax_lib in $ax_boost_user_lib boost_program_options-${ax_boost_user_lib}; do
+           as_ac_Lib=`$as_echo "ac_cv_lib_$ax_lib''_exit" | $as_tr_sh`
+{ $as_echo "$as_me:$LINENO: checking for exit in -l$ax_lib" >&5
+$as_echo_n "checking for exit in -l$ax_lib... " >&6; }
+if { as_var=$as_ac_Lib; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-l$ax_lib  $LIBS"
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char exit ();
+int
+main ()
+{
+return exit ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_cxx_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  eval "$as_ac_Lib=yes"
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       eval "$as_ac_Lib=no"
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+ac_res=`eval 'as_val=${'$as_ac_Lib'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+as_val=`eval 'as_val=${'$as_ac_Lib'}
+                $as_echo "$as_val"'`
+   if test "x$as_val" = x""yes; then
+  BOOST_PROGRAM_OPTIONS_LIB="-l$ax_lib";  link_ok="yes"; break
+fi
+
+        done
+    else
+               head=$BOOSTLIBDIR/libboost_program_options
+       for f in ${head}*.so* ${head}*.a* ${head}*.dll* ${head}*.dylib; do
+                   case $f in
+             *\**) continue;;
+           esac
+           f=`echo $f | sed -e 's,.*/,,' -e 's,^lib,,'`
+                   f=`echo $f | sed -e 's,\(boost_program_options.*\)\.so.*$,\1,' -e 's,\(boost_program_options.*\)\.a.*$,\1,' -e 's,\(boost_program_options.*\)\.dll.*$,\1,' -e 's,\(boost_program_options.*\)\.dylib.*$,\1,'`
+
+           ax_lib=$f
+            as_ac_Lib=`$as_echo "ac_cv_lib_$ax_lib''_exit" | $as_tr_sh`
+{ $as_echo "$as_me:$LINENO: checking for exit in -l$ax_lib" >&5
+$as_echo_n "checking for exit in -l$ax_lib... " >&6; }
+if { as_var=$as_ac_Lib; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-l$ax_lib  $LIBS"
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char exit ();
+int
+main ()
+{
+return exit ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_cxx_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  eval "$as_ac_Lib=yes"
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       eval "$as_ac_Lib=no"
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+ac_res=`eval 'as_val=${'$as_ac_Lib'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+as_val=`eval 'as_val=${'$as_ac_Lib'}
+                $as_echo "$as_val"'`
+   if test "x$as_val" = x""yes; then
+  BOOST_PROGRAM_OPTIONS_LIB="-l$ax_lib";  link_ok="yes"; break
+fi
+
+       done
+    fi
+
+    if test "$link_ok" != "yes"; then
+       { { $as_echo "$as_me:$LINENO: error: Could not link against libboost_program_options!" >&5
+$as_echo "$as_me: error: Could not link against libboost_program_options!" >&2;}
+   { (exit 1); exit 1; }; }
+    fi
+    ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+
+
+       fi
+        CPPFLAGS="$CPPFLAGS_SAVED"
+        LDFLAGS="$LDFLAGS_SAVED"
+    fi
+
+
+
+
+
+
+       # Extract the first word of "svn", so it can be a program name with args.
+set dummy svn; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_path_SVN+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  case $SVN in
+  [\\/]* | ?:[\\/]*)
+  ac_cv_path_SVN="$SVN" # Let the user override the test with a path.
+  ;;
+  *)
+  as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_path_SVN="$as_dir/$ac_word$ac_exec_ext"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+  ;;
+esac
+fi
+SVN=$ac_cv_path_SVN
+if test -n "$SVN"; then
+  { $as_echo "$as_me:$LINENO: result: $SVN" >&5
+$as_echo "$SVN" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+       if test "$SVN" != "" -a -d .svn ; then
+           SVNVERSION=`$SVN info . | grep '^Revision' | cut -f 2- -d ' '`
+           SVNDATE=`$SVN info . | grep 'Last Changed Date' | cut -f 4-6 -d ' '`
+       fi
+
+
+
+
+
+
+# Check whether --enable-all-components was given.
+if test "${enable_all_components+set}" = set; then
+  enableval=$enable_all_components;
+fi
+
+
+build_dirs="config"
+
+
+
+    passed=yes
+    # Check whether --enable-gruel was given.
+if test "${enable_gruel+set}" = set; then
+  enableval=$enable_gruel;
+else
+
+        enable_gruel=$enable_all_components
+        if test x$enable_all_components = xno; then
+            passed=no
+        fi
+
+fi
+
+
+
+
+    if test x = x; then
+        pc_comp_name="gruel"
+    else
+        pc_comp_name=""
+    fi
+
+
+# Check whether --with-gruel was given.
+if test "${with_gruel+set}" = set; then
+  withval=$with_gruel; if test "x$withval" != "xyes"; then
+                   with_gruel_val=$withval
+                   with_gruel=yes
+                fi
+fi
+
+    if test x$with_gruel = xyes; then
+        if test x$enable_gruel = xyes; then
+           { { $as_echo "$as_me:$LINENO: error: Component gruel: Cannot use both --enable and --with" >&5
+$as_echo "$as_me: error: Component gruel: Cannot use both --enable and --with" >&2;}
+   { (exit 1); exit 1; }; }
+        else
+
+        s_PKG_CONFIG_PATH=$PKG_CONFIG_PATH
+
+            l_PKG_CONFIG_PATH=$with_gruel_val
+    if test "x$l_PKG_CONFIG_PATH" != "x"; then
+        export PKG_CONFIG_PATH=$l_PKG_CONFIG_PATH
+
+                if ! test -r ${l_PKG_CONFIG_PATH}/${pc_comp_name}.pc; then
+           { { $as_echo "$as_me:$LINENO: error: Component gruel: PKGCONFIG cannot find info for ${pc_comp_name}, with provided PKG_CONFIG_PATH = [ $l_PKG_CONFIG_PATH ] ." >&5
+$as_echo "$as_me: error: Component gruel: PKGCONFIG cannot find info for ${pc_comp_name}, with provided PKG_CONFIG_PATH = [ $l_PKG_CONFIG_PATH ] ." >&2;}
+   { (exit 1); exit 1; }; }
+        fi
+    fi
+
+        if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"\${pc_comp_name}\"") >&5
+  ($PKG_CONFIG --exists --print-errors "${pc_comp_name}") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  passed=with; check1=yes
+else
+
+        check1=no
+                                       if test "x$l_PKG_CONFIG_PATH" != "x"; then
+            if test "x$s_PKG_CONFIG_PATH" != "x"; then
+                export PKG_CONFIG_PATH=${PKG_CONFIG_PATH}:${s_PKG_CONFIG_PATH}
+               if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"\${pc_comp_name}\"") >&5
+  ($PKG_CONFIG --exists --print-errors "${pc_comp_name}") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  passed=with
+else
+  passed=no
+fi
+            fi
+        fi
+        if test $passed != with; then
+            { { $as_echo "$as_me:$LINENO: error: Component gruel: PKGCONFIG cannot find info for ${pc_comp_name}, with PKG_CONFIG_PATH = [ $PKG_CONFIG_PATH ] ." >&5
+$as_echo "$as_me: error: Component gruel: PKGCONFIG cannot find info for ${pc_comp_name}, with PKG_CONFIG_PATH = [ $PKG_CONFIG_PATH ] ." >&2;}
+   { (exit 1); exit 1; }; }
+        fi
+                s_PKG_CONFIG_PATH=$PKG_CONFIG_PATH
+
+fi
+
+                gruel_INCLUDES=`$PKG_CONFIG --cflags-only-I ${pc_comp_name}`
+    gruel_LA=`$PKG_CONFIG --libs ${pc_comp_name}`
+    gruel_INCLUDEDIR=`$PKG_CONFIG --variable=includedir ${pc_comp_name}`
+
+    if test x$check1 = xyes; then
+                       if test "x$s_PKG_CONFIG_PATH" != "x"; then
+            export PKG_CONFIG_PATH=${PKG_CONFIG_PATH}:${s_PKG_CONFIG_PATH}
+        fi
+    fi
+
+           :
+        fi
+    fi
+
+
+
+                    if test $passed != with; then
+               gruel_INCLUDES="\
+-I\${abs_top_srcdir}/gruel/src/include \
+-I\${abs_top_builddir}/gruel/src/include"
+        gruel_LA="\${abs_top_builddir}/gruel/src/lib/libgruel.la"
+       gruel_LIBDIRPATH="\${abs_top_builddir}/gruel/src/lib:\${abs_top_builddir}/gruel/src/lib/.libs"
+    fi
+
+    ac_config_files="$ac_config_files gruel/Makefile gruel/gruel.pc gruel/src/Makefile gruel/src/include/Makefile gruel/src/include/gruel/Makefile gruel/src/include/gruel/inet.h gruel/src/lib/Makefile"
+
+
+        if test "${ac_cv_header_arpa_inet_h+set}" = set; then
+  { $as_echo "$as_me:$LINENO: checking for arpa/inet.h" >&5
+$as_echo_n "checking for arpa/inet.h... " >&6; }
+if test "${ac_cv_header_arpa_inet_h+set}" = set; then
+  $as_echo_n "(cached) " >&6
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_header_arpa_inet_h" >&5
+$as_echo "$ac_cv_header_arpa_inet_h" >&6; }
+else
+  # Is the header compilable?
+{ $as_echo "$as_me:$LINENO: checking arpa/inet.h usability" >&5
+$as_echo_n "checking arpa/inet.h usability... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+$ac_includes_default
+#include <arpa/inet.h>
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_header_compiler=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_header_compiler=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_compiler" >&5
+$as_echo "$ac_header_compiler" >&6; }
+
+# Is the header present?
+{ $as_echo "$as_me:$LINENO: checking arpa/inet.h presence" >&5
+$as_echo_n "checking arpa/inet.h presence... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <arpa/inet.h>
+_ACEOF
+if { (ac_try="$ac_cpp conftest.$ac_ext"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } >/dev/null && {
+        test -z "$ac_c_preproc_warn_flag$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       }; then
+  ac_header_preproc=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+  ac_header_preproc=no
+fi
+
+rm -f conftest.err conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_preproc" >&5
+$as_echo "$ac_header_preproc" >&6; }
+
+# So?  What about this header?
+case $ac_header_compiler:$ac_header_preproc:$ac_c_preproc_warn_flag in
+  yes:no: )
+    { $as_echo "$as_me:$LINENO: WARNING: arpa/inet.h: accepted by the compiler, rejected by the preprocessor!" >&5
+$as_echo "$as_me: WARNING: arpa/inet.h: accepted by the compiler, rejected by the preprocessor!" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: arpa/inet.h: proceeding with the compiler's result" >&5
+$as_echo "$as_me: WARNING: arpa/inet.h: proceeding with the compiler's result" >&2;}
+    ac_header_preproc=yes
+    ;;
+  no:yes:* )
+    { $as_echo "$as_me:$LINENO: WARNING: arpa/inet.h: present but cannot be compiled" >&5
+$as_echo "$as_me: WARNING: arpa/inet.h: present but cannot be compiled" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: arpa/inet.h:     check for missing prerequisite headers?" >&5
+$as_echo "$as_me: WARNING: arpa/inet.h:     check for missing prerequisite headers?" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: arpa/inet.h: see the Autoconf documentation" >&5
+$as_echo "$as_me: WARNING: arpa/inet.h: see the Autoconf documentation" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: arpa/inet.h:     section \"Present But Cannot Be Compiled\"" >&5
+$as_echo "$as_me: WARNING: arpa/inet.h:     section \"Present But Cannot Be Compiled\"" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: arpa/inet.h: proceeding with the preprocessor's result" >&5
+$as_echo "$as_me: WARNING: arpa/inet.h: proceeding with the preprocessor's result" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: arpa/inet.h: in the future, the compiler will take precedence" >&5
+$as_echo "$as_me: WARNING: arpa/inet.h: in the future, the compiler will take precedence" >&2;}
+
+    ;;
+esac
+{ $as_echo "$as_me:$LINENO: checking for arpa/inet.h" >&5
+$as_echo_n "checking for arpa/inet.h... " >&6; }
+if test "${ac_cv_header_arpa_inet_h+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_cv_header_arpa_inet_h=$ac_header_preproc
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_header_arpa_inet_h" >&5
+$as_echo "$ac_cv_header_arpa_inet_h" >&6; }
+
+fi
+if test "x$ac_cv_header_arpa_inet_h" = x""yes; then
+  GR_HAVE_ARPA_INET=1
+else
+  GR_HAVE_ARPA_INET=0
+fi
+
+
+    if test "${ac_cv_header_netinet_in_h+set}" = set; then
+  { $as_echo "$as_me:$LINENO: checking for netinet/in.h" >&5
+$as_echo_n "checking for netinet/in.h... " >&6; }
+if test "${ac_cv_header_netinet_in_h+set}" = set; then
+  $as_echo_n "(cached) " >&6
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_header_netinet_in_h" >&5
+$as_echo "$ac_cv_header_netinet_in_h" >&6; }
+else
+  # Is the header compilable?
+{ $as_echo "$as_me:$LINENO: checking netinet/in.h usability" >&5
+$as_echo_n "checking netinet/in.h usability... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+$ac_includes_default
+#include <netinet/in.h>
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_header_compiler=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_header_compiler=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_compiler" >&5
+$as_echo "$ac_header_compiler" >&6; }
+
+# Is the header present?
+{ $as_echo "$as_me:$LINENO: checking netinet/in.h presence" >&5
+$as_echo_n "checking netinet/in.h presence... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <netinet/in.h>
+_ACEOF
+if { (ac_try="$ac_cpp conftest.$ac_ext"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } >/dev/null && {
+        test -z "$ac_c_preproc_warn_flag$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       }; then
+  ac_header_preproc=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+  ac_header_preproc=no
+fi
+
+rm -f conftest.err conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_preproc" >&5
+$as_echo "$ac_header_preproc" >&6; }
+
+# So?  What about this header?
+case $ac_header_compiler:$ac_header_preproc:$ac_c_preproc_warn_flag in
+  yes:no: )
+    { $as_echo "$as_me:$LINENO: WARNING: netinet/in.h: accepted by the compiler, rejected by the preprocessor!" >&5
+$as_echo "$as_me: WARNING: netinet/in.h: accepted by the compiler, rejected by the preprocessor!" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: netinet/in.h: proceeding with the compiler's result" >&5
+$as_echo "$as_me: WARNING: netinet/in.h: proceeding with the compiler's result" >&2;}
+    ac_header_preproc=yes
+    ;;
+  no:yes:* )
+    { $as_echo "$as_me:$LINENO: WARNING: netinet/in.h: present but cannot be compiled" >&5
+$as_echo "$as_me: WARNING: netinet/in.h: present but cannot be compiled" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: netinet/in.h:     check for missing prerequisite headers?" >&5
+$as_echo "$as_me: WARNING: netinet/in.h:     check for missing prerequisite headers?" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: netinet/in.h: see the Autoconf documentation" >&5
+$as_echo "$as_me: WARNING: netinet/in.h: see the Autoconf documentation" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: netinet/in.h:     section \"Present But Cannot Be Compiled\"" >&5
+$as_echo "$as_me: WARNING: netinet/in.h:     section \"Present But Cannot Be Compiled\"" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: netinet/in.h: proceeding with the preprocessor's result" >&5
+$as_echo "$as_me: WARNING: netinet/in.h: proceeding with the preprocessor's result" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: netinet/in.h: in the future, the compiler will take precedence" >&5
+$as_echo "$as_me: WARNING: netinet/in.h: in the future, the compiler will take precedence" >&2;}
+
+    ;;
+esac
+{ $as_echo "$as_me:$LINENO: checking for netinet/in.h" >&5
+$as_echo_n "checking for netinet/in.h... " >&6; }
+if test "${ac_cv_header_netinet_in_h+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_cv_header_netinet_in_h=$ac_header_preproc
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_header_netinet_in_h" >&5
+$as_echo "$ac_cv_header_netinet_in_h" >&6; }
+
+fi
+if test "x$ac_cv_header_netinet_in_h" = x""yes; then
+  GR_HAVE_NETINET_IN=1
+else
+  GR_HAVE_NETINET_IN=0
+fi
+
+
+    if test "${ac_cv_header_byteswap_h+set}" = set; then
+  { $as_echo "$as_me:$LINENO: checking for byteswap.h" >&5
+$as_echo_n "checking for byteswap.h... " >&6; }
+if test "${ac_cv_header_byteswap_h+set}" = set; then
+  $as_echo_n "(cached) " >&6
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_header_byteswap_h" >&5
+$as_echo "$ac_cv_header_byteswap_h" >&6; }
+else
+  # Is the header compilable?
+{ $as_echo "$as_me:$LINENO: checking byteswap.h usability" >&5
+$as_echo_n "checking byteswap.h usability... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+$ac_includes_default
+#include <byteswap.h>
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_header_compiler=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_header_compiler=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_compiler" >&5
+$as_echo "$ac_header_compiler" >&6; }
+
+# Is the header present?
+{ $as_echo "$as_me:$LINENO: checking byteswap.h presence" >&5
+$as_echo_n "checking byteswap.h presence... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <byteswap.h>
+_ACEOF
+if { (ac_try="$ac_cpp conftest.$ac_ext"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } >/dev/null && {
+        test -z "$ac_c_preproc_warn_flag$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       }; then
+  ac_header_preproc=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+  ac_header_preproc=no
+fi
+
+rm -f conftest.err conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_preproc" >&5
+$as_echo "$ac_header_preproc" >&6; }
+
+# So?  What about this header?
+case $ac_header_compiler:$ac_header_preproc:$ac_c_preproc_warn_flag in
+  yes:no: )
+    { $as_echo "$as_me:$LINENO: WARNING: byteswap.h: accepted by the compiler, rejected by the preprocessor!" >&5
+$as_echo "$as_me: WARNING: byteswap.h: accepted by the compiler, rejected by the preprocessor!" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: byteswap.h: proceeding with the compiler's result" >&5
+$as_echo "$as_me: WARNING: byteswap.h: proceeding with the compiler's result" >&2;}
+    ac_header_preproc=yes
+    ;;
+  no:yes:* )
+    { $as_echo "$as_me:$LINENO: WARNING: byteswap.h: present but cannot be compiled" >&5
+$as_echo "$as_me: WARNING: byteswap.h: present but cannot be compiled" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: byteswap.h:     check for missing prerequisite headers?" >&5
+$as_echo "$as_me: WARNING: byteswap.h:     check for missing prerequisite headers?" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: byteswap.h: see the Autoconf documentation" >&5
+$as_echo "$as_me: WARNING: byteswap.h: see the Autoconf documentation" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: byteswap.h:     section \"Present But Cannot Be Compiled\"" >&5
+$as_echo "$as_me: WARNING: byteswap.h:     section \"Present But Cannot Be Compiled\"" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: byteswap.h: proceeding with the preprocessor's result" >&5
+$as_echo "$as_me: WARNING: byteswap.h: proceeding with the preprocessor's result" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: byteswap.h: in the future, the compiler will take precedence" >&5
+$as_echo "$as_me: WARNING: byteswap.h: in the future, the compiler will take precedence" >&2;}
+
+    ;;
+esac
+{ $as_echo "$as_me:$LINENO: checking for byteswap.h" >&5
+$as_echo_n "checking for byteswap.h... " >&6; }
+if test "${ac_cv_header_byteswap_h+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_cv_header_byteswap_h=$ac_header_preproc
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_header_byteswap_h" >&5
+$as_echo "$ac_cv_header_byteswap_h" >&6; }
+
+fi
+if test "x$ac_cv_header_byteswap_h" = x""yes; then
+  GR_HAVE_BYTESWAP=1
+else
+  GR_HAVE_BYTESWAP=0
+fi
+
+
+
+
+
+
+
+
+    gruel_with=no
+    if test $passed = no; then
+       if test x$enable_gruel = xyes; then
+           { { $as_echo "$as_me:$LINENO: error: Component gruel has errors; stopping." >&5
+$as_echo "$as_me: error: Component gruel has errors; stopping." >&2;}
+   { (exit 1); exit 1; }; }
+       else
+           { $as_echo "$as_me:$LINENO: result: Not building component gruel." >&5
+$as_echo "Not building component gruel." >&6; }
+       fi
+    else
+        if test $passed = with; then
+           with_dirs="$with_dirs gruel"
+
+    if test "x${gruel_INCLUDES}" != "x"; then
+        if test "x$with_INCLUDES" = "x"; then
+            with_INCLUDES="${gruel_INCLUDES}"
+       else
+           with_INCLUDES="${gruel_INCLUDES}"" ""$with_INCLUDES"
+       fi
+       gruel_INCLUDES=
+    fi
+
+
+    if test "x${gruel_SWIG_INCLUDES}" != "x"; then
+        if test "x$with_SWIG_INCLUDES" = "x"; then
+            with_SWIG_INCLUDES="${gruel_SWIG_INCLUDES}"
+       else
+           with_SWIG_INCLUDES="${gruel_SWIG_INCLUDES}"" ""$with_SWIG_INCLUDES"
+       fi
+       gruel_SWIG_INCLUDES=
+    fi
+
+
+    if test "x${gruel_PYDIRPATH}" != "x"; then
+        if test "x$with_PYDIRPATH" = "x"; then
+            with_PYDIRPATH="${gruel_PYDIRPATH}"
+       else
+           with_PYDIRPATH="${gruel_PYDIRPATH}"":""$with_PYDIRPATH"
+       fi
+       gruel_PYDIRPATH=
+    fi
+
+
+    if test "x${gruel_SWIGDIRPATH}" != "x"; then
+        if test "x$with_SWIGDIRPATH" = "x"; then
+            with_SWIGDIRPATH="${gruel_SWIGDIRPATH}"
+       else
+           with_SWIGDIRPATH="${gruel_SWIGDIRPATH}"":""$with_SWIGDIRPATH"
+       fi
+       gruel_SWIGDIRPATH=
+    fi
+
+
+    if test "x${gruel_LIBDIRPATH}" != "x"; then
+        if test "x$with_LIBDIRPATH" = "x"; then
+            with_LIBDIRPATH="${gruel_LIBDIRPATH}"
+       else
+           with_LIBDIRPATH="${gruel_LIBDIRPATH}"":""$with_LIBDIRPATH"
+       fi
+       gruel_LIBDIRPATH=
+    fi
+
+           { $as_echo "$as_me:$LINENO: result: Component gruel will be included from a pre-installed library and includes." >&5
+$as_echo "Component gruel will be included from a pre-installed library and includes." >&6; }
+           gruel_with=yes
+       else
+           gruel_LDFLAG=
+            if test x$enable_gruel != xno; then
+               :
+               build_dirs="$build_dirs gruel"
+               { $as_echo "$as_me:$LINENO: result: Component gruel passed configuration checks; building." >&5
+$as_echo "Component gruel passed configuration checks; building." >&6; }
+            else
+               passed=no
+               { $as_echo "$as_me:$LINENO: result: Component gruel passed configuration checks; but not building." >&5
+$as_echo "Component gruel passed configuration checks; but not building." >&6; }
+           fi
+       fi
+    fi
+    if test $passed = no; then
+        skipped_dirs="$skipped_dirs gruel"
+        gruel_skipped=yes
+    else
+        gruel_skipped=no
+    fi
+
+
+
+
+
+
+
+
+
+
+    passed=yes
+    # Check whether --enable-omnithread was given.
+if test "${enable_omnithread+set}" = set; then
+  enableval=$enable_omnithread;
+else
+
+        enable_omnithread=$enable_all_components
+        if test x$enable_all_components = xno; then
+            passed=no
+        fi
+
+fi
+
+
+
+
+
+    if test xgnuradio-omnithread = x; then
+        pc_comp_name="omnithread"
+    else
+        pc_comp_name="gnuradio-omnithread"
+    fi
+
+
+# Check whether --with-omnithread was given.
+if test "${with_omnithread+set}" = set; then
+  withval=$with_omnithread; if test "x$withval" != "xyes"; then
+                   with_omnithread_val=$withval
+                   with_omnithread=yes
+                fi
+fi
+
+    if test x$with_omnithread = xyes; then
+        if test x$enable_omnithread = xyes; then
+           { { $as_echo "$as_me:$LINENO: error: Component omnithread: Cannot use both --enable and --with" >&5
+$as_echo "$as_me: error: Component omnithread: Cannot use both --enable and --with" >&2;}
+   { (exit 1); exit 1; }; }
+        else
+
+        s_PKG_CONFIG_PATH=$PKG_CONFIG_PATH
+
+            l_PKG_CONFIG_PATH=$with_omnithread_val
+    if test "x$l_PKG_CONFIG_PATH" != "x"; then
+        export PKG_CONFIG_PATH=$l_PKG_CONFIG_PATH
+
+                if ! test -r ${l_PKG_CONFIG_PATH}/${pc_comp_name}.pc; then
+           { { $as_echo "$as_me:$LINENO: error: Component omnithread: PKGCONFIG cannot find info for ${pc_comp_name}, with provided PKG_CONFIG_PATH = [ $l_PKG_CONFIG_PATH ] ." >&5
+$as_echo "$as_me: error: Component omnithread: PKGCONFIG cannot find info for ${pc_comp_name}, with provided PKG_CONFIG_PATH = [ $l_PKG_CONFIG_PATH ] ." >&2;}
+   { (exit 1); exit 1; }; }
+        fi
+    fi
+
+        if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"\${pc_comp_name}\"") >&5
+  ($PKG_CONFIG --exists --print-errors "${pc_comp_name}") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  passed=with; check1=yes
+else
+
+        check1=no
+                                       if test "x$l_PKG_CONFIG_PATH" != "x"; then
+            if test "x$s_PKG_CONFIG_PATH" != "x"; then
+                export PKG_CONFIG_PATH=${PKG_CONFIG_PATH}:${s_PKG_CONFIG_PATH}
+               if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"\${pc_comp_name}\"") >&5
+  ($PKG_CONFIG --exists --print-errors "${pc_comp_name}") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  passed=with
+else
+  passed=no
+fi
+            fi
+        fi
+        if test $passed != with; then
+            { { $as_echo "$as_me:$LINENO: error: Component omnithread: PKGCONFIG cannot find info for ${pc_comp_name}, with PKG_CONFIG_PATH = [ $PKG_CONFIG_PATH ] ." >&5
+$as_echo "$as_me: error: Component omnithread: PKGCONFIG cannot find info for ${pc_comp_name}, with PKG_CONFIG_PATH = [ $PKG_CONFIG_PATH ] ." >&2;}
+   { (exit 1); exit 1; }; }
+        fi
+                s_PKG_CONFIG_PATH=$PKG_CONFIG_PATH
+
+fi
+
+                omnithread_INCLUDES=`$PKG_CONFIG --cflags-only-I ${pc_comp_name}`
+    omnithread_LA=`$PKG_CONFIG --libs ${pc_comp_name}`
+    omnithread_INCLUDEDIR=`$PKG_CONFIG --variable=includedir ${pc_comp_name}`
+
+    if test x$check1 = xyes; then
+                       if test "x$s_PKG_CONFIG_PATH" != "x"; then
+            export PKG_CONFIG_PATH=${PKG_CONFIG_PATH}:${s_PKG_CONFIG_PATH}
+        fi
+    fi
+
+           :
+        fi
+    fi
+
+
+
+                    if test $passed != with; then
+               omnithread_INCLUDES="-I\${abs_top_srcdir}/omnithread"
+        omnithread_LA="\${abs_top_builddir}/omnithread/libgromnithread.la"
+       omnithread_LIBDIRPATH="\${abs_top_builddir}/omnithread:\${abs_top_builddir}/omnithread/.libs"
+    fi
+
+    ac_config_files="$ac_config_files omnithread/Makefile omnithread/gnuradio/Makefile omnithread/gnuradio-omnithread.pc"
+
+
+
+
+    omnithread_with=no
+    if test $passed = no; then
+       if test x$enable_omnithread = xyes; then
+           { { $as_echo "$as_me:$LINENO: error: Component omnithread has errors; stopping." >&5
+$as_echo "$as_me: error: Component omnithread has errors; stopping." >&2;}
+   { (exit 1); exit 1; }; }
+       else
+           { $as_echo "$as_me:$LINENO: result: Not building component omnithread." >&5
+$as_echo "Not building component omnithread." >&6; }
+       fi
+    else
+        if test $passed = with; then
+           with_dirs="$with_dirs omnithread"
+
+    if test "x${omnithread_INCLUDES}" != "x"; then
+        if test "x$with_INCLUDES" = "x"; then
+            with_INCLUDES="${omnithread_INCLUDES}"
+       else
+           with_INCLUDES="${omnithread_INCLUDES}"" ""$with_INCLUDES"
+       fi
+       omnithread_INCLUDES=
+    fi
+
+
+    if test "x${omnithread_SWIG_INCLUDES}" != "x"; then
+        if test "x$with_SWIG_INCLUDES" = "x"; then
+            with_SWIG_INCLUDES="${omnithread_SWIG_INCLUDES}"
+       else
+           with_SWIG_INCLUDES="${omnithread_SWIG_INCLUDES}"" ""$with_SWIG_INCLUDES"
+       fi
+       omnithread_SWIG_INCLUDES=
+    fi
+
+
+    if test "x${omnithread_PYDIRPATH}" != "x"; then
+        if test "x$with_PYDIRPATH" = "x"; then
+            with_PYDIRPATH="${omnithread_PYDIRPATH}"
+       else
+           with_PYDIRPATH="${omnithread_PYDIRPATH}"":""$with_PYDIRPATH"
+       fi
+       omnithread_PYDIRPATH=
+    fi
+
+
+    if test "x${omnithread_SWIGDIRPATH}" != "x"; then
+        if test "x$with_SWIGDIRPATH" = "x"; then
+            with_SWIGDIRPATH="${omnithread_SWIGDIRPATH}"
+       else
+           with_SWIGDIRPATH="${omnithread_SWIGDIRPATH}"":""$with_SWIGDIRPATH"
+       fi
+       omnithread_SWIGDIRPATH=
+    fi
+
+
+    if test "x${omnithread_LIBDIRPATH}" != "x"; then
+        if test "x$with_LIBDIRPATH" = "x"; then
+            with_LIBDIRPATH="${omnithread_LIBDIRPATH}"
+       else
+           with_LIBDIRPATH="${omnithread_LIBDIRPATH}"":""$with_LIBDIRPATH"
+       fi
+       omnithread_LIBDIRPATH=
+    fi
+
+           { $as_echo "$as_me:$LINENO: result: Component omnithread will be included from a pre-installed library and includes." >&5
+$as_echo "Component omnithread will be included from a pre-installed library and includes." >&6; }
+           omnithread_with=yes
+       else
+           omnithread_LDFLAG=
+            if test x$enable_omnithread != xno; then
+
+               build_dirs="$build_dirs omnithread"
+               { $as_echo "$as_me:$LINENO: result: Component omnithread passed configuration checks; building." >&5
+$as_echo "Component omnithread passed configuration checks; building." >&6; }
+            else
+               passed=no
+               { $as_echo "$as_me:$LINENO: result: Component omnithread passed configuration checks; but not building." >&5
+$as_echo "Component omnithread passed configuration checks; but not building." >&6; }
+           fi
+       fi
+    fi
+    if test $passed = no; then
+        skipped_dirs="$skipped_dirs omnithread"
+        omnithread_skipped=yes
+    else
+        omnithread_skipped=no
+    fi
+
+
+
+
+
+
+
+
+
+
+    passed=yes
+    # Check whether --enable-gcell was given.
+if test "${enable_gcell+set}" = set; then
+  enableval=$enable_gcell;
+else
+
+        enable_gcell=$enable_all_components
+        if test x$enable_all_components = xno; then
+            passed=no
+        fi
+
+fi
+
+
+
+
+
+    if test $passed != no; then
+        if test $passed = yes; then
+                        if test x$omnithread_skipped = xyes; then
+                { $as_echo "$as_me:$LINENO: result: Component gcell requires omnithread, which is not being built or specified via pre-installed files." >&5
+$as_echo "Component gcell requires omnithread, which is not being built or specified via pre-installed files." >&6; }
+                passed=no
+            fi
+        else
+                        if test x$omnithread_with = xno; then
+                { { $as_echo "$as_me:$LINENO: error: Component gcell requires omnithread to be included as --with-omnithread[=arg]" >&5
+$as_echo "$as_me: error: Component gcell requires omnithread to be included as --with-omnithread[=arg]" >&2;}
+   { (exit 1); exit 1; }; }
+            fi
+        fi
+    fi
+
+
+                    if test $passed = yes; then
+               { $as_echo "$as_me:$LINENO: checking whether host_cpu is powerpc*" >&5
+$as_echo_n "checking whether host_cpu is powerpc*... " >&6; }
+       case "$host_cpu" in
+           powerpc*)
+               { $as_echo "$as_me:$LINENO: result: yes" >&5
+$as_echo "yes" >&6; }
+               ;;
+            *)
+               passed=no
+               { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+               ;;
+       esac
+
+               # Extract the first word of "spu-gcc", so it can be a program name with args.
+set dummy spu-gcc; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_SPU_GCC_PROG+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$SPU_GCC_PROG"; then
+  ac_cv_prog_SPU_GCC_PROG="$SPU_GCC_PROG" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_SPU_GCC_PROG="yes"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+  test -z "$ac_cv_prog_SPU_GCC_PROG" && ac_cv_prog_SPU_GCC_PROG="no"
+fi
+fi
+SPU_GCC_PROG=$ac_cv_prog_SPU_GCC_PROG
+if test -n "$SPU_GCC_PROG"; then
+  { $as_echo "$as_me:$LINENO: result: $SPU_GCC_PROG" >&5
+$as_echo "$SPU_GCC_PROG" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+       if test $SPU_GCC_PROG = no; then
+            passed=no
+        fi
+    fi
+
+    if test $passed != with; then
+               gcell_INCLUDES="-I\${abs_top_srcdir}/gcell/include"
+        gcell_LA="\${abs_top_builddir}/gcell/lib/libgcell.la"
+       gcell_spu_INCLUDES="-I\${abs_top_srcdir}/gcell/include"
+       gcell_spu_LA="\${abs_top_builddir}/gcell/lib/spu/libgcell_spu.a"
+
+
+
+    fi
+
+    ac_config_files="$ac_config_files gcell/Makefile gcell/gcell.pc gcell/gcell_spu.pc gcell/include/Makefile gcell/include/gcell/Makefile gcell/include/gcell/spu/Makefile gcell/lib/Makefile gcell/lib/spu/Makefile gcell/lib/general/Makefile gcell/lib/wrapper/Makefile gcell/lib/runtime/Makefile gcell/apps/Makefile gcell/apps/spu/Makefile gcell/ibm/Makefile"
+
+
+
+
+    gcell_with=no
+    if test $passed = no; then
+       if test x$enable_gcell = xyes; then
+           { { $as_echo "$as_me:$LINENO: error: Component gcell has errors; stopping." >&5
+$as_echo "$as_me: error: Component gcell has errors; stopping." >&2;}
+   { (exit 1); exit 1; }; }
+       else
+           { $as_echo "$as_me:$LINENO: result: Not building component gcell." >&5
+$as_echo "Not building component gcell." >&6; }
+       fi
+    else
+        if test $passed = with; then
+           with_dirs="$with_dirs gcell"
+
+    if test "x${gcell_INCLUDES}" != "x"; then
+        if test "x$with_INCLUDES" = "x"; then
+            with_INCLUDES="${gcell_INCLUDES}"
+       else
+           with_INCLUDES="${gcell_INCLUDES}"" ""$with_INCLUDES"
+       fi
+       gcell_INCLUDES=
+    fi
+
+
+    if test "x${gcell_SWIG_INCLUDES}" != "x"; then
+        if test "x$with_SWIG_INCLUDES" = "x"; then
+            with_SWIG_INCLUDES="${gcell_SWIG_INCLUDES}"
+       else
+           with_SWIG_INCLUDES="${gcell_SWIG_INCLUDES}"" ""$with_SWIG_INCLUDES"
+       fi
+       gcell_SWIG_INCLUDES=
+    fi
+
+
+    if test "x${gcell_PYDIRPATH}" != "x"; then
+        if test "x$with_PYDIRPATH" = "x"; then
+            with_PYDIRPATH="${gcell_PYDIRPATH}"
+       else
+           with_PYDIRPATH="${gcell_PYDIRPATH}"":""$with_PYDIRPATH"
+       fi
+       gcell_PYDIRPATH=
+    fi
+
+
+    if test "x${gcell_SWIGDIRPATH}" != "x"; then
+        if test "x$with_SWIGDIRPATH" = "x"; then
+            with_SWIGDIRPATH="${gcell_SWIGDIRPATH}"
+       else
+           with_SWIGDIRPATH="${gcell_SWIGDIRPATH}"":""$with_SWIGDIRPATH"
+       fi
+       gcell_SWIGDIRPATH=
+    fi
+
+
+    if test "x${gcell_LIBDIRPATH}" != "x"; then
+        if test "x$with_LIBDIRPATH" = "x"; then
+            with_LIBDIRPATH="${gcell_LIBDIRPATH}"
+       else
+           with_LIBDIRPATH="${gcell_LIBDIRPATH}"":""$with_LIBDIRPATH"
+       fi
+       gcell_LIBDIRPATH=
+    fi
+
+           { $as_echo "$as_me:$LINENO: result: Component gcell will be included from a pre-installed library and includes." >&5
+$as_echo "Component gcell will be included from a pre-installed library and includes." >&6; }
+           gcell_with=yes
+       else
+           gcell_LDFLAG=
+            if test x$enable_gcell != xno; then
+               :
+               build_dirs="$build_dirs gcell"
+               { $as_echo "$as_me:$LINENO: result: Component gcell passed configuration checks; building." >&5
+$as_echo "Component gcell passed configuration checks; building." >&6; }
+            else
+               passed=no
+               { $as_echo "$as_me:$LINENO: result: Component gcell passed configuration checks; but not building." >&5
+$as_echo "Component gcell passed configuration checks; but not building." >&6; }
+           fi
+       fi
+    fi
+    if test $passed = no; then
+        skipped_dirs="$skipped_dirs gcell"
+        gcell_skipped=yes
+    else
+        gcell_skipped=no
+    fi
+
+
+
+
+
+
+
+
+
+
+
+    passed=yes
+    # Check whether --enable-gnuradio-core was given.
+if test "${enable_gnuradio_core+set}" = set; then
+  enableval=$enable_gnuradio_core;
+else
+
+        enable_gnuradio_core=$enable_all_components
+        if test x$enable_all_components = xno; then
+            passed=no
+        fi
+
+fi
+
+
+
+
+
+    if test x = x; then
+        pc_comp_name="gnuradio-core"
+    else
+        pc_comp_name=""
+    fi
+
+
+# Check whether --with-gnuradio-core was given.
+if test "${with_gnuradio_core+set}" = set; then
+  withval=$with_gnuradio_core; if test "x$withval" != "xyes"; then
+                   with_gnuradio_core_val=$withval
+                   with_gnuradio_core=yes
+                fi
+fi
+
+    if test x$with_gnuradio_core = xyes; then
+        if test x$enable_gnuradio_core = xyes; then
+           { { $as_echo "$as_me:$LINENO: error: Component gnuradio-core: Cannot use both --enable and --with" >&5
+$as_echo "$as_me: error: Component gnuradio-core: Cannot use both --enable and --with" >&2;}
+   { (exit 1); exit 1; }; }
+        else
+
+        s_PKG_CONFIG_PATH=$PKG_CONFIG_PATH
+
+            l_PKG_CONFIG_PATH=$with_gnuradio_core_val
+    if test "x$l_PKG_CONFIG_PATH" != "x"; then
+        export PKG_CONFIG_PATH=$l_PKG_CONFIG_PATH
+
+                if ! test -r ${l_PKG_CONFIG_PATH}/${pc_comp_name}.pc; then
+           { { $as_echo "$as_me:$LINENO: error: Component gnuradio-core: PKGCONFIG cannot find info for ${pc_comp_name}, with provided PKG_CONFIG_PATH = [ $l_PKG_CONFIG_PATH ] ." >&5
+$as_echo "$as_me: error: Component gnuradio-core: PKGCONFIG cannot find info for ${pc_comp_name}, with provided PKG_CONFIG_PATH = [ $l_PKG_CONFIG_PATH ] ." >&2;}
+   { (exit 1); exit 1; }; }
+        fi
+    fi
+
+        if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"\${pc_comp_name}\"") >&5
+  ($PKG_CONFIG --exists --print-errors "${pc_comp_name}") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  passed=with; check1=yes
+else
+
+        check1=no
+                                       if test "x$l_PKG_CONFIG_PATH" != "x"; then
+            if test "x$s_PKG_CONFIG_PATH" != "x"; then
+                export PKG_CONFIG_PATH=${PKG_CONFIG_PATH}:${s_PKG_CONFIG_PATH}
+               if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"\${pc_comp_name}\"") >&5
+  ($PKG_CONFIG --exists --print-errors "${pc_comp_name}") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  passed=with
+else
+  passed=no
+fi
+            fi
+        fi
+        if test $passed != with; then
+            { { $as_echo "$as_me:$LINENO: error: Component gnuradio-core: PKGCONFIG cannot find info for ${pc_comp_name}, with PKG_CONFIG_PATH = [ $PKG_CONFIG_PATH ] ." >&5
+$as_echo "$as_me: error: Component gnuradio-core: PKGCONFIG cannot find info for ${pc_comp_name}, with PKG_CONFIG_PATH = [ $PKG_CONFIG_PATH ] ." >&2;}
+   { (exit 1); exit 1; }; }
+        fi
+                s_PKG_CONFIG_PATH=$PKG_CONFIG_PATH
+
+fi
+
+                gnuradio_core_INCLUDES=`$PKG_CONFIG --cflags-only-I ${pc_comp_name}`
+    gnuradio_core_LA=`$PKG_CONFIG --libs ${pc_comp_name}`
+    gnuradio_core_INCLUDEDIR=`$PKG_CONFIG --variable=includedir ${pc_comp_name}`
+
+    if test x$check1 = xyes; then
+                       if test "x$s_PKG_CONFIG_PATH" != "x"; then
+            export PKG_CONFIG_PATH=${PKG_CONFIG_PATH}:${s_PKG_CONFIG_PATH}
+        fi
+    fi
+
+
+               gnuradio_core_SWIGDIRPATH="$gnuradio_core_INCLUDEDIR/swig"
+       gnuradio_core_I="$gnuradio_core_SWIGDIRPATH/gnuradio.i"
+       gnuradio_core_SWIG_INCLUDES="-I$gnuradio_core_SWIGDIRPATH"
+       gnuradio_core_PYDIRPATH=$pythondir
+
+        fi
+    fi
+
+
+
+
+    if test $passed != no; then
+        if test $passed = yes; then
+                        if test x$gruel_skipped = xyes; then
+                { $as_echo "$as_me:$LINENO: result: Component gnuradio-core requires gruel, which is not being built or specified via pre-installed files." >&5
+$as_echo "Component gnuradio-core requires gruel, which is not being built or specified via pre-installed files." >&6; }
+                passed=no
+            fi
+        else
+                        if test x$gruel_with = xno; then
+                { { $as_echo "$as_me:$LINENO: error: Component gnuradio-core requires gruel to be included as --with-gruel[=arg]" >&5
+$as_echo "$as_me: error: Component gnuradio-core requires gruel to be included as --with-gruel[=arg]" >&2;}
+   { (exit 1); exit 1; }; }
+            fi
+        fi
+    fi
+
+
+    if test $passed != no; then
+        if test $passed = yes; then
+                        if test x$omnithread_skipped = xyes; then
+                { $as_echo "$as_me:$LINENO: result: Component gnuradio-core requires omnithread, which is not being built or specified via pre-installed files." >&5
+$as_echo "Component gnuradio-core requires omnithread, which is not being built or specified via pre-installed files." >&6; }
+                passed=no
+            fi
+        else
+                        if test x$omnithread_with = xno; then
+                { { $as_echo "$as_me:$LINENO: error: Component gnuradio-core requires omnithread to be included as --with-omnithread[=arg]" >&5
+$as_echo "$as_me: error: Component gnuradio-core requires omnithread to be included as --with-omnithread[=arg]" >&2;}
+   { (exit 1); exit 1; }; }
+            fi
+        fi
+    fi
+
+
+                    if test $passed = yes; then
+
+
+
+acx_cblas_ok=no
+
+
+# Check whether --with-cblas was given.
+if test "${with_cblas+set}" = set; then
+  withval=$with_cblas;
+fi
+
+case $with_cblas in
+       yes | "") ;;
+       no) acx_cblas_ok=disable ;;
+       -* | */* | *.a | *.so | *.so.* | *.o) CBLAS_LIBS="$with_cblas" ;;
+       *) CBLAS_LIBS="-l$with_cblas" ;;
+esac
+
+
+acx_cblas_save_LIBS="$LIBS"
+LIBS="$LIBS $FLIBS"
+
+# First, check CBLAS_LIBS environment variable
+if test $acx_cblas_ok = no; then
+if test "x$CBLAS_LIBS" != x; then
+       save_LIBS="$LIBS"; LIBS="$CBLAS_LIBS $LIBS"
+       { $as_echo "$as_me:$LINENO: checking for cblas_sgemm in $CBLAS_LIBS" >&5
+$as_echo_n "checking for cblas_sgemm in $CBLAS_LIBS... " >&6; }
+       cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char cblas_sgemm ();
+int
+main ()
+{
+return cblas_sgemm ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  acx_cblas_ok=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       CBLAS_LIBS=""
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+       { $as_echo "$as_me:$LINENO: result: $acx_cblas_ok" >&5
+$as_echo "$acx_cblas_ok" >&6; }
+       LIBS="$save_LIBS"
+fi
+fi
+
+# CBLAS linked to by default?  (happens on some supercomputers)
+if test $acx_cblas_ok = no; then
+       save_LIBS="$LIBS"; LIBS="$LIBS"
+       { $as_echo "$as_me:$LINENO: checking for cblas_sgemm" >&5
+$as_echo_n "checking for cblas_sgemm... " >&6; }
+if test "${ac_cv_func_cblas_sgemm+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+/* Define cblas_sgemm to an innocuous variant, in case <limits.h> declares cblas_sgemm.
+   For example, HP-UX 11i <limits.h> declares gettimeofday.  */
+#define cblas_sgemm innocuous_cblas_sgemm
+
+/* System header to define __stub macros and hopefully few prototypes,
+    which can conflict with char cblas_sgemm (); below.
+    Prefer <limits.h> to <assert.h> if __STDC__ is defined, since
+    <limits.h> exists even on freestanding compilers.  */
+
+#ifdef __STDC__
+# include <limits.h>
+#else
+# include <assert.h>
+#endif
+
+#undef cblas_sgemm
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char cblas_sgemm ();
+/* The GNU C library defines this for functions which it implements
+    to always fail with ENOSYS.  Some functions are actually named
+    something starting with __ and the normal name is an alias.  */
+#if defined __stub_cblas_sgemm || defined __stub___cblas_sgemm
+choke me
+#endif
+
+int
+main ()
+{
+return cblas_sgemm ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  ac_cv_func_cblas_sgemm=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_func_cblas_sgemm=no
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_func_cblas_sgemm" >&5
+$as_echo "$ac_cv_func_cblas_sgemm" >&6; }
+if test "x$ac_cv_func_cblas_sgemm" = x""yes; then
+  acx_cblas_ok=yes
+fi
+
+       LIBS="$save_LIBS"
+fi
+
+# CBLAS in ATLAS library? (http://math-atlas.sourceforge.net/)
+if test $acx_cblas_ok = no; then
+       { $as_echo "$as_me:$LINENO: checking for ATL_xerbla in -latlas" >&5
+$as_echo_n "checking for ATL_xerbla in -latlas... " >&6; }
+if test "${ac_cv_lib_atlas_ATL_xerbla+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-latlas -latlas $LIBS"
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char ATL_xerbla ();
+int
+main ()
+{
+return ATL_xerbla ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  ac_cv_lib_atlas_ATL_xerbla=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_lib_atlas_ATL_xerbla=no
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_lib_atlas_ATL_xerbla" >&5
+$as_echo "$ac_cv_lib_atlas_ATL_xerbla" >&6; }
+if test "x$ac_cv_lib_atlas_ATL_xerbla" = x""yes; then
+  { $as_echo "$as_me:$LINENO: checking for cblas_dgemm in -lcblas" >&5
+$as_echo_n "checking for cblas_dgemm in -lcblas... " >&6; }
+if test "${ac_cv_lib_cblas_cblas_dgemm+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-lcblas -latlas $LIBS"
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char cblas_dgemm ();
+int
+main ()
+{
+return cblas_dgemm ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  ac_cv_lib_cblas_cblas_dgemm=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_lib_cblas_cblas_dgemm=no
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_lib_cblas_cblas_dgemm" >&5
+$as_echo "$ac_cv_lib_cblas_cblas_dgemm" >&6; }
+if test "x$ac_cv_lib_cblas_cblas_dgemm" = x""yes; then
+  acx_cblas_ok=yes
+                CBLAS_LIBS="-lcblas -latlas"
+fi
+
+fi
+
+fi
+
+# CBLAS in ATLAS library in /usr/lib64/atlas (if not cross-compiling)
+if test $acx_cblas_ok = no && test "$cross_compiling" = no; then
+       unset ac_cv_lib_atlas_ATL_xerbla
+       unset ac_cv_lib_cblas_cblas_dgemm
+       { $as_echo "$as_me:$LINENO: checking for ATL_xerbla in -latlas" >&5
+$as_echo_n "checking for ATL_xerbla in -latlas... " >&6; }
+if test "${ac_cv_lib_atlas_ATL_xerbla+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-latlas -L/usr/lib64/atlas -latlas $LIBS"
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char ATL_xerbla ();
+int
+main ()
+{
+return ATL_xerbla ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  ac_cv_lib_atlas_ATL_xerbla=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_lib_atlas_ATL_xerbla=no
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_lib_atlas_ATL_xerbla" >&5
+$as_echo "$ac_cv_lib_atlas_ATL_xerbla" >&6; }
+if test "x$ac_cv_lib_atlas_ATL_xerbla" = x""yes; then
+  { $as_echo "$as_me:$LINENO: checking for cblas_dgemm in -lcblas" >&5
+$as_echo_n "checking for cblas_dgemm in -lcblas... " >&6; }
+if test "${ac_cv_lib_cblas_cblas_dgemm+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-lcblas -L/usr/lib64/atlas -latlas $LIBS"
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char cblas_dgemm ();
+int
+main ()
+{
+return cblas_dgemm ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  ac_cv_lib_cblas_cblas_dgemm=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_lib_cblas_cblas_dgemm=no
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_lib_cblas_cblas_dgemm" >&5
+$as_echo "$ac_cv_lib_cblas_cblas_dgemm" >&6; }
+if test "x$ac_cv_lib_cblas_cblas_dgemm" = x""yes; then
+  acx_cblas_ok=yes
+                CBLAS_LIBS="-L/usr/lib64/atlas -lcblas -latlas"
+fi
+
+fi
+
+fi
+
+# CBLAS in ATLAS library in /usr/lib/atlas (if not cross-compiling)
+if test $acx_cblas_ok = no && test "$cross_compiling" = no; then
+       unset ac_cv_lib_atlas_ATL_xerbla
+       unset ac_cv_lib_cblas_cblas_dgemm
+       { $as_echo "$as_me:$LINENO: checking for ATL_xerbla in -latlas" >&5
+$as_echo_n "checking for ATL_xerbla in -latlas... " >&6; }
+if test "${ac_cv_lib_atlas_ATL_xerbla+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-latlas -L/usr/lib/atlas -latlas $LIBS"
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char ATL_xerbla ();
+int
+main ()
+{
+return ATL_xerbla ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  ac_cv_lib_atlas_ATL_xerbla=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_lib_atlas_ATL_xerbla=no
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_lib_atlas_ATL_xerbla" >&5
+$as_echo "$ac_cv_lib_atlas_ATL_xerbla" >&6; }
+if test "x$ac_cv_lib_atlas_ATL_xerbla" = x""yes; then
+  { $as_echo "$as_me:$LINENO: checking for cblas_dgemm in -lcblas" >&5
+$as_echo_n "checking for cblas_dgemm in -lcblas... " >&6; }
+if test "${ac_cv_lib_cblas_cblas_dgemm+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-lcblas -L/usr/lib/atlas -latlas $LIBS"
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char cblas_dgemm ();
+int
+main ()
+{
+return cblas_dgemm ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  ac_cv_lib_cblas_cblas_dgemm=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_lib_cblas_cblas_dgemm=no
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_lib_cblas_cblas_dgemm" >&5
+$as_echo "$ac_cv_lib_cblas_cblas_dgemm" >&6; }
+if test "x$ac_cv_lib_cblas_cblas_dgemm" = x""yes; then
+  acx_cblas_ok=yes
+                CBLAS_LIBS="-L/usr/lib/atlas -lcblas -latlas"
+fi
+
+fi
+
+fi
+
+
+# CBLAS in PhiPACK libraries? (requires generic BLAS lib, too)
+if test $acx_cblas_ok = no; then
+       { $as_echo "$as_me:$LINENO: checking for cblas_sgemm in -lcblas" >&5
+$as_echo_n "checking for cblas_sgemm in -lcblas... " >&6; }
+if test "${ac_cv_lib_cblas_cblas_sgemm+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-lcblas  $LIBS"
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char cblas_sgemm ();
+int
+main ()
+{
+return cblas_sgemm ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  ac_cv_lib_cblas_cblas_sgemm=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_lib_cblas_cblas_sgemm=no
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_lib_cblas_cblas_sgemm" >&5
+$as_echo "$ac_cv_lib_cblas_cblas_sgemm" >&6; }
+if test "x$ac_cv_lib_cblas_cblas_sgemm" = x""yes; then
+  { $as_echo "$as_me:$LINENO: checking for cblas_dgemm in -ldgemm" >&5
+$as_echo_n "checking for cblas_dgemm in -ldgemm... " >&6; }
+if test "${ac_cv_lib_dgemm_cblas_dgemm+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-ldgemm -lblas $LIBS"
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char cblas_dgemm ();
+int
+main ()
+{
+return cblas_dgemm ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  ac_cv_lib_dgemm_cblas_dgemm=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_lib_dgemm_cblas_dgemm=no
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_lib_dgemm_cblas_dgemm" >&5
+$as_echo "$ac_cv_lib_dgemm_cblas_dgemm" >&6; }
+if test "x$ac_cv_lib_dgemm_cblas_dgemm" = x""yes; then
+  { $as_echo "$as_me:$LINENO: checking for cblas_sgemm in -lsgemm" >&5
+$as_echo_n "checking for cblas_sgemm in -lsgemm... " >&6; }
+if test "${ac_cv_lib_sgemm_cblas_sgemm+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-lsgemm -lblas $LIBS"
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char cblas_sgemm ();
+int
+main ()
+{
+return cblas_sgemm ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  ac_cv_lib_sgemm_cblas_sgemm=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_lib_sgemm_cblas_sgemm=no
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_lib_sgemm_cblas_sgemm" >&5
+$as_echo "$ac_cv_lib_sgemm_cblas_sgemm" >&6; }
+if test "x$ac_cv_lib_sgemm_cblas_sgemm" = x""yes; then
+  acx_cblas_ok=yes; CBLAS_LIBS="-lsgemm -ldgemm -lblas"
+fi
+
+fi
+
+fi
+
+fi
+
+# BLAS in Intel MKL library?
+if test $acx_cblas_ok = no; then
+       { $as_echo "$as_me:$LINENO: checking for cblas_sgemm in -lmkl" >&5
+$as_echo_n "checking for cblas_sgemm in -lmkl... " >&6; }
+if test "${ac_cv_lib_mkl_cblas_sgemm+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-lmkl  $LIBS"
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char cblas_sgemm ();
+int
+main ()
+{
+return cblas_sgemm ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  ac_cv_lib_mkl_cblas_sgemm=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_lib_mkl_cblas_sgemm=no
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_lib_mkl_cblas_sgemm" >&5
+$as_echo "$ac_cv_lib_mkl_cblas_sgemm" >&6; }
+if test "x$ac_cv_lib_mkl_cblas_sgemm" = x""yes; then
+  acx_cblas_ok=yes;CBLAS_LIBS="-lmkl"
+fi
+
+fi
+
+# CBLAS in Apple vecLib library?
+if test $acx_cblas_ok = no; then
+       save_LIBS="$LIBS"; LIBS="-framework vecLib $LIBS"
+       { $as_echo "$as_me:$LINENO: checking for cblas_sgemm" >&5
+$as_echo_n "checking for cblas_sgemm... " >&6; }
+if test "${ac_cv_func_cblas_sgemm+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+/* Define cblas_sgemm to an innocuous variant, in case <limits.h> declares cblas_sgemm.
+   For example, HP-UX 11i <limits.h> declares gettimeofday.  */
+#define cblas_sgemm innocuous_cblas_sgemm
+
+/* System header to define __stub macros and hopefully few prototypes,
+    which can conflict with char cblas_sgemm (); below.
+    Prefer <limits.h> to <assert.h> if __STDC__ is defined, since
+    <limits.h> exists even on freestanding compilers.  */
+
+#ifdef __STDC__
+# include <limits.h>
+#else
+# include <assert.h>
+#endif
+
+#undef cblas_sgemm
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char cblas_sgemm ();
+/* The GNU C library defines this for functions which it implements
+    to always fail with ENOSYS.  Some functions are actually named
+    something starting with __ and the normal name is an alias.  */
+#if defined __stub_cblas_sgemm || defined __stub___cblas_sgemm
+choke me
+#endif
+
+int
+main ()
+{
+return cblas_sgemm ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  ac_cv_func_cblas_sgemm=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_func_cblas_sgemm=no
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_func_cblas_sgemm" >&5
+$as_echo "$ac_cv_func_cblas_sgemm" >&6; }
+if test "x$ac_cv_func_cblas_sgemm" = x""yes; then
+  acx_cblas_ok=yes;CBLAS_LIBS="-framework vecLib"
+fi
+
+       LIBS="$save_LIBS"
+fi
+
+# CBLAS in Alpha CXML library?
+if test $acx_cblas_ok = no; then
+       { $as_echo "$as_me:$LINENO: checking for cblas_sgemm in -lcxml" >&5
+$as_echo_n "checking for cblas_sgemm in -lcxml... " >&6; }
+if test "${ac_cv_lib_cxml_cblas_sgemm+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-lcxml  $LIBS"
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char cblas_sgemm ();
+int
+main ()
+{
+return cblas_sgemm ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  ac_cv_lib_cxml_cblas_sgemm=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_lib_cxml_cblas_sgemm=no
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_lib_cxml_cblas_sgemm" >&5
+$as_echo "$ac_cv_lib_cxml_cblas_sgemm" >&6; }
+if test "x$ac_cv_lib_cxml_cblas_sgemm" = x""yes; then
+  acx_cblas_ok=yes;CBLAS_LIBS="-lcxml"
+fi
+
+fi
+
+# CBLAS in Alpha DXML library? (now called CXML, see above)
+if test $acx_cblas_ok = no; then
+       { $as_echo "$as_me:$LINENO: checking for cblas_sgemm in -ldxml" >&5
+$as_echo_n "checking for cblas_sgemm in -ldxml... " >&6; }
+if test "${ac_cv_lib_dxml_cblas_sgemm+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-ldxml  $LIBS"
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char cblas_sgemm ();
+int
+main ()
+{
+return cblas_sgemm ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  ac_cv_lib_dxml_cblas_sgemm=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_lib_dxml_cblas_sgemm=no
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_lib_dxml_cblas_sgemm" >&5
+$as_echo "$ac_cv_lib_dxml_cblas_sgemm" >&6; }
+if test "x$ac_cv_lib_dxml_cblas_sgemm" = x""yes; then
+  acx_cblas_ok=yes;CBLAS_LIBS="-ldxml"
+fi
+
+fi
+
+# CBLAS in Sun Performance library?
+if test $acx_cblas_ok = no; then
+       if test "x$GCC" != xyes; then # only works with Sun CC
+               { $as_echo "$as_me:$LINENO: checking for acosp in -lsunmath" >&5
+$as_echo_n "checking for acosp in -lsunmath... " >&6; }
+if test "${ac_cv_lib_sunmath_acosp+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-lsunmath  $LIBS"
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char acosp ();
+int
+main ()
+{
+return acosp ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  ac_cv_lib_sunmath_acosp=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_lib_sunmath_acosp=no
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_lib_sunmath_acosp" >&5
+$as_echo "$ac_cv_lib_sunmath_acosp" >&6; }
+if test "x$ac_cv_lib_sunmath_acosp" = x""yes; then
+  { $as_echo "$as_me:$LINENO: checking for cblas_sgemm in -lsunperf" >&5
+$as_echo_n "checking for cblas_sgemm in -lsunperf... " >&6; }
+if test "${ac_cv_lib_sunperf_cblas_sgemm+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-lsunperf -lsunmath $LIBS"
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char cblas_sgemm ();
+int
+main ()
+{
+return cblas_sgemm ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  ac_cv_lib_sunperf_cblas_sgemm=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_lib_sunperf_cblas_sgemm=no
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_lib_sunperf_cblas_sgemm" >&5
+$as_echo "$ac_cv_lib_sunperf_cblas_sgemm" >&6; }
+if test "x$ac_cv_lib_sunperf_cblas_sgemm" = x""yes; then
+  CBLAS_LIBS="-xlic_lib=sunperf -lsunmath"
+                                 acx_cblas_ok=yes
+fi
+
+fi
+
+       fi
+fi
+
+# CBLAS in SCSL library?  (SGI/Cray Scientific Library)
+if test $acx_cblas_ok = no; then
+       { $as_echo "$as_me:$LINENO: checking for cblas_sgemm in -lscs" >&5
+$as_echo_n "checking for cblas_sgemm in -lscs... " >&6; }
+if test "${ac_cv_lib_scs_cblas_sgemm+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-lscs  $LIBS"
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char cblas_sgemm ();
+int
+main ()
+{
+return cblas_sgemm ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  ac_cv_lib_scs_cblas_sgemm=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_lib_scs_cblas_sgemm=no
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_lib_scs_cblas_sgemm" >&5
+$as_echo "$ac_cv_lib_scs_cblas_sgemm" >&6; }
+if test "x$ac_cv_lib_scs_cblas_sgemm" = x""yes; then
+  acx_cblas_ok=yes; CBLAS_LIBS="-lscs"
+fi
+
+fi
+
+# CBLAS in SGIMATH library?
+if test $acx_cblas_ok = no; then
+       { $as_echo "$as_me:$LINENO: checking for cblas_sgemm in -lcomplib.sgimath" >&5
+$as_echo_n "checking for cblas_sgemm in -lcomplib.sgimath... " >&6; }
+if test "${ac_cv_lib_complib_sgimath_cblas_sgemm+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-lcomplib.sgimath  $LIBS"
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char cblas_sgemm ();
+int
+main ()
+{
+return cblas_sgemm ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  ac_cv_lib_complib_sgimath_cblas_sgemm=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_lib_complib_sgimath_cblas_sgemm=no
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_lib_complib_sgimath_cblas_sgemm" >&5
+$as_echo "$ac_cv_lib_complib_sgimath_cblas_sgemm" >&6; }
+if test "x$ac_cv_lib_complib_sgimath_cblas_sgemm" = x""yes; then
+  acx_cblas_ok=yes; CBLAS_LIBS="-lcomplib.sgimath"
+fi
+
+fi
+
+# CBLAS in IBM ESSL library? (requires generic CBLAS lib, too)
+if test $acx_cblas_ok = no; then
+       { $as_echo "$as_me:$LINENO: checking for cblas_sgemm in -lcblas" >&5
+$as_echo_n "checking for cblas_sgemm in -lcblas... " >&6; }
+if test "${ac_cv_lib_cblas_cblas_sgemm+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-lcblas  $LIBS"
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char cblas_sgemm ();
+int
+main ()
+{
+return cblas_sgemm ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  ac_cv_lib_cblas_cblas_sgemm=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_lib_cblas_cblas_sgemm=no
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_lib_cblas_cblas_sgemm" >&5
+$as_echo "$ac_cv_lib_cblas_cblas_sgemm" >&6; }
+if test "x$ac_cv_lib_cblas_cblas_sgemm" = x""yes; then
+  { $as_echo "$as_me:$LINENO: checking for cblas_sgemm in -lessl" >&5
+$as_echo_n "checking for cblas_sgemm in -lessl... " >&6; }
+if test "${ac_cv_lib_essl_cblas_sgemm+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-lessl -lblas $FLIBS $LIBS"
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char cblas_sgemm ();
+int
+main ()
+{
+return cblas_sgemm ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  ac_cv_lib_essl_cblas_sgemm=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_lib_essl_cblas_sgemm=no
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_lib_essl_cblas_sgemm" >&5
+$as_echo "$ac_cv_lib_essl_cblas_sgemm" >&6; }
+if test "x$ac_cv_lib_essl_cblas_sgemm" = x""yes; then
+  acx_cblas_ok=yes; CBLAS_LIBS="-lessl -lblas"
+fi
+
+fi
+
+fi
+
+# Generic CBLAS library?
+if test $acx_cblas_ok = no; then
+       { $as_echo "$as_me:$LINENO: checking for cblas_sgemm in -lcblas" >&5
+$as_echo_n "checking for cblas_sgemm in -lcblas... " >&6; }
+if test "${ac_cv_lib_cblas_cblas_sgemm+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-lcblas  $LIBS"
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char cblas_sgemm ();
+int
+main ()
+{
+return cblas_sgemm ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  ac_cv_lib_cblas_cblas_sgemm=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_lib_cblas_cblas_sgemm=no
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_lib_cblas_cblas_sgemm" >&5
+$as_echo "$ac_cv_lib_cblas_cblas_sgemm" >&6; }
+if test "x$ac_cv_lib_cblas_cblas_sgemm" = x""yes; then
+  acx_cblas_ok=yes; CBLAS_LIBS="-lcblas"
+fi
+
+fi
+
+# Generic BLAS library?
+if test $acx_cblas_ok = no; then
+       { $as_echo "$as_me:$LINENO: checking for cblas_sgemm in -lblas" >&5
+$as_echo_n "checking for cblas_sgemm in -lblas... " >&6; }
+if test "${ac_cv_lib_blas_cblas_sgemm+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-lblas  $LIBS"
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char cblas_sgemm ();
+int
+main ()
+{
+return cblas_sgemm ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  ac_cv_lib_blas_cblas_sgemm=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_lib_blas_cblas_sgemm=no
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_lib_blas_cblas_sgemm" >&5
+$as_echo "$ac_cv_lib_blas_cblas_sgemm" >&6; }
+if test "x$ac_cv_lib_blas_cblas_sgemm" = x""yes; then
+  acx_cblas_ok=yes; CBLAS_LIBS="-lblas"
+fi
+
+fi
+
+# GSL generic CBLAS library?
+if test $acx_cblas_ok = no; then
+       { $as_echo "$as_me:$LINENO: checking for cblas_sgemm in -lgslcblas" >&5
+$as_echo_n "checking for cblas_sgemm in -lgslcblas... " >&6; }
+if test "${ac_cv_lib_gslcblas_cblas_sgemm+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-lgslcblas  $LIBS"
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char cblas_sgemm ();
+int
+main ()
+{
+return cblas_sgemm ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  ac_cv_lib_gslcblas_cblas_sgemm=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_lib_gslcblas_cblas_sgemm=no
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_lib_gslcblas_cblas_sgemm" >&5
+$as_echo "$ac_cv_lib_gslcblas_cblas_sgemm" >&6; }
+if test "x$ac_cv_lib_gslcblas_cblas_sgemm" = x""yes; then
+  acx_cblas_ok=yes; CBLAS_LIBS="-lgslcblas"
+fi
+
+fi
+
+
+
+LIBS="$acx_cblas_save_LIBS"
+
+# Finally, execute ACTION-IF-FOUND/ACTION-IF-NOT-FOUND:
+if test x"$acx_cblas_ok" = xyes; then
+
+cat >>confdefs.h <<\_ACEOF
+#define HAVE_CBLAS 1
+_ACEOF
+
+        :
+else
+        acx_cblas_ok=no
+
+fi
+
+
+
+
+pkg_failed=no
+{ $as_echo "$as_me:$LINENO: checking for GSL" >&5
+$as_echo_n "checking for GSL... " >&6; }
+
+if test -n "$PKG_CONFIG"; then
+    if test -n "$GSL_CFLAGS"; then
+        pkg_cv_GSL_CFLAGS="$GSL_CFLAGS"
+    else
+        if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"gsl >= 1.10\"") >&5
+  ($PKG_CONFIG --exists --print-errors "gsl >= 1.10") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  pkg_cv_GSL_CFLAGS=`$PKG_CONFIG --cflags "gsl >= 1.10" 2>/dev/null`
+else
+  pkg_failed=yes
+fi
+    fi
+else
+       pkg_failed=untried
+fi
+
+if test x$cross_compiling = xyes
+then
+    if test -n "$PKG_CONFIG"; then
+    if test -n "$GSL_LIBS"; then
+        pkg_cv_GSL_LIBS="$GSL_LIBS"
+    else
+        if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"gsl >= 1.10\"") >&5
+  ($PKG_CONFIG --exists --print-errors "gsl >= 1.10") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  pkg_cv_GSL_LIBS=`$PKG_CONFIG --libs --static "gsl >= 1.10" 2>/dev/null`
+else
+  pkg_failed=yes
+fi
+    fi
+else
+       pkg_failed=untried
+fi
+    _pkg_tmp=
+  for flag in $pkg_cv_GSL_LIBS; do
+    case $flag in
+    (-L/lib* | -L/usr/lib* )      ;; # ignore
+    (*) _pkg_tmp="$_pkg_tmp $flag" ;;
+    esac
+  done
+  pkg_cv_GSL_LIBS="$_pkg_tmp"
+else
+  if test -n "$PKG_CONFIG"; then
+    if test -n "$GSL_LIBS"; then
+        pkg_cv_GSL_LIBS="$GSL_LIBS"
+    else
+        if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"gsl >= 1.10\"") >&5
+  ($PKG_CONFIG --exists --print-errors "gsl >= 1.10") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  pkg_cv_GSL_LIBS=`$PKG_CONFIG --libs --static "gsl >= 1.10" 2>/dev/null`
+else
+  pkg_failed=yes
+fi
+    fi
+else
+       pkg_failed=untried
+fi
+fi
+
+if test -n "$PKG_CONFIG"; then
+    if test -n "$GSL_INCLUDEDIR"; then
+        pkg_cv_GSL_INCLUDEDIR="$GSL_INCLUDEDIR"
+    else
+        if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"gsl >= 1.10\"") >&5
+  ($PKG_CONFIG --exists --print-errors "gsl >= 1.10") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  pkg_cv_GSL_INCLUDEDIR=`$PKG_CONFIG --variable=includedir "gsl >= 1.10" 2>/dev/null`
+else
+  pkg_failed=yes
+fi
+    fi
+else
+       pkg_failed=untried
+fi
+
+
+
+
+if test $pkg_failed = yes; then
+
+if $PKG_CONFIG --atleast-pkgconfig-version 0.20; then
+        _pkg_short_errors_supported=yes
+else
+        _pkg_short_errors_supported=no
+fi
+        if test $_pkg_short_errors_supported = yes; then
+               GSL_PKG_ERRORS=`$PKG_CONFIG --short-errors --errors-to-stdout --print-errors "gsl >= 1.10"`
+        else
+               GSL_PKG_ERRORS=`$PKG_CONFIG --errors-to-stdout --print-errors "gsl >= 1.10"`
+        fi
+       # Put the nasty error message in config.log where it belongs
+       echo "$GSL_PKG_ERRORS" >&5
+
+       { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+                           passed=no;{ $as_echo "$as_me:$LINENO: result: gnuradio-core requires package gsl >= 1.10, not found." >&5
+$as_echo "gnuradio-core requires package gsl >= 1.10, not found." >&6; }
+elif test $pkg_failed = untried; then
+                   passed=no;{ $as_echo "$as_me:$LINENO: result: gnuradio-core requires package gsl >= 1.10, not found." >&5
+$as_echo "gnuradio-core requires package gsl >= 1.10, not found." >&6; }
+else
+       GSL_CFLAGS=$pkg_cv_GSL_CFLAGS
+       GSL_LIBS=$pkg_cv_GSL_LIBS
+       GSL_INCLUDEDIR=$pkg_cv_GSL_INCLUDEDIR
+
+       GSL_CPPFLAGS=""
+       for flag in $GSL_CFLAGS; do
+         case $flag in
+          -I* | -D* | -U*) GSL_CPPFLAGS="$GSL_CPPFLAGS $flag" ;;
+          esac
+        done
+       pkg_cv_GSL_CPPFLAGS=$GSL_CPPFLAGS
+
+
+        { $as_echo "$as_me:$LINENO: result: yes" >&5
+$as_echo "yes" >&6; }
+       :
+fi
+    fi
+
+    if test $passed != with; then
+               gnuradio_core_INCLUDES="\
+-I\${abs_top_srcdir}/gnuradio-core/src/lib/runtime \
+-I\${abs_top_srcdir}/gnuradio-core/src/lib/general \
+-I\${abs_top_builddir}/gnuradio-core/src/lib/general \
+-I\${abs_top_srcdir}/gnuradio-core/src/lib/gengen \
+-I\${abs_top_builddir}/gnuradio-core/src/lib/gengen \
+-I\${abs_top_srcdir}/gnuradio-core/src/lib/filter \
+-I\${abs_top_builddir}/gnuradio-core/src/lib/filter \
+-I\${abs_top_srcdir}/gnuradio-core/src/lib/missing \
+-I\${abs_top_srcdir}/gnuradio-core/src/lib/reed-solomon \
+-I\${abs_top_srcdir}/gnuradio-core/src/lib/viterbi \
+-I\${abs_top_srcdir}/gnuradio-core/src/lib/io \
+-I\${abs_top_srcdir}/gnuradio-core/src/lib/g72x \
+-I\${abs_top_srcdir}/gnuradio-core/src/lib/swig \
+-I\${abs_top_srcdir}/gnuradio-core/src/lib/hier \
+-I\${abs_top_builddir}/gnuradio-core/src/lib/swig \
+\$(FFTW3F_CFLAGS) \
+\$(GSL_CFLAGS)"
+        gnuradio_core_LA="\${abs_top_builddir}/gnuradio-core/src/lib/libgnuradio-core.la"
+               gnuradio_core_LIBDIRPATH="\${abs_top_builddir}/gnuradio-core/src/lib:\${abs_top_builddir}/gnuradio-core/src/lib/.libs"
+       gnuradio_core_SWIGDIRPATH="\${abs_top_builddir}/gnuradio-core/src/lib/swig:\${abs_top_builddir}/gnuradio-core/src/lib/swig/.libs:\${abs_top_srcdir}/gnuradio-core/src/lib/swig"
+       gnuradio_core_PYDIRPATH="\${abs_top_srcdir}/gnuradio-core/src/python"
+    fi
+
+
+
+
+
+    ac_config_files="$ac_config_files gnuradio-core/Makefile gnuradio-core/gnuradio-core.pc gnuradio-core/src/Makefile gnuradio-core/src/gen_interpolator_taps/Makefile gnuradio-core/src/lib/Makefile gnuradio-core/src/lib/filter/Makefile gnuradio-core/src/lib/g72x/Makefile gnuradio-core/src/lib/general/Makefile gnuradio-core/src/lib/general/gr_prefix.cc gnuradio-core/src/lib/gengen/Makefile gnuradio-core/src/lib/io/Makefile gnuradio-core/src/lib/missing/Makefile gnuradio-core/src/lib/reed-solomon/Makefile gnuradio-core/src/lib/viterbi/Makefile gnuradio-core/src/lib/runtime/Makefile gnuradio-core/src/lib/hier/Makefile gnuradio-core/src/lib/swig/Makefile gnuradio-core/src/python/Makefile gnuradio-core/src/python/bin/Makefile gnuradio-core/src/python/gnuradio/Makefile gnuradio-core/src/python/gnuradio/blks2/Makefile gnuradio-core/src/python/gnuradio/blks2impl/Makefile gnuradio-core/src/python/gnuradio/gr/Makefile gnuradio-core/src/python/gnuradio/gr/run_tests gnuradio-core/src/python/gnuradio/gru/Makefile gnuradio-core/src/python/gnuradio/gruimpl/Makefile gnuradio-core/src/python/gnuradio/vocoder/Makefile gnuradio-core/src/tests/Makefile gnuradio-core/src/utils/Makefile"
+
+
+
+
+    gnuradio_core_with=no
+    if test $passed = no; then
+       if test x$enable_gnuradio_core = xyes; then
+           { { $as_echo "$as_me:$LINENO: error: Component gnuradio-core has errors; stopping." >&5
+$as_echo "$as_me: error: Component gnuradio-core has errors; stopping." >&2;}
+   { (exit 1); exit 1; }; }
+       else
+           { $as_echo "$as_me:$LINENO: result: Not building component gnuradio-core." >&5
+$as_echo "Not building component gnuradio-core." >&6; }
+       fi
+    else
+        if test $passed = with; then
+           with_dirs="$with_dirs gnuradio-core"
+
+    if test "x${gnuradio_core_INCLUDES}" != "x"; then
+        if test "x$with_INCLUDES" = "x"; then
+            with_INCLUDES="${gnuradio_core_INCLUDES}"
+       else
+           with_INCLUDES="${gnuradio_core_INCLUDES}"" ""$with_INCLUDES"
+       fi
+       gnuradio_core_INCLUDES=
+    fi
+
+
+    if test "x${gnuradio_core_SWIG_INCLUDES}" != "x"; then
+        if test "x$with_SWIG_INCLUDES" = "x"; then
+            with_SWIG_INCLUDES="${gnuradio_core_SWIG_INCLUDES}"
+       else
+           with_SWIG_INCLUDES="${gnuradio_core_SWIG_INCLUDES}"" ""$with_SWIG_INCLUDES"
+       fi
+       gnuradio_core_SWIG_INCLUDES=
+    fi
+
+
+    if test "x${gnuradio_core_PYDIRPATH}" != "x"; then
+        if test "x$with_PYDIRPATH" = "x"; then
+            with_PYDIRPATH="${gnuradio_core_PYDIRPATH}"
+       else
+           with_PYDIRPATH="${gnuradio_core_PYDIRPATH}"":""$with_PYDIRPATH"
+       fi
+       gnuradio_core_PYDIRPATH=
+    fi
+
+
+    if test "x${gnuradio_core_SWIGDIRPATH}" != "x"; then
+        if test "x$with_SWIGDIRPATH" = "x"; then
+            with_SWIGDIRPATH="${gnuradio_core_SWIGDIRPATH}"
+       else
+           with_SWIGDIRPATH="${gnuradio_core_SWIGDIRPATH}"":""$with_SWIGDIRPATH"
+       fi
+       gnuradio_core_SWIGDIRPATH=
+    fi
+
+
+    if test "x${gnuradio_core_LIBDIRPATH}" != "x"; then
+        if test "x$with_LIBDIRPATH" = "x"; then
+            with_LIBDIRPATH="${gnuradio_core_LIBDIRPATH}"
+       else
+           with_LIBDIRPATH="${gnuradio_core_LIBDIRPATH}"":""$with_LIBDIRPATH"
+       fi
+       gnuradio_core_LIBDIRPATH=
+    fi
+
+           { $as_echo "$as_me:$LINENO: result: Component gnuradio-core will be included from a pre-installed library and includes." >&5
+$as_echo "Component gnuradio-core will be included from a pre-installed library and includes." >&6; }
+           gnuradio_core_with=yes
+       else
+           gnuradio_core_LDFLAG=
+            if test x$enable_gnuradio_core != xno; then
+                       ac_config_commands="$ac_config_commands run_tests_core"
+
+
+               build_dirs="$build_dirs gnuradio-core"
+               { $as_echo "$as_me:$LINENO: result: Component gnuradio-core passed configuration checks; building." >&5
+$as_echo "Component gnuradio-core passed configuration checks; building." >&6; }
+            else
+               passed=no
+               { $as_echo "$as_me:$LINENO: result: Component gnuradio-core passed configuration checks; but not building." >&5
+$as_echo "Component gnuradio-core passed configuration checks; but not building." >&6; }
+           fi
+       fi
+    fi
+    if test $passed = no; then
+        skipped_dirs="$skipped_dirs gnuradio-core"
+        gnuradio_core_skipped=yes
+    else
+        gnuradio_core_skipped=no
+    fi
+
+
+
+
+
+
+
+
+
+
+
+    passed=yes
+    # Check whether --enable-pmt was given.
+if test "${enable_pmt+set}" = set; then
+  enableval=$enable_pmt;
+else
+
+        enable_pmt=$enable_all_components
+        if test x$enable_all_components = xno; then
+            passed=no
+        fi
+
+fi
+
+
+
+
+    if test x = x; then
+        pc_comp_name="pmt"
+    else
+        pc_comp_name=""
+    fi
+
+
+# Check whether --with-pmt was given.
+if test "${with_pmt+set}" = set; then
+  withval=$with_pmt; if test "x$withval" != "xyes"; then
+                   with_pmt_val=$withval
+                   with_pmt=yes
+                fi
+fi
+
+    if test x$with_pmt = xyes; then
+        if test x$enable_pmt = xyes; then
+           { { $as_echo "$as_me:$LINENO: error: Component pmt: Cannot use both --enable and --with" >&5
+$as_echo "$as_me: error: Component pmt: Cannot use both --enable and --with" >&2;}
+   { (exit 1); exit 1; }; }
+        else
+
+        s_PKG_CONFIG_PATH=$PKG_CONFIG_PATH
+
+            l_PKG_CONFIG_PATH=$with_pmt_val
+    if test "x$l_PKG_CONFIG_PATH" != "x"; then
+        export PKG_CONFIG_PATH=$l_PKG_CONFIG_PATH
+
+                if ! test -r ${l_PKG_CONFIG_PATH}/${pc_comp_name}.pc; then
+           { { $as_echo "$as_me:$LINENO: error: Component pmt: PKGCONFIG cannot find info for ${pc_comp_name}, with provided PKG_CONFIG_PATH = [ $l_PKG_CONFIG_PATH ] ." >&5
+$as_echo "$as_me: error: Component pmt: PKGCONFIG cannot find info for ${pc_comp_name}, with provided PKG_CONFIG_PATH = [ $l_PKG_CONFIG_PATH ] ." >&2;}
+   { (exit 1); exit 1; }; }
+        fi
+    fi
+
+        if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"\${pc_comp_name}\"") >&5
+  ($PKG_CONFIG --exists --print-errors "${pc_comp_name}") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  passed=with; check1=yes
+else
+
+        check1=no
+                                       if test "x$l_PKG_CONFIG_PATH" != "x"; then
+            if test "x$s_PKG_CONFIG_PATH" != "x"; then
+                export PKG_CONFIG_PATH=${PKG_CONFIG_PATH}:${s_PKG_CONFIG_PATH}
+               if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"\${pc_comp_name}\"") >&5
+  ($PKG_CONFIG --exists --print-errors "${pc_comp_name}") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  passed=with
+else
+  passed=no
+fi
+            fi
+        fi
+        if test $passed != with; then
+            { { $as_echo "$as_me:$LINENO: error: Component pmt: PKGCONFIG cannot find info for ${pc_comp_name}, with PKG_CONFIG_PATH = [ $PKG_CONFIG_PATH ] ." >&5
+$as_echo "$as_me: error: Component pmt: PKGCONFIG cannot find info for ${pc_comp_name}, with PKG_CONFIG_PATH = [ $PKG_CONFIG_PATH ] ." >&2;}
+   { (exit 1); exit 1; }; }
+        fi
+                s_PKG_CONFIG_PATH=$PKG_CONFIG_PATH
+
+fi
+
+                pmt_INCLUDES=`$PKG_CONFIG --cflags-only-I ${pc_comp_name}`
+    pmt_LA=`$PKG_CONFIG --libs ${pc_comp_name}`
+    pmt_INCLUDEDIR=`$PKG_CONFIG --variable=includedir ${pc_comp_name}`
+
+    if test x$check1 = xyes; then
+                       if test "x$s_PKG_CONFIG_PATH" != "x"; then
+            export PKG_CONFIG_PATH=${PKG_CONFIG_PATH}:${s_PKG_CONFIG_PATH}
+        fi
+    fi
+
+           :
+        fi
+    fi
+
+
+
+
+    if test $passed != no; then
+        if test $passed = yes; then
+                        if test x$omnithread_skipped = xyes; then
+                { $as_echo "$as_me:$LINENO: result: Component pmt requires omnithread, which is not being built or specified via pre-installed files." >&5
+$as_echo "Component pmt requires omnithread, which is not being built or specified via pre-installed files." >&6; }
+                passed=no
+            fi
+        else
+                        if test x$omnithread_with = xno; then
+                { { $as_echo "$as_me:$LINENO: error: Component pmt requires omnithread to be included as --with-omnithread[=arg]" >&5
+$as_echo "$as_me: error: Component pmt requires omnithread to be included as --with-omnithread[=arg]" >&2;}
+   { (exit 1); exit 1; }; }
+            fi
+        fi
+    fi
+
+
+                    if test $passed = yes; then
+
+    if test x"$GUILE" = x; then
+        { $as_echo "$as_me:$LINENO: result: Component pmt requires guile, which was not found." >&5
+$as_echo "Component pmt requires guile, which was not found." >&6; }
+        passed=no
+    fi
+
+    fi
+    if test $passed != with; then
+               pmt_INCLUDES="-I\${abs_top_srcdir}/pmt/src/lib"
+        pmt_LA="\${abs_top_builddir}/pmt/src/lib/libpmt.la"
+    fi
+
+    ac_config_files="$ac_config_files pmt/Makefile pmt/pmt.pc pmt/doc/Makefile pmt/src/Makefile pmt/src/lib/Makefile pmt/src/scheme/Makefile pmt/src/scheme/gnuradio/Makefile"
+
+
+
+
+    pmt_with=no
+    if test $passed = no; then
+       if test x$enable_pmt = xyes; then
+           { { $as_echo "$as_me:$LINENO: error: Component pmt has errors; stopping." >&5
+$as_echo "$as_me: error: Component pmt has errors; stopping." >&2;}
+   { (exit 1); exit 1; }; }
+       else
+           { $as_echo "$as_me:$LINENO: result: Not building component pmt." >&5
+$as_echo "Not building component pmt." >&6; }
+       fi
+    else
+        if test $passed = with; then
+           with_dirs="$with_dirs pmt"
+
+    if test "x${pmt_INCLUDES}" != "x"; then
+        if test "x$with_INCLUDES" = "x"; then
+            with_INCLUDES="${pmt_INCLUDES}"
+       else
+           with_INCLUDES="${pmt_INCLUDES}"" ""$with_INCLUDES"
+       fi
+       pmt_INCLUDES=
+    fi
+
+
+    if test "x${pmt_SWIG_INCLUDES}" != "x"; then
+        if test "x$with_SWIG_INCLUDES" = "x"; then
+            with_SWIG_INCLUDES="${pmt_SWIG_INCLUDES}"
+       else
+           with_SWIG_INCLUDES="${pmt_SWIG_INCLUDES}"" ""$with_SWIG_INCLUDES"
+       fi
+       pmt_SWIG_INCLUDES=
+    fi
+
+
+    if test "x${pmt_PYDIRPATH}" != "x"; then
+        if test "x$with_PYDIRPATH" = "x"; then
+            with_PYDIRPATH="${pmt_PYDIRPATH}"
+       else
+           with_PYDIRPATH="${pmt_PYDIRPATH}"":""$with_PYDIRPATH"
+       fi
+       pmt_PYDIRPATH=
+    fi
+
+
+    if test "x${pmt_SWIGDIRPATH}" != "x"; then
+        if test "x$with_SWIGDIRPATH" = "x"; then
+            with_SWIGDIRPATH="${pmt_SWIGDIRPATH}"
+       else
+           with_SWIGDIRPATH="${pmt_SWIGDIRPATH}"":""$with_SWIGDIRPATH"
+       fi
+       pmt_SWIGDIRPATH=
+    fi
+
+
+    if test "x${pmt_LIBDIRPATH}" != "x"; then
+        if test "x$with_LIBDIRPATH" = "x"; then
+            with_LIBDIRPATH="${pmt_LIBDIRPATH}"
+       else
+           with_LIBDIRPATH="${pmt_LIBDIRPATH}"":""$with_LIBDIRPATH"
+       fi
+       pmt_LIBDIRPATH=
+    fi
+
+           { $as_echo "$as_me:$LINENO: result: Component pmt will be included from a pre-installed library and includes." >&5
+$as_echo "Component pmt will be included from a pre-installed library and includes." >&6; }
+           pmt_with=yes
+       else
+           pmt_LDFLAG=
+            if test x$enable_pmt != xno; then
+
+               build_dirs="$build_dirs pmt"
+               { $as_echo "$as_me:$LINENO: result: Component pmt passed configuration checks; building." >&5
+$as_echo "Component pmt passed configuration checks; building." >&6; }
+            else
+               passed=no
+               { $as_echo "$as_me:$LINENO: result: Component pmt passed configuration checks; but not building." >&5
+$as_echo "Component pmt passed configuration checks; but not building." >&6; }
+           fi
+       fi
+    fi
+    if test $passed = no; then
+        skipped_dirs="$skipped_dirs pmt"
+        pmt_skipped=yes
+    else
+        pmt_skipped=no
+    fi
+
+
+
+
+
+
+
+
+
+
+
+    passed=yes
+    # Check whether --enable-mblock was given.
+if test "${enable_mblock+set}" = set; then
+  enableval=$enable_mblock;
+else
+
+        enable_mblock=$enable_all_components
+        if test x$enable_all_components = xno; then
+            passed=no
+        fi
+
+fi
+
+
+
+
+
+    if test x = x; then
+        pc_comp_name="mblock"
+    else
+        pc_comp_name=""
+    fi
+
+
+# Check whether --with-mblock was given.
+if test "${with_mblock+set}" = set; then
+  withval=$with_mblock; if test "x$withval" != "xyes"; then
+                   with_mblock_val=$withval
+                   with_mblock=yes
+                fi
+fi
+
+    if test x$with_mblock = xyes; then
+        if test x$enable_mblock = xyes; then
+           { { $as_echo "$as_me:$LINENO: error: Component mblock: Cannot use both --enable and --with" >&5
+$as_echo "$as_me: error: Component mblock: Cannot use both --enable and --with" >&2;}
+   { (exit 1); exit 1; }; }
+        else
+
+        s_PKG_CONFIG_PATH=$PKG_CONFIG_PATH
+
+            l_PKG_CONFIG_PATH=$with_mblock_val
+    if test "x$l_PKG_CONFIG_PATH" != "x"; then
+        export PKG_CONFIG_PATH=$l_PKG_CONFIG_PATH
+
+                if ! test -r ${l_PKG_CONFIG_PATH}/${pc_comp_name}.pc; then
+           { { $as_echo "$as_me:$LINENO: error: Component mblock: PKGCONFIG cannot find info for ${pc_comp_name}, with provided PKG_CONFIG_PATH = [ $l_PKG_CONFIG_PATH ] ." >&5
+$as_echo "$as_me: error: Component mblock: PKGCONFIG cannot find info for ${pc_comp_name}, with provided PKG_CONFIG_PATH = [ $l_PKG_CONFIG_PATH ] ." >&2;}
+   { (exit 1); exit 1; }; }
+        fi
+    fi
+
+        if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"\${pc_comp_name}\"") >&5
+  ($PKG_CONFIG --exists --print-errors "${pc_comp_name}") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  passed=with; check1=yes
+else
+
+        check1=no
+                                       if test "x$l_PKG_CONFIG_PATH" != "x"; then
+            if test "x$s_PKG_CONFIG_PATH" != "x"; then
+                export PKG_CONFIG_PATH=${PKG_CONFIG_PATH}:${s_PKG_CONFIG_PATH}
+               if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"\${pc_comp_name}\"") >&5
+  ($PKG_CONFIG --exists --print-errors "${pc_comp_name}") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  passed=with
+else
+  passed=no
+fi
+            fi
+        fi
+        if test $passed != with; then
+            { { $as_echo "$as_me:$LINENO: error: Component mblock: PKGCONFIG cannot find info for ${pc_comp_name}, with PKG_CONFIG_PATH = [ $PKG_CONFIG_PATH ] ." >&5
+$as_echo "$as_me: error: Component mblock: PKGCONFIG cannot find info for ${pc_comp_name}, with PKG_CONFIG_PATH = [ $PKG_CONFIG_PATH ] ." >&2;}
+   { (exit 1); exit 1; }; }
+        fi
+                s_PKG_CONFIG_PATH=$PKG_CONFIG_PATH
+
+fi
+
+                mblock_INCLUDES=`$PKG_CONFIG --cflags-only-I ${pc_comp_name}`
+    mblock_LA=`$PKG_CONFIG --libs ${pc_comp_name}`
+    mblock_INCLUDEDIR=`$PKG_CONFIG --variable=includedir ${pc_comp_name}`
+
+    if test x$check1 = xyes; then
+                       if test "x$s_PKG_CONFIG_PATH" != "x"; then
+            export PKG_CONFIG_PATH=${PKG_CONFIG_PATH}:${s_PKG_CONFIG_PATH}
+        fi
+    fi
+
+           :
+        fi
+    fi
+
+
+
+
+    if test $passed != no; then
+        if test $passed = yes; then
+                        if test x$pmt_skipped = xyes; then
+                { $as_echo "$as_me:$LINENO: result: Component mblock requires pmt, which is not being built or specified via pre-installed files." >&5
+$as_echo "Component mblock requires pmt, which is not being built or specified via pre-installed files." >&6; }
+                passed=no
+            fi
+        else
+                        if test x$pmt_with = xno; then
+                { { $as_echo "$as_me:$LINENO: error: Component mblock requires pmt to be included as --with-pmt[=arg]" >&5
+$as_echo "$as_me: error: Component mblock requires pmt to be included as --with-pmt[=arg]" >&2;}
+   { (exit 1); exit 1; }; }
+            fi
+        fi
+    fi
+
+
+    if test $passed != no; then
+        if test $passed = yes; then
+                        if test x$omnithread_skipped = xyes; then
+                { $as_echo "$as_me:$LINENO: result: Component mblock requires omnithread, which is not being built or specified via pre-installed files." >&5
+$as_echo "Component mblock requires omnithread, which is not being built or specified via pre-installed files." >&6; }
+                passed=no
+            fi
+        else
+                        if test x$omnithread_with = xno; then
+                { { $as_echo "$as_me:$LINENO: error: Component mblock requires omnithread to be included as --with-omnithread[=arg]" >&5
+$as_echo "$as_me: error: Component mblock requires omnithread to be included as --with-omnithread[=arg]" >&2;}
+   { (exit 1); exit 1; }; }
+            fi
+        fi
+    fi
+
+
+                    if test $passed = yes; then
+
+    if test x"$GUILE" = x; then
+        { $as_echo "$as_me:$LINENO: result: Component mblock requires guile, which was not found." >&5
+$as_echo "Component mblock requires guile, which was not found." >&6; }
+        passed=no
+    fi
+
+    fi
+    if test $passed != with; then
+               mblock_INCLUDES="-I\${abs_top_srcdir}/mblock/src/include"
+        mblock_LA="\${abs_top_builddir}/mblock/src/lib/libmblock.la"
+    fi
+
+    ac_config_files="$ac_config_files mblock/Makefile mblock/mblock.pc mblock/doc/Makefile mblock/src/Makefile mblock/src/include/Makefile mblock/src/include/mblock/Makefile mblock/src/lib/Makefile mblock/src/scheme/Makefile mblock/src/scheme/gnuradio/Makefile"
+
+
+
+
+    mblock_with=no
+    if test $passed = no; then
+       if test x$enable_mblock = xyes; then
+           { { $as_echo "$as_me:$LINENO: error: Component mblock has errors; stopping." >&5
+$as_echo "$as_me: error: Component mblock has errors; stopping." >&2;}
+   { (exit 1); exit 1; }; }
+       else
+           { $as_echo "$as_me:$LINENO: result: Not building component mblock." >&5
+$as_echo "Not building component mblock." >&6; }
+       fi
+    else
+        if test $passed = with; then
+           with_dirs="$with_dirs mblock"
+
+    if test "x${mblock_INCLUDES}" != "x"; then
+        if test "x$with_INCLUDES" = "x"; then
+            with_INCLUDES="${mblock_INCLUDES}"
+       else
+           with_INCLUDES="${mblock_INCLUDES}"" ""$with_INCLUDES"
+       fi
+       mblock_INCLUDES=
+    fi
+
+
+    if test "x${mblock_SWIG_INCLUDES}" != "x"; then
+        if test "x$with_SWIG_INCLUDES" = "x"; then
+            with_SWIG_INCLUDES="${mblock_SWIG_INCLUDES}"
+       else
+           with_SWIG_INCLUDES="${mblock_SWIG_INCLUDES}"" ""$with_SWIG_INCLUDES"
+       fi
+       mblock_SWIG_INCLUDES=
+    fi
+
+
+    if test "x${mblock_PYDIRPATH}" != "x"; then
+        if test "x$with_PYDIRPATH" = "x"; then
+            with_PYDIRPATH="${mblock_PYDIRPATH}"
+       else
+           with_PYDIRPATH="${mblock_PYDIRPATH}"":""$with_PYDIRPATH"
+       fi
+       mblock_PYDIRPATH=
+    fi
+
+
+    if test "x${mblock_SWIGDIRPATH}" != "x"; then
+        if test "x$with_SWIGDIRPATH" = "x"; then
+            with_SWIGDIRPATH="${mblock_SWIGDIRPATH}"
+       else
+           with_SWIGDIRPATH="${mblock_SWIGDIRPATH}"":""$with_SWIGDIRPATH"
+       fi
+       mblock_SWIGDIRPATH=
+    fi
+
+
+    if test "x${mblock_LIBDIRPATH}" != "x"; then
+        if test "x$with_LIBDIRPATH" = "x"; then
+            with_LIBDIRPATH="${mblock_LIBDIRPATH}"
+       else
+           with_LIBDIRPATH="${mblock_LIBDIRPATH}"":""$with_LIBDIRPATH"
+       fi
+       mblock_LIBDIRPATH=
+    fi
+
+           { $as_echo "$as_me:$LINENO: result: Component mblock will be included from a pre-installed library and includes." >&5
+$as_echo "Component mblock will be included from a pre-installed library and includes." >&6; }
+           mblock_with=yes
+       else
+           mblock_LDFLAG=
+            if test x$enable_mblock != xno; then
+
+               build_dirs="$build_dirs mblock"
+               { $as_echo "$as_me:$LINENO: result: Component mblock passed configuration checks; building." >&5
+$as_echo "Component mblock passed configuration checks; building." >&6; }
+            else
+               passed=no
+               { $as_echo "$as_me:$LINENO: result: Component mblock passed configuration checks; but not building." >&5
+$as_echo "Component mblock passed configuration checks; but not building." >&6; }
+           fi
+       fi
+    fi
+    if test $passed = no; then
+        skipped_dirs="$skipped_dirs mblock"
+        mblock_skipped=yes
+    else
+        mblock_skipped=no
+    fi
+
+
+
+
+
+
+
+                       { $as_echo "$as_me:$LINENO: checking whether stat file-mode macros are broken" >&5
+$as_echo_n "checking whether stat file-mode macros are broken... " >&6; }
+if test "${ac_cv_header_stat_broken+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <sys/types.h>
+#include <sys/stat.h>
+
+#if defined S_ISBLK && defined S_IFDIR
+extern char c1[S_ISBLK (S_IFDIR) ? -1 : 1];
+#endif
+
+#if defined S_ISBLK && defined S_IFCHR
+extern char c2[S_ISBLK (S_IFCHR) ? -1 : 1];
+#endif
+
+#if defined S_ISLNK && defined S_IFREG
+extern char c3[S_ISLNK (S_IFREG) ? -1 : 1];
+#endif
+
+#if defined S_ISSOCK && defined S_IFREG
+extern char c4[S_ISSOCK (S_IFREG) ? -1 : 1];
+#endif
+
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_cv_header_stat_broken=no
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_header_stat_broken=yes
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_header_stat_broken" >&5
+$as_echo "$ac_cv_header_stat_broken" >&6; }
+if test $ac_cv_header_stat_broken = yes; then
+
+cat >>confdefs.h <<\_ACEOF
+#define STAT_MACROS_BROKEN 1
+_ACEOF
+
+fi
+
+
+
+for ac_header in fcntl.h
+do
+as_ac_Header=`$as_echo "ac_cv_header_$ac_header" | $as_tr_sh`
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  { $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
+$as_echo_n "checking for $ac_header... " >&6; }
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+fi
+ac_res=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+else
+  # Is the header compilable?
+{ $as_echo "$as_me:$LINENO: checking $ac_header usability" >&5
+$as_echo_n "checking $ac_header usability... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+$ac_includes_default
+#include <$ac_header>
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_header_compiler=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_header_compiler=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_compiler" >&5
+$as_echo "$ac_header_compiler" >&6; }
+
+# Is the header present?
+{ $as_echo "$as_me:$LINENO: checking $ac_header presence" >&5
+$as_echo_n "checking $ac_header presence... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <$ac_header>
+_ACEOF
+if { (ac_try="$ac_cpp conftest.$ac_ext"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } >/dev/null && {
+        test -z "$ac_c_preproc_warn_flag$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       }; then
+  ac_header_preproc=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+  ac_header_preproc=no
+fi
+
+rm -f conftest.err conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_preproc" >&5
+$as_echo "$ac_header_preproc" >&6; }
+
+# So?  What about this header?
+case $ac_header_compiler:$ac_header_preproc:$ac_c_preproc_warn_flag in
+  yes:no: )
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: accepted by the compiler, rejected by the preprocessor!" >&5
+$as_echo "$as_me: WARNING: $ac_header: accepted by the compiler, rejected by the preprocessor!" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: proceeding with the compiler's result" >&5
+$as_echo "$as_me: WARNING: $ac_header: proceeding with the compiler's result" >&2;}
+    ac_header_preproc=yes
+    ;;
+  no:yes:* )
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: present but cannot be compiled" >&5
+$as_echo "$as_me: WARNING: $ac_header: present but cannot be compiled" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header:     check for missing prerequisite headers?" >&5
+$as_echo "$as_me: WARNING: $ac_header:     check for missing prerequisite headers?" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: see the Autoconf documentation" >&5
+$as_echo "$as_me: WARNING: $ac_header: see the Autoconf documentation" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header:     section \"Present But Cannot Be Compiled\"" >&5
+$as_echo "$as_me: WARNING: $ac_header:     section \"Present But Cannot Be Compiled\"" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: proceeding with the preprocessor's result" >&5
+$as_echo "$as_me: WARNING: $ac_header: proceeding with the preprocessor's result" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: in the future, the compiler will take precedence" >&5
+$as_echo "$as_me: WARNING: $ac_header: in the future, the compiler will take precedence" >&2;}
+
+    ;;
+esac
+{ $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
+$as_echo_n "checking for $ac_header... " >&6; }
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  eval "$as_ac_Header=\$ac_header_preproc"
+fi
+ac_res=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+
+fi
+as_val=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+   if test "x$as_val" = x""yes; then
+  cat >>confdefs.h <<_ACEOF
+#define `$as_echo "HAVE_$ac_header" | $as_tr_cpp` 1
+_ACEOF
+
+fi
+
+done
+
+
+
+
+for ac_header in sys/time.h
+do
+as_ac_Header=`$as_echo "ac_cv_header_$ac_header" | $as_tr_sh`
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  { $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
+$as_echo_n "checking for $ac_header... " >&6; }
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+fi
+ac_res=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+else
+  # Is the header compilable?
+{ $as_echo "$as_me:$LINENO: checking $ac_header usability" >&5
+$as_echo_n "checking $ac_header usability... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+$ac_includes_default
+#include <$ac_header>
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_header_compiler=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_header_compiler=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_compiler" >&5
+$as_echo "$ac_header_compiler" >&6; }
+
+# Is the header present?
+{ $as_echo "$as_me:$LINENO: checking $ac_header presence" >&5
+$as_echo_n "checking $ac_header presence... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <$ac_header>
+_ACEOF
+if { (ac_try="$ac_cpp conftest.$ac_ext"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } >/dev/null && {
+        test -z "$ac_c_preproc_warn_flag$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       }; then
+  ac_header_preproc=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+  ac_header_preproc=no
+fi
+
+rm -f conftest.err conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_preproc" >&5
+$as_echo "$ac_header_preproc" >&6; }
+
+# So?  What about this header?
+case $ac_header_compiler:$ac_header_preproc:$ac_c_preproc_warn_flag in
+  yes:no: )
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: accepted by the compiler, rejected by the preprocessor!" >&5
+$as_echo "$as_me: WARNING: $ac_header: accepted by the compiler, rejected by the preprocessor!" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: proceeding with the compiler's result" >&5
+$as_echo "$as_me: WARNING: $ac_header: proceeding with the compiler's result" >&2;}
+    ac_header_preproc=yes
+    ;;
+  no:yes:* )
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: present but cannot be compiled" >&5
+$as_echo "$as_me: WARNING: $ac_header: present but cannot be compiled" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header:     check for missing prerequisite headers?" >&5
+$as_echo "$as_me: WARNING: $ac_header:     check for missing prerequisite headers?" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: see the Autoconf documentation" >&5
+$as_echo "$as_me: WARNING: $ac_header: see the Autoconf documentation" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header:     section \"Present But Cannot Be Compiled\"" >&5
+$as_echo "$as_me: WARNING: $ac_header:     section \"Present But Cannot Be Compiled\"" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: proceeding with the preprocessor's result" >&5
+$as_echo "$as_me: WARNING: $ac_header: proceeding with the preprocessor's result" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: in the future, the compiler will take precedence" >&5
+$as_echo "$as_me: WARNING: $ac_header: in the future, the compiler will take precedence" >&2;}
+
+    ;;
+esac
+{ $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
+$as_echo_n "checking for $ac_header... " >&6; }
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  eval "$as_ac_Header=\$ac_header_preproc"
+fi
+ac_res=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+
+fi
+as_val=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+   if test "x$as_val" = x""yes; then
+  cat >>confdefs.h <<_ACEOF
+#define `$as_echo "HAVE_$ac_header" | $as_tr_cpp` 1
+_ACEOF
+
+fi
+
+done
+
+
+
+
+for ac_header in unistd.h
+do
+as_ac_Header=`$as_echo "ac_cv_header_$ac_header" | $as_tr_sh`
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  { $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
+$as_echo_n "checking for $ac_header... " >&6; }
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+fi
+ac_res=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+else
+  # Is the header compilable?
+{ $as_echo "$as_me:$LINENO: checking $ac_header usability" >&5
+$as_echo_n "checking $ac_header usability... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+$ac_includes_default
+#include <$ac_header>
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_header_compiler=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_header_compiler=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_compiler" >&5
+$as_echo "$ac_header_compiler" >&6; }
+
+# Is the header present?
+{ $as_echo "$as_me:$LINENO: checking $ac_header presence" >&5
+$as_echo_n "checking $ac_header presence... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <$ac_header>
+_ACEOF
+if { (ac_try="$ac_cpp conftest.$ac_ext"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } >/dev/null && {
+        test -z "$ac_c_preproc_warn_flag$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       }; then
+  ac_header_preproc=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+  ac_header_preproc=no
+fi
+
+rm -f conftest.err conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_preproc" >&5
+$as_echo "$ac_header_preproc" >&6; }
+
+# So?  What about this header?
+case $ac_header_compiler:$ac_header_preproc:$ac_c_preproc_warn_flag in
+  yes:no: )
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: accepted by the compiler, rejected by the preprocessor!" >&5
+$as_echo "$as_me: WARNING: $ac_header: accepted by the compiler, rejected by the preprocessor!" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: proceeding with the compiler's result" >&5
+$as_echo "$as_me: WARNING: $ac_header: proceeding with the compiler's result" >&2;}
+    ac_header_preproc=yes
+    ;;
+  no:yes:* )
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: present but cannot be compiled" >&5
+$as_echo "$as_me: WARNING: $ac_header: present but cannot be compiled" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header:     check for missing prerequisite headers?" >&5
+$as_echo "$as_me: WARNING: $ac_header:     check for missing prerequisite headers?" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: see the Autoconf documentation" >&5
+$as_echo "$as_me: WARNING: $ac_header: see the Autoconf documentation" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header:     section \"Present But Cannot Be Compiled\"" >&5
+$as_echo "$as_me: WARNING: $ac_header:     section \"Present But Cannot Be Compiled\"" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: proceeding with the preprocessor's result" >&5
+$as_echo "$as_me: WARNING: $ac_header: proceeding with the preprocessor's result" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: in the future, the compiler will take precedence" >&5
+$as_echo "$as_me: WARNING: $ac_header: in the future, the compiler will take precedence" >&2;}
+
+    ;;
+esac
+{ $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
+$as_echo_n "checking for $ac_header... " >&6; }
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  eval "$as_ac_Header=\$ac_header_preproc"
+fi
+ac_res=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+
+fi
+as_val=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+   if test "x$as_val" = x""yes; then
+  cat >>confdefs.h <<_ACEOF
+#define `$as_echo "HAVE_$ac_header" | $as_tr_cpp` 1
+_ACEOF
+
+fi
+
+done
+
+
+
+      { $as_echo "$as_me:$LINENO: checking whether getenv is declared" >&5
+$as_echo_n "checking whether getenv is declared... " >&6; }
+if test "${ac_cv_have_decl_getenv+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+$ac_includes_default
+int
+main ()
+{
+#ifndef getenv
+  (void) getenv;
+#endif
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_cv_have_decl_getenv=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_have_decl_getenv=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_have_decl_getenv" >&5
+$as_echo "$ac_cv_have_decl_getenv" >&6; }
+if test "x$ac_cv_have_decl_getenv" = x""yes; then
+
+cat >>confdefs.h <<_ACEOF
+#define HAVE_DECL_GETENV 1
+_ACEOF
+
+
+else
+  cat >>confdefs.h <<_ACEOF
+#define HAVE_DECL_GETENV 0
+_ACEOF
+
+
+fi
+
+
+
+
+
+
+    passed=yes
+    # Check whether --enable-usrp was given.
+if test "${enable_usrp+set}" = set; then
+  enableval=$enable_usrp;
+else
+
+        enable_usrp=$enable_all_components
+        if test x$enable_all_components = xno; then
+            passed=no
+        fi
+
+fi
+
+
+
+
+
+    if test x = x; then
+        pc_comp_name="usrp"
+    else
+        pc_comp_name=""
+    fi
+
+
+# Check whether --with-usrp was given.
+if test "${with_usrp+set}" = set; then
+  withval=$with_usrp; if test "x$withval" != "xyes"; then
+                   with_usrp_val=$withval
+                   with_usrp=yes
+                fi
+fi
+
+    if test x$with_usrp = xyes; then
+        if test x$enable_usrp = xyes; then
+           { { $as_echo "$as_me:$LINENO: error: Component usrp: Cannot use both --enable and --with" >&5
+$as_echo "$as_me: error: Component usrp: Cannot use both --enable and --with" >&2;}
+   { (exit 1); exit 1; }; }
+        else
+
+        s_PKG_CONFIG_PATH=$PKG_CONFIG_PATH
+
+            l_PKG_CONFIG_PATH=$with_usrp_val
+    if test "x$l_PKG_CONFIG_PATH" != "x"; then
+        export PKG_CONFIG_PATH=$l_PKG_CONFIG_PATH
+
+                if ! test -r ${l_PKG_CONFIG_PATH}/${pc_comp_name}.pc; then
+           { { $as_echo "$as_me:$LINENO: error: Component usrp: PKGCONFIG cannot find info for ${pc_comp_name}, with provided PKG_CONFIG_PATH = [ $l_PKG_CONFIG_PATH ] ." >&5
+$as_echo "$as_me: error: Component usrp: PKGCONFIG cannot find info for ${pc_comp_name}, with provided PKG_CONFIG_PATH = [ $l_PKG_CONFIG_PATH ] ." >&2;}
+   { (exit 1); exit 1; }; }
+        fi
+    fi
+
+        if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"\${pc_comp_name}\"") >&5
+  ($PKG_CONFIG --exists --print-errors "${pc_comp_name}") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  passed=with; check1=yes
+else
+
+        check1=no
+                                       if test "x$l_PKG_CONFIG_PATH" != "x"; then
+            if test "x$s_PKG_CONFIG_PATH" != "x"; then
+                export PKG_CONFIG_PATH=${PKG_CONFIG_PATH}:${s_PKG_CONFIG_PATH}
+               if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"\${pc_comp_name}\"") >&5
+  ($PKG_CONFIG --exists --print-errors "${pc_comp_name}") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  passed=with
+else
+  passed=no
+fi
+            fi
+        fi
+        if test $passed != with; then
+            { { $as_echo "$as_me:$LINENO: error: Component usrp: PKGCONFIG cannot find info for ${pc_comp_name}, with PKG_CONFIG_PATH = [ $PKG_CONFIG_PATH ] ." >&5
+$as_echo "$as_me: error: Component usrp: PKGCONFIG cannot find info for ${pc_comp_name}, with PKG_CONFIG_PATH = [ $PKG_CONFIG_PATH ] ." >&2;}
+   { (exit 1); exit 1; }; }
+        fi
+                s_PKG_CONFIG_PATH=$PKG_CONFIG_PATH
+
+fi
+
+                usrp_INCLUDES=`$PKG_CONFIG --cflags-only-I ${pc_comp_name}`
+    usrp_LA=`$PKG_CONFIG --libs ${pc_comp_name}`
+    usrp_INCLUDEDIR=`$PKG_CONFIG --variable=includedir ${pc_comp_name}`
+
+    if test x$check1 = xyes; then
+                       if test "x$s_PKG_CONFIG_PATH" != "x"; then
+            export PKG_CONFIG_PATH=${PKG_CONFIG_PATH}:${s_PKG_CONFIG_PATH}
+        fi
+    fi
+
+           :
+        fi
+    fi
+
+
+
+
+    if test $passed != no; then
+        if test $passed = yes; then
+                        if test x$omnithread_skipped = xyes; then
+                { $as_echo "$as_me:$LINENO: result: Component usrp requires omnithread, which is not being built or specified via pre-installed files." >&5
+$as_echo "Component usrp requires omnithread, which is not being built or specified via pre-installed files." >&6; }
+                passed=no
+            fi
+        else
+                        if test x$omnithread_with = xno; then
+                { { $as_echo "$as_me:$LINENO: error: Component usrp requires omnithread to be included as --with-omnithread[=arg]" >&5
+$as_echo "$as_me: error: Component usrp requires omnithread to be included as --with-omnithread[=arg]" >&2;}
+   { (exit 1); exit 1; }; }
+            fi
+        fi
+    fi
+
+
+
+
+# Check whether --with-fusb-tech was given.
+if test "${with_fusb_tech+set}" = set; then
+  withval=$with_fusb_tech; cf_with_fusb_tech="$withval"
+else
+  cf_with_fusb_tech="$host_os"
+fi
+
+  if test x${enable_usrp} != xno; then
+      case "$cf_with_fusb_tech" in
+        linux*)
+          if test "${ac_cv_header_linux_usbdevice_fs_h+set}" = set; then
+  { $as_echo "$as_me:$LINENO: checking for linux/usbdevice_fs.h" >&5
+$as_echo_n "checking for linux/usbdevice_fs.h... " >&6; }
+if test "${ac_cv_header_linux_usbdevice_fs_h+set}" = set; then
+  $as_echo_n "(cached) " >&6
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_header_linux_usbdevice_fs_h" >&5
+$as_echo "$ac_cv_header_linux_usbdevice_fs_h" >&6; }
+else
+  # Is the header compilable?
+{ $as_echo "$as_me:$LINENO: checking linux/usbdevice_fs.h usability" >&5
+$as_echo_n "checking linux/usbdevice_fs.h usability... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+$ac_includes_default
+#include <linux/usbdevice_fs.h>
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_header_compiler=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_header_compiler=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_compiler" >&5
+$as_echo "$ac_header_compiler" >&6; }
+
+# Is the header present?
+{ $as_echo "$as_me:$LINENO: checking linux/usbdevice_fs.h presence" >&5
+$as_echo_n "checking linux/usbdevice_fs.h presence... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <linux/usbdevice_fs.h>
+_ACEOF
+if { (ac_try="$ac_cpp conftest.$ac_ext"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } >/dev/null && {
+        test -z "$ac_c_preproc_warn_flag$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       }; then
+  ac_header_preproc=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+  ac_header_preproc=no
+fi
+
+rm -f conftest.err conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_preproc" >&5
+$as_echo "$ac_header_preproc" >&6; }
+
+# So?  What about this header?
+case $ac_header_compiler:$ac_header_preproc:$ac_c_preproc_warn_flag in
+  yes:no: )
+    { $as_echo "$as_me:$LINENO: WARNING: linux/usbdevice_fs.h: accepted by the compiler, rejected by the preprocessor!" >&5
+$as_echo "$as_me: WARNING: linux/usbdevice_fs.h: accepted by the compiler, rejected by the preprocessor!" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: linux/usbdevice_fs.h: proceeding with the compiler's result" >&5
+$as_echo "$as_me: WARNING: linux/usbdevice_fs.h: proceeding with the compiler's result" >&2;}
+    ac_header_preproc=yes
+    ;;
+  no:yes:* )
+    { $as_echo "$as_me:$LINENO: WARNING: linux/usbdevice_fs.h: present but cannot be compiled" >&5
+$as_echo "$as_me: WARNING: linux/usbdevice_fs.h: present but cannot be compiled" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: linux/usbdevice_fs.h:     check for missing prerequisite headers?" >&5
+$as_echo "$as_me: WARNING: linux/usbdevice_fs.h:     check for missing prerequisite headers?" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: linux/usbdevice_fs.h: see the Autoconf documentation" >&5
+$as_echo "$as_me: WARNING: linux/usbdevice_fs.h: see the Autoconf documentation" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: linux/usbdevice_fs.h:     section \"Present But Cannot Be Compiled\"" >&5
+$as_echo "$as_me: WARNING: linux/usbdevice_fs.h:     section \"Present But Cannot Be Compiled\"" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: linux/usbdevice_fs.h: proceeding with the preprocessor's result" >&5
+$as_echo "$as_me: WARNING: linux/usbdevice_fs.h: proceeding with the preprocessor's result" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: linux/usbdevice_fs.h: in the future, the compiler will take precedence" >&5
+$as_echo "$as_me: WARNING: linux/usbdevice_fs.h: in the future, the compiler will take precedence" >&2;}
+
+    ;;
+esac
+{ $as_echo "$as_me:$LINENO: checking for linux/usbdevice_fs.h" >&5
+$as_echo_n "checking for linux/usbdevice_fs.h... " >&6; }
+if test "${ac_cv_header_linux_usbdevice_fs_h+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_cv_header_linux_usbdevice_fs_h=$ac_header_preproc
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_header_linux_usbdevice_fs_h" >&5
+$as_echo "$ac_cv_header_linux_usbdevice_fs_h" >&6; }
+
+fi
+if test "x$ac_cv_header_linux_usbdevice_fs_h" = x""yes; then
+  x_have_usbdevice_fs_h=yes
+else
+  x_have_usbdevice_fs_h=no
+fi
+
+
+          if test x${x_have_usbdevice_fs_h} = xyes; then
+              FUSB_TECH=linux
+          else
+              FUSB_TECH=generic
+          fi
+          ;;
+        darwin*)
+          FUSB_TECH=darwin
+          ;;
+        cygwin*|win*|mingw*)
+          FUSB_TECH=win32
+          ;;
+        *bsd*)
+          { $as_echo "$as_me:$LINENO: checking for RA/WB" >&5
+$as_echo_n "checking for RA/WB... " >&6; }
+          cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <dev/usb/usb.h>
+int
+main ()
+{
+struct usb_bulk_ra_wb_opt o;
+                                              ioctl(0, USB_SET_BULK_RA, &o);
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  FUSB_TECH=ra_wb
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       FUSB_TECH=generic
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+          ;;
+        *)
+          FUSB_TECH=generic
+          ;;
+      esac
+
+      { $as_echo "$as_me:$LINENO: checking for fast usb technique to use" >&5
+$as_echo_n "checking for fast usb technique to use... " >&6; }
+      { $as_echo "$as_me:$LINENO: result: $FUSB_TECH" >&5
+$as_echo "$FUSB_TECH" >&6; }
+
+  fi
+
+   if test x$FUSB_TECH = xdarwin; then
+  FUSB_TECH_darwin_TRUE=
+  FUSB_TECH_darwin_FALSE='#'
+else
+  FUSB_TECH_darwin_TRUE='#'
+  FUSB_TECH_darwin_FALSE=
+fi
+
+   if test x$FUSB_TECH = xwin32; then
+  FUSB_TECH_win32_TRUE=
+  FUSB_TECH_win32_FALSE='#'
+else
+  FUSB_TECH_win32_TRUE='#'
+  FUSB_TECH_win32_FALSE=
+fi
+
+   if test x$FUSB_TECH = xgeneric; then
+  FUSB_TECH_generic_TRUE=
+  FUSB_TECH_generic_FALSE='#'
+else
+  FUSB_TECH_generic_TRUE='#'
+  FUSB_TECH_generic_FALSE=
+fi
+
+   if test x$FUSB_TECH = xlinux; then
+  FUSB_TECH_linux_TRUE=
+  FUSB_TECH_linux_FALSE='#'
+else
+  FUSB_TECH_linux_TRUE='#'
+  FUSB_TECH_linux_FALSE=
+fi
+
+   if test x$FUSB_TECH = xra_wb; then
+  FUSB_TECH_ra_wb_TRUE=
+  FUSB_TECH_ra_wb_FALSE='#'
+else
+  FUSB_TECH_ra_wb_TRUE='#'
+  FUSB_TECH_ra_wb_FALSE=
+fi
+
+
+
+                    if test $passed = yes; then
+
+for ac_func in mkstemp
+do
+as_ac_var=`$as_echo "ac_cv_func_$ac_func" | $as_tr_sh`
+{ $as_echo "$as_me:$LINENO: checking for $ac_func" >&5
+$as_echo_n "checking for $ac_func... " >&6; }
+if { as_var=$as_ac_var; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+/* Define $ac_func to an innocuous variant, in case <limits.h> declares $ac_func.
+   For example, HP-UX 11i <limits.h> declares gettimeofday.  */
+#define $ac_func innocuous_$ac_func
+
+/* System header to define __stub macros and hopefully few prototypes,
+    which can conflict with char $ac_func (); below.
+    Prefer <limits.h> to <assert.h> if __STDC__ is defined, since
+    <limits.h> exists even on freestanding compilers.  */
+
+#ifdef __STDC__
+# include <limits.h>
+#else
+# include <assert.h>
+#endif
+
+#undef $ac_func
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char $ac_func ();
+/* The GNU C library defines this for functions which it implements
+    to always fail with ENOSYS.  Some functions are actually named
+    something starting with __ and the normal name is an alias.  */
+#if defined __stub_$ac_func || defined __stub___$ac_func
+choke me
+#endif
+
+int
+main ()
+{
+return $ac_func ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  eval "$as_ac_var=yes"
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       eval "$as_ac_var=no"
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+fi
+ac_res=`eval 'as_val=${'$as_ac_var'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+as_val=`eval 'as_val=${'$as_ac_var'}
+                $as_echo "$as_val"'`
+   if test "x$as_val" = x""yes; then
+  cat >>confdefs.h <<_ACEOF
+#define `$as_echo "HAVE_$ac_func" | $as_tr_cpp` 1
+_ACEOF
+
+else
+  case " $LIBOBJS " in
+  *" $ac_func.$ac_objext "* ) ;;
+  *) LIBOBJS="$LIBOBJS $ac_func.$ac_objext"
+ ;;
+esac
+
+fi
+done
+
+
+  if test $ac_cv_func_mkstemp = no; then
+    utils_cv_func_mkstemp_limitations=yes
+  else
+    { $as_echo "$as_me:$LINENO: checking for mkstemp limitations" >&5
+$as_echo_n "checking for mkstemp limitations... " >&6; }
+if test "${utils_cv_func_mkstemp_limitations+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+
+       if test "$cross_compiling" = yes; then
+  utils_cv_func_mkstemp_limitations=yes
+
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+#         include <stdlib.h>
+         int main ()
+         {
+           int i;
+           for (i = 0; i < 70; i++)
+             {
+               char template[] = "conftestXXXXXX";
+               int fd = mkstemp (template);
+               if (fd == -1)
+                 exit (1);
+               close (fd);
+             }
+           exit (0);
+         }
+
+_ACEOF
+rm -f conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && { ac_try='./conftest$ac_exeext'
+  { (case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_try") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; }; then
+  utils_cv_func_mkstemp_limitations=no
+else
+  $as_echo "$as_me: program exited with status $ac_status" >&5
+$as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+( exit $ac_status )
+utils_cv_func_mkstemp_limitations=yes
+fi
+rm -rf conftest.dSYM
+rm -f core *.core core.conftest.* gmon.out bb.out conftest$ac_exeext conftest.$ac_objext conftest.$ac_ext
+fi
+
+
+
+
+fi
+{ $as_echo "$as_me:$LINENO: result: $utils_cv_func_mkstemp_limitations" >&5
+$as_echo "$utils_cv_func_mkstemp_limitations" >&6; }
+  fi
+
+  if test $utils_cv_func_mkstemp_limitations = yes; then
+    case " $LIBOBJS " in
+  *" mkstemp.$ac_objext "* ) ;;
+  *) LIBOBJS="$LIBOBJS mkstemp.$ac_objext"
+ ;;
+esac
+
+    case " $LIBOBJS " in
+  *" tempname.$ac_objext "* ) ;;
+  *) LIBOBJS="$LIBOBJS tempname.$ac_objext"
+ ;;
+esac
+
+
+cat >>confdefs.h <<\_ACEOF
+#define mkstemp rpl_mkstemp
+_ACEOF
+
+
+
+
+
+
+
+
+  :
+
+
+
+
+
+
+
+
+
+
+
+
+for ac_header in stdint.h
+do
+as_ac_Header=`$as_echo "ac_cv_header_$ac_header" | $as_tr_sh`
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  { $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
+$as_echo_n "checking for $ac_header... " >&6; }
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+fi
+ac_res=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+else
+  # Is the header compilable?
+{ $as_echo "$as_me:$LINENO: checking $ac_header usability" >&5
+$as_echo_n "checking $ac_header usability... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+$ac_includes_default
+#include <$ac_header>
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_header_compiler=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_header_compiler=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_compiler" >&5
+$as_echo "$ac_header_compiler" >&6; }
+
+# Is the header present?
+{ $as_echo "$as_me:$LINENO: checking $ac_header presence" >&5
+$as_echo_n "checking $ac_header presence... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <$ac_header>
+_ACEOF
+if { (ac_try="$ac_cpp conftest.$ac_ext"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } >/dev/null && {
+        test -z "$ac_c_preproc_warn_flag$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       }; then
+  ac_header_preproc=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+  ac_header_preproc=no
+fi
+
+rm -f conftest.err conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_preproc" >&5
+$as_echo "$ac_header_preproc" >&6; }
+
+# So?  What about this header?
+case $ac_header_compiler:$ac_header_preproc:$ac_c_preproc_warn_flag in
+  yes:no: )
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: accepted by the compiler, rejected by the preprocessor!" >&5
+$as_echo "$as_me: WARNING: $ac_header: accepted by the compiler, rejected by the preprocessor!" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: proceeding with the compiler's result" >&5
+$as_echo "$as_me: WARNING: $ac_header: proceeding with the compiler's result" >&2;}
+    ac_header_preproc=yes
+    ;;
+  no:yes:* )
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: present but cannot be compiled" >&5
+$as_echo "$as_me: WARNING: $ac_header: present but cannot be compiled" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header:     check for missing prerequisite headers?" >&5
+$as_echo "$as_me: WARNING: $ac_header:     check for missing prerequisite headers?" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: see the Autoconf documentation" >&5
+$as_echo "$as_me: WARNING: $ac_header: see the Autoconf documentation" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header:     section \"Present But Cannot Be Compiled\"" >&5
+$as_echo "$as_me: WARNING: $ac_header:     section \"Present But Cannot Be Compiled\"" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: proceeding with the preprocessor's result" >&5
+$as_echo "$as_me: WARNING: $ac_header: proceeding with the preprocessor's result" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: in the future, the compiler will take precedence" >&5
+$as_echo "$as_me: WARNING: $ac_header: in the future, the compiler will take precedence" >&2;}
+
+    ;;
+esac
+{ $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
+$as_echo_n "checking for $ac_header... " >&6; }
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  eval "$as_ac_Header=\$ac_header_preproc"
+fi
+ac_res=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+
+fi
+as_val=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+   if test "x$as_val" = x""yes; then
+  cat >>confdefs.h <<_ACEOF
+#define `$as_echo "HAVE_$ac_header" | $as_tr_cpp` 1
+_ACEOF
+
+fi
+
+done
+
+
+
+
+for ac_func in __secure_getenv gettimeofday lstat
+do
+as_ac_var=`$as_echo "ac_cv_func_$ac_func" | $as_tr_sh`
+{ $as_echo "$as_me:$LINENO: checking for $ac_func" >&5
+$as_echo_n "checking for $ac_func... " >&6; }
+if { as_var=$as_ac_var; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+/* Define $ac_func to an innocuous variant, in case <limits.h> declares $ac_func.
+   For example, HP-UX 11i <limits.h> declares gettimeofday.  */
+#define $ac_func innocuous_$ac_func
+
+/* System header to define __stub macros and hopefully few prototypes,
+    which can conflict with char $ac_func (); below.
+    Prefer <limits.h> to <assert.h> if __STDC__ is defined, since
+    <limits.h> exists even on freestanding compilers.  */
+
+#ifdef __STDC__
+# include <limits.h>
+#else
+# include <assert.h>
+#endif
+
+#undef $ac_func
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char $ac_func ();
+/* The GNU C library defines this for functions which it implements
+    to always fail with ENOSYS.  Some functions are actually named
+    something starting with __ and the normal name is an alias.  */
+#if defined __stub_$ac_func || defined __stub___$ac_func
+choke me
+#endif
+
+int
+main ()
+{
+return $ac_func ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  eval "$as_ac_var=yes"
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       eval "$as_ac_var=no"
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+fi
+ac_res=`eval 'as_val=${'$as_ac_var'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+as_val=`eval 'as_val=${'$as_ac_var'}
+                $as_echo "$as_val"'`
+   if test "x$as_val" = x""yes; then
+  cat >>confdefs.h <<_ACEOF
+#define `$as_echo "HAVE_$ac_func" | $as_tr_cpp` 1
+_ACEOF
+
+fi
+done
+
+
+  :
+
+
+
+
+
+  # AC_REQUIRE([jm_AC_TYPE_UINTMAX_T])
+
+    { $as_echo "$as_me:$LINENO: checking whether mkdir accepts only one arg" >&5
+$as_echo_n "checking whether mkdir accepts only one arg... " >&6; }
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <sys/types.h>
+       #include <sys/stat.h>
+       #include <fcntl.h>
+int
+main ()
+{
+
+       mkdir("")
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+   { $as_echo "$as_me:$LINENO: result: yes" >&5
+$as_echo "yes" >&6; }
+
+cat >>confdefs.h <<\_ACEOF
+#define MKDIR_TAKES_ONE_ARG /**/
+_ACEOF
+
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+        { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+
+  fi
+
+
+
+ { $as_echo "$as_me:$LINENO: checking whether byte ordering is bigendian" >&5
+$as_echo_n "checking whether byte ordering is bigendian... " >&6; }
+if test "${ac_cv_c_bigendian+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_cv_c_bigendian=unknown
+    # See if we're dealing with a universal compiler.
+    cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#ifndef __APPLE_CC__
+              not a universal capable compiler
+            #endif
+            typedef int dummy;
+
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+
+       # Check for potential -arch flags.  It is not universal unless
+       # there are some -arch flags.  Note that *ppc* also matches
+       # ppc64.  This check is also rather less than ideal.
+       case "${CC} ${CFLAGS} ${CPPFLAGS} ${LDFLAGS}" in  #(
+         *-arch*ppc*|*-arch*i386*|*-arch*x86_64*) ac_cv_c_bigendian=universal;;
+       esac
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+    if test $ac_cv_c_bigendian = unknown; then
+      # See if sys/param.h defines the BYTE_ORDER macro.
+      cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <sys/types.h>
+            #include <sys/param.h>
+
+int
+main ()
+{
+#if ! (defined BYTE_ORDER && defined BIG_ENDIAN \
+                    && defined LITTLE_ENDIAN && BYTE_ORDER && BIG_ENDIAN \
+                    && LITTLE_ENDIAN)
+             bogus endian macros
+            #endif
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  # It does; now see whether it defined to BIG_ENDIAN or not.
+        cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <sys/types.h>
+               #include <sys/param.h>
+
+int
+main ()
+{
+#if BYTE_ORDER != BIG_ENDIAN
+                not big endian
+               #endif
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_cv_c_bigendian=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_c_bigendian=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+    fi
+    if test $ac_cv_c_bigendian = unknown; then
+      # See if <limits.h> defines _LITTLE_ENDIAN or _BIG_ENDIAN (e.g., Solaris).
+      cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <limits.h>
+
+int
+main ()
+{
+#if ! (defined _LITTLE_ENDIAN || defined _BIG_ENDIAN)
+             bogus endian macros
+            #endif
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  # It does; now see whether it defined to _BIG_ENDIAN or not.
+        cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <limits.h>
+
+int
+main ()
+{
+#ifndef _BIG_ENDIAN
+                not big endian
+               #endif
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_cv_c_bigendian=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_c_bigendian=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+    fi
+    if test $ac_cv_c_bigendian = unknown; then
+      # Compile a test program.
+      if test "$cross_compiling" = yes; then
+  # Try to guess by grepping values from an object file.
+        cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+short int ascii_mm[] =
+                 { 0x4249, 0x4765, 0x6E44, 0x6961, 0x6E53, 0x7953, 0 };
+               short int ascii_ii[] =
+                 { 0x694C, 0x5454, 0x656C, 0x6E45, 0x6944, 0x6E61, 0 };
+               int use_ascii (int i) {
+                 return ascii_mm[i] + ascii_ii[i];
+               }
+               short int ebcdic_ii[] =
+                 { 0x89D3, 0xE3E3, 0x8593, 0x95C5, 0x89C4, 0x9581, 0 };
+               short int ebcdic_mm[] =
+                 { 0xC2C9, 0xC785, 0x95C4, 0x8981, 0x95E2, 0xA8E2, 0 };
+               int use_ebcdic (int i) {
+                 return ebcdic_mm[i] + ebcdic_ii[i];
+               }
+               extern int foo;
+
+int
+main ()
+{
+return use_ascii (foo) == use_ebcdic (foo);
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  if grep BIGenDianSyS conftest.$ac_objext >/dev/null; then
+             ac_cv_c_bigendian=yes
+           fi
+           if grep LiTTleEnDian conftest.$ac_objext >/dev/null ; then
+             if test "$ac_cv_c_bigendian" = unknown; then
+               ac_cv_c_bigendian=no
+             else
+               # finding both strings is unlikely to happen, but who knows?
+               ac_cv_c_bigendian=unknown
+             fi
+           fi
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+$ac_includes_default
+int
+main ()
+{
+
+            /* Are we little or big endian?  From Harbison&Steele.  */
+            union
+            {
+              long int l;
+              char c[sizeof (long int)];
+            } u;
+            u.l = 1;
+            return u.c[sizeof (long int) - 1] == 1;
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && { ac_try='./conftest$ac_exeext'
+  { (case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_try") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; }; then
+  ac_cv_c_bigendian=no
+else
+  $as_echo "$as_me: program exited with status $ac_status" >&5
+$as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+( exit $ac_status )
+ac_cv_c_bigendian=yes
+fi
+rm -rf conftest.dSYM
+rm -f core *.core core.conftest.* gmon.out bb.out conftest$ac_exeext conftest.$ac_objext conftest.$ac_ext
+fi
+
+
+    fi
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_c_bigendian" >&5
+$as_echo "$ac_cv_c_bigendian" >&6; }
+ case $ac_cv_c_bigendian in #(
+   yes)
+     cat >>confdefs.h <<\_ACEOF
+#define WORDS_BIGENDIAN 1
+_ACEOF
+;; #(
+   no)
+      ;; #(
+   universal)
+
+cat >>confdefs.h <<\_ACEOF
+#define AC_APPLE_UNIVERSAL_BUILD 1
+_ACEOF
+
+     ;; #(
+   *)
+     { { $as_echo "$as_me:$LINENO: error: unknown endianness
+ presetting ac_cv_c_bigendian=no (or yes) will help" >&5
+$as_echo "$as_me: error: unknown endianness
+ presetting ac_cv_c_bigendian=no (or yes) will help" >&2;}
+   { (exit 1); exit 1; }; } ;;
+ esac
+
+
+
+for ac_header in byteswap.h linux/compiler.h
+do
+as_ac_Header=`$as_echo "ac_cv_header_$ac_header" | $as_tr_sh`
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  { $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
+$as_echo_n "checking for $ac_header... " >&6; }
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+fi
+ac_res=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+else
+  # Is the header compilable?
+{ $as_echo "$as_me:$LINENO: checking $ac_header usability" >&5
+$as_echo_n "checking $ac_header usability... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+$ac_includes_default
+#include <$ac_header>
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_header_compiler=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_header_compiler=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_compiler" >&5
+$as_echo "$ac_header_compiler" >&6; }
+
+# Is the header present?
+{ $as_echo "$as_me:$LINENO: checking $ac_header presence" >&5
+$as_echo_n "checking $ac_header presence... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <$ac_header>
+_ACEOF
+if { (ac_try="$ac_cpp conftest.$ac_ext"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } >/dev/null && {
+        test -z "$ac_c_preproc_warn_flag$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       }; then
+  ac_header_preproc=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+  ac_header_preproc=no
+fi
+
+rm -f conftest.err conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_preproc" >&5
+$as_echo "$ac_header_preproc" >&6; }
+
+# So?  What about this header?
+case $ac_header_compiler:$ac_header_preproc:$ac_c_preproc_warn_flag in
+  yes:no: )
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: accepted by the compiler, rejected by the preprocessor!" >&5
+$as_echo "$as_me: WARNING: $ac_header: accepted by the compiler, rejected by the preprocessor!" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: proceeding with the compiler's result" >&5
+$as_echo "$as_me: WARNING: $ac_header: proceeding with the compiler's result" >&2;}
+    ac_header_preproc=yes
+    ;;
+  no:yes:* )
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: present but cannot be compiled" >&5
+$as_echo "$as_me: WARNING: $ac_header: present but cannot be compiled" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header:     check for missing prerequisite headers?" >&5
+$as_echo "$as_me: WARNING: $ac_header:     check for missing prerequisite headers?" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: see the Autoconf documentation" >&5
+$as_echo "$as_me: WARNING: $ac_header: see the Autoconf documentation" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header:     section \"Present But Cannot Be Compiled\"" >&5
+$as_echo "$as_me: WARNING: $ac_header:     section \"Present But Cannot Be Compiled\"" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: proceeding with the preprocessor's result" >&5
+$as_echo "$as_me: WARNING: $ac_header: proceeding with the preprocessor's result" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: in the future, the compiler will take precedence" >&5
+$as_echo "$as_me: WARNING: $ac_header: in the future, the compiler will take precedence" >&2;}
+
+    ;;
+esac
+{ $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
+$as_echo_n "checking for $ac_header... " >&6; }
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  eval "$as_ac_Header=\$ac_header_preproc"
+fi
+ac_res=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+
+fi
+as_val=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+   if test "x$as_val" = x""yes; then
+  cat >>confdefs.h <<_ACEOF
+#define `$as_echo "HAVE_$ac_header" | $as_tr_cpp` 1
+_ACEOF
+
+fi
+
+done
+
+
+
+
+for ac_func in getrusage sched_setscheduler pthread_setschedparam
+do
+as_ac_var=`$as_echo "ac_cv_func_$ac_func" | $as_tr_sh`
+{ $as_echo "$as_me:$LINENO: checking for $ac_func" >&5
+$as_echo_n "checking for $ac_func... " >&6; }
+if { as_var=$as_ac_var; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+/* Define $ac_func to an innocuous variant, in case <limits.h> declares $ac_func.
+   For example, HP-UX 11i <limits.h> declares gettimeofday.  */
+#define $ac_func innocuous_$ac_func
+
+/* System header to define __stub macros and hopefully few prototypes,
+    which can conflict with char $ac_func (); below.
+    Prefer <limits.h> to <assert.h> if __STDC__ is defined, since
+    <limits.h> exists even on freestanding compilers.  */
+
+#ifdef __STDC__
+# include <limits.h>
+#else
+# include <assert.h>
+#endif
+
+#undef $ac_func
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char $ac_func ();
+/* The GNU C library defines this for functions which it implements
+    to always fail with ENOSYS.  Some functions are actually named
+    something starting with __ and the normal name is an alias.  */
+#if defined __stub_$ac_func || defined __stub___$ac_func
+choke me
+#endif
+
+int
+main ()
+{
+return $ac_func ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  eval "$as_ac_var=yes"
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       eval "$as_ac_var=no"
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+fi
+ac_res=`eval 'as_val=${'$as_ac_var'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+as_val=`eval 'as_val=${'$as_ac_var'}
+                $as_echo "$as_val"'`
+   if test "x$as_val" = x""yes; then
+  cat >>confdefs.h <<_ACEOF
+#define `$as_echo "HAVE_$ac_func" | $as_tr_cpp` 1
+_ACEOF
+
+fi
+done
+
+
+
+for ac_func in sigaction snprintf
+do
+as_ac_var=`$as_echo "ac_cv_func_$ac_func" | $as_tr_sh`
+{ $as_echo "$as_me:$LINENO: checking for $ac_func" >&5
+$as_echo_n "checking for $ac_func... " >&6; }
+if { as_var=$as_ac_var; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+/* Define $ac_func to an innocuous variant, in case <limits.h> declares $ac_func.
+   For example, HP-UX 11i <limits.h> declares gettimeofday.  */
+#define $ac_func innocuous_$ac_func
+
+/* System header to define __stub macros and hopefully few prototypes,
+    which can conflict with char $ac_func (); below.
+    Prefer <limits.h> to <assert.h> if __STDC__ is defined, since
+    <limits.h> exists even on freestanding compilers.  */
+
+#ifdef __STDC__
+# include <limits.h>
+#else
+# include <assert.h>
+#endif
+
+#undef $ac_func
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char $ac_func ();
+/* The GNU C library defines this for functions which it implements
+    to always fail with ENOSYS.  Some functions are actually named
+    something starting with __ and the normal name is an alias.  */
+#if defined __stub_$ac_func || defined __stub___$ac_func
+choke me
+#endif
+
+int
+main ()
+{
+return $ac_func ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  eval "$as_ac_var=yes"
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       eval "$as_ac_var=no"
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+fi
+ac_res=`eval 'as_val=${'$as_ac_var'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+as_val=`eval 'as_val=${'$as_ac_var'}
+                $as_echo "$as_val"'`
+   if test "x$as_val" = x""yes; then
+  cat >>confdefs.h <<_ACEOF
+#define `$as_echo "HAVE_$ac_func" | $as_tr_cpp` 1
+_ACEOF
+
+fi
+done
+
+
+
+    libusbok=yes
+
+
+
+pkg_failed=no
+{ $as_echo "$as_me:$LINENO: checking for USB" >&5
+$as_echo_n "checking for USB... " >&6; }
+
+if test -n "$PKG_CONFIG"; then
+    if test -n "$USB_CFLAGS"; then
+        pkg_cv_USB_CFLAGS="$USB_CFLAGS"
+    else
+        if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"libusb\"") >&5
+  ($PKG_CONFIG --exists --print-errors "libusb") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  pkg_cv_USB_CFLAGS=`$PKG_CONFIG --cflags "libusb" 2>/dev/null`
+else
+  pkg_failed=yes
+fi
+    fi
+else
+       pkg_failed=untried
+fi
+
+if test x$cross_compiling = xyes
+then
+    if test -n "$PKG_CONFIG"; then
+    if test -n "$USB_LIBS"; then
+        pkg_cv_USB_LIBS="$USB_LIBS"
+    else
+        if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"libusb\"") >&5
+  ($PKG_CONFIG --exists --print-errors "libusb") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  pkg_cv_USB_LIBS=`$PKG_CONFIG --libs --static "libusb" 2>/dev/null`
+else
+  pkg_failed=yes
+fi
+    fi
+else
+       pkg_failed=untried
+fi
+    _pkg_tmp=
+  for flag in $pkg_cv_USB_LIBS; do
+    case $flag in
+    (-L/lib* | -L/usr/lib* )      ;; # ignore
+    (*) _pkg_tmp="$_pkg_tmp $flag" ;;
+    esac
+  done
+  pkg_cv_USB_LIBS="$_pkg_tmp"
+else
+  if test -n "$PKG_CONFIG"; then
+    if test -n "$USB_LIBS"; then
+        pkg_cv_USB_LIBS="$USB_LIBS"
+    else
+        if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"libusb\"") >&5
+  ($PKG_CONFIG --exists --print-errors "libusb") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  pkg_cv_USB_LIBS=`$PKG_CONFIG --libs --static "libusb" 2>/dev/null`
+else
+  pkg_failed=yes
+fi
+    fi
+else
+       pkg_failed=untried
+fi
+fi
+
+if test -n "$PKG_CONFIG"; then
+    if test -n "$USB_INCLUDEDIR"; then
+        pkg_cv_USB_INCLUDEDIR="$USB_INCLUDEDIR"
+    else
+        if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"libusb\"") >&5
+  ($PKG_CONFIG --exists --print-errors "libusb") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  pkg_cv_USB_INCLUDEDIR=`$PKG_CONFIG --variable=includedir "libusb" 2>/dev/null`
+else
+  pkg_failed=yes
+fi
+    fi
+else
+       pkg_failed=untried
+fi
+
+
+
+
+if test $pkg_failed = yes; then
+
+if $PKG_CONFIG --atleast-pkgconfig-version 0.20; then
+        _pkg_short_errors_supported=yes
+else
+        _pkg_short_errors_supported=no
+fi
+        if test $_pkg_short_errors_supported = yes; then
+               USB_PKG_ERRORS=`$PKG_CONFIG --short-errors --errors-to-stdout --print-errors "libusb"`
+        else
+               USB_PKG_ERRORS=`$PKG_CONFIG --errors-to-stdout --print-errors "libusb"`
+        fi
+       # Put the nasty error message in config.log where it belongs
+       echo "$USB_PKG_ERRORS" >&5
+
+       { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+
+        ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+
+
+for ac_header in usb.h
+do
+as_ac_Header=`$as_echo "ac_cv_header_$ac_header" | $as_tr_sh`
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  { $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
+$as_echo_n "checking for $ac_header... " >&6; }
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+fi
+ac_res=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+else
+  # Is the header compilable?
+{ $as_echo "$as_me:$LINENO: checking $ac_header usability" >&5
+$as_echo_n "checking $ac_header usability... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+$ac_includes_default
+#include <$ac_header>
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_header_compiler=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_header_compiler=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_compiler" >&5
+$as_echo "$ac_header_compiler" >&6; }
+
+# Is the header present?
+{ $as_echo "$as_me:$LINENO: checking $ac_header presence" >&5
+$as_echo_n "checking $ac_header presence... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <$ac_header>
+_ACEOF
+if { (ac_try="$ac_cpp conftest.$ac_ext"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } >/dev/null && {
+        test -z "$ac_c_preproc_warn_flag$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       }; then
+  ac_header_preproc=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+  ac_header_preproc=no
+fi
+
+rm -f conftest.err conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_preproc" >&5
+$as_echo "$ac_header_preproc" >&6; }
+
+# So?  What about this header?
+case $ac_header_compiler:$ac_header_preproc:$ac_c_preproc_warn_flag in
+  yes:no: )
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: accepted by the compiler, rejected by the preprocessor!" >&5
+$as_echo "$as_me: WARNING: $ac_header: accepted by the compiler, rejected by the preprocessor!" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: proceeding with the compiler's result" >&5
+$as_echo "$as_me: WARNING: $ac_header: proceeding with the compiler's result" >&2;}
+    ac_header_preproc=yes
+    ;;
+  no:yes:* )
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: present but cannot be compiled" >&5
+$as_echo "$as_me: WARNING: $ac_header: present but cannot be compiled" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header:     check for missing prerequisite headers?" >&5
+$as_echo "$as_me: WARNING: $ac_header:     check for missing prerequisite headers?" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: see the Autoconf documentation" >&5
+$as_echo "$as_me: WARNING: $ac_header: see the Autoconf documentation" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header:     section \"Present But Cannot Be Compiled\"" >&5
+$as_echo "$as_me: WARNING: $ac_header:     section \"Present But Cannot Be Compiled\"" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: proceeding with the preprocessor's result" >&5
+$as_echo "$as_me: WARNING: $ac_header: proceeding with the preprocessor's result" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: in the future, the compiler will take precedence" >&5
+$as_echo "$as_me: WARNING: $ac_header: in the future, the compiler will take precedence" >&2;}
+
+    ;;
+esac
+{ $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
+$as_echo_n "checking for $ac_header... " >&6; }
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  eval "$as_ac_Header=\$ac_header_preproc"
+fi
+ac_res=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+
+fi
+as_val=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+   if test "x$as_val" = x""yes; then
+  cat >>confdefs.h <<_ACEOF
+#define `$as_echo "HAVE_$ac_header" | $as_tr_cpp` 1
+_ACEOF
+
+else
+  libusbok=no; { $as_echo "$as_me:$LINENO: result: USRP requires libusb. usb.h not found. See http://libusb.sf.net" >&5
+$as_echo "USRP requires libusb. usb.h not found. See http://libusb.sf.net" >&6; }
+fi
+
+done
+
+
+       save_LIBS="$LIBS"
+       case "$host_os" in
+         darwin*)
+           LIBS="$LIBS -lIOKit"
+            ;;
+         *) ;;
+        esac
+
+       { $as_echo "$as_me:$LINENO: checking for library containing usb_bulk_write" >&5
+$as_echo_n "checking for library containing usb_bulk_write... " >&6; }
+if test "${ac_cv_search_usb_bulk_write+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_func_search_save_LIBS=$LIBS
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char usb_bulk_write ();
+int
+main ()
+{
+return usb_bulk_write ();
+  ;
+  return 0;
+}
+_ACEOF
+for ac_lib in '' usb; do
+  if test -z "$ac_lib"; then
+    ac_res="none required"
+  else
+    ac_res=-l$ac_lib
+    LIBS="-l$ac_lib  $ac_func_search_save_LIBS"
+  fi
+  rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  ac_cv_search_usb_bulk_write=$ac_res
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext
+  if test "${ac_cv_search_usb_bulk_write+set}" = set; then
+  break
+fi
+done
+if test "${ac_cv_search_usb_bulk_write+set}" = set; then
+  :
+else
+  ac_cv_search_usb_bulk_write=no
+fi
+rm conftest.$ac_ext
+LIBS=$ac_func_search_save_LIBS
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_search_usb_bulk_write" >&5
+$as_echo "$ac_cv_search_usb_bulk_write" >&6; }
+ac_res=$ac_cv_search_usb_bulk_write
+if test "$ac_res" != no; then
+  test "$ac_res" = "none required" || LIBS="$ac_res $LIBS"
+  USB_LIBS="$LIBS"
+else
+  libusbok=no; { $as_echo "$as_me:$LINENO: result: USRP requires libusb. usb_bulk_write not found. See http://libusb.sf.net" >&5
+$as_echo "USRP requires libusb. usb_bulk_write not found. See http://libusb.sf.net" >&6; }
+fi
+
+
+        LIBS="$save_LIBS"
+
+        ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+
+elif test $pkg_failed = untried; then
+
+        ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+
+
+for ac_header in usb.h
+do
+as_ac_Header=`$as_echo "ac_cv_header_$ac_header" | $as_tr_sh`
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  { $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
+$as_echo_n "checking for $ac_header... " >&6; }
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+fi
+ac_res=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+else
+  # Is the header compilable?
+{ $as_echo "$as_me:$LINENO: checking $ac_header usability" >&5
+$as_echo_n "checking $ac_header usability... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+$ac_includes_default
+#include <$ac_header>
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_header_compiler=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_header_compiler=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_compiler" >&5
+$as_echo "$ac_header_compiler" >&6; }
+
+# Is the header present?
+{ $as_echo "$as_me:$LINENO: checking $ac_header presence" >&5
+$as_echo_n "checking $ac_header presence... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <$ac_header>
+_ACEOF
+if { (ac_try="$ac_cpp conftest.$ac_ext"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } >/dev/null && {
+        test -z "$ac_c_preproc_warn_flag$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       }; then
+  ac_header_preproc=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+  ac_header_preproc=no
+fi
+
+rm -f conftest.err conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_preproc" >&5
+$as_echo "$ac_header_preproc" >&6; }
+
+# So?  What about this header?
+case $ac_header_compiler:$ac_header_preproc:$ac_c_preproc_warn_flag in
+  yes:no: )
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: accepted by the compiler, rejected by the preprocessor!" >&5
+$as_echo "$as_me: WARNING: $ac_header: accepted by the compiler, rejected by the preprocessor!" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: proceeding with the compiler's result" >&5
+$as_echo "$as_me: WARNING: $ac_header: proceeding with the compiler's result" >&2;}
+    ac_header_preproc=yes
+    ;;
+  no:yes:* )
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: present but cannot be compiled" >&5
+$as_echo "$as_me: WARNING: $ac_header: present but cannot be compiled" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header:     check for missing prerequisite headers?" >&5
+$as_echo "$as_me: WARNING: $ac_header:     check for missing prerequisite headers?" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: see the Autoconf documentation" >&5
+$as_echo "$as_me: WARNING: $ac_header: see the Autoconf documentation" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header:     section \"Present But Cannot Be Compiled\"" >&5
+$as_echo "$as_me: WARNING: $ac_header:     section \"Present But Cannot Be Compiled\"" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: proceeding with the preprocessor's result" >&5
+$as_echo "$as_me: WARNING: $ac_header: proceeding with the preprocessor's result" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: in the future, the compiler will take precedence" >&5
+$as_echo "$as_me: WARNING: $ac_header: in the future, the compiler will take precedence" >&2;}
+
+    ;;
+esac
+{ $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
+$as_echo_n "checking for $ac_header... " >&6; }
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  eval "$as_ac_Header=\$ac_header_preproc"
+fi
+ac_res=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+
+fi
+as_val=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+   if test "x$as_val" = x""yes; then
+  cat >>confdefs.h <<_ACEOF
+#define `$as_echo "HAVE_$ac_header" | $as_tr_cpp` 1
+_ACEOF
+
+else
+  libusbok=no; { $as_echo "$as_me:$LINENO: result: USRP requires libusb. usb.h not found. See http://libusb.sf.net" >&5
+$as_echo "USRP requires libusb. usb.h not found. See http://libusb.sf.net" >&6; }
+fi
+
+done
+
+
+       save_LIBS="$LIBS"
+       case "$host_os" in
+         darwin*)
+           LIBS="$LIBS -lIOKit"
+            ;;
+         *) ;;
+        esac
+
+       { $as_echo "$as_me:$LINENO: checking for library containing usb_bulk_write" >&5
+$as_echo_n "checking for library containing usb_bulk_write... " >&6; }
+if test "${ac_cv_search_usb_bulk_write+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_func_search_save_LIBS=$LIBS
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+/* Override any GCC internal prototype to avoid an error.
+   Use char because int might match the return type of a GCC
+   builtin and then its argument prototype would still apply.  */
+#ifdef __cplusplus
+extern "C"
+#endif
+char usb_bulk_write ();
+int
+main ()
+{
+return usb_bulk_write ();
+  ;
+  return 0;
+}
+_ACEOF
+for ac_lib in '' usb; do
+  if test -z "$ac_lib"; then
+    ac_res="none required"
+  else
+    ac_res=-l$ac_lib
+    LIBS="-l$ac_lib  $ac_func_search_save_LIBS"
+  fi
+  rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  ac_cv_search_usb_bulk_write=$ac_res
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext
+  if test "${ac_cv_search_usb_bulk_write+set}" = set; then
+  break
+fi
+done
+if test "${ac_cv_search_usb_bulk_write+set}" = set; then
+  :
+else
+  ac_cv_search_usb_bulk_write=no
+fi
+rm conftest.$ac_ext
+LIBS=$ac_func_search_save_LIBS
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_search_usb_bulk_write" >&5
+$as_echo "$ac_cv_search_usb_bulk_write" >&6; }
+ac_res=$ac_cv_search_usb_bulk_write
+if test "$ac_res" != no; then
+  test "$ac_res" = "none required" || LIBS="$ac_res $LIBS"
+  USB_LIBS="$LIBS"
+else
+  libusbok=no; { $as_echo "$as_me:$LINENO: result: USRP requires libusb. usb_bulk_write not found. See http://libusb.sf.net" >&5
+$as_echo "USRP requires libusb. usb_bulk_write not found. See http://libusb.sf.net" >&6; }
+fi
+
+
+        LIBS="$save_LIBS"
+
+        ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+
+else
+       USB_CFLAGS=$pkg_cv_USB_CFLAGS
+       USB_LIBS=$pkg_cv_USB_LIBS
+       USB_INCLUDEDIR=$pkg_cv_USB_INCLUDEDIR
+
+       USB_CPPFLAGS=""
+       for flag in $USB_CFLAGS; do
+         case $flag in
+          -I* | -D* | -U*) USB_CPPFLAGS="$USB_CPPFLAGS $flag" ;;
+          esac
+        done
+       pkg_cv_USB_CPPFLAGS=$USB_CPPFLAGS
+
+
+        { $as_echo "$as_me:$LINENO: result: yes" >&5
+$as_echo "yes" >&6; }
+       :
+fi
+
+    if test x$libusbok = xyes; then
+
+       :
+    else
+        passed=no;{ $as_echo "$as_me:$LINENO: result: Unable to find dependency libusb." >&5
+$as_echo "Unable to find dependency libusb." >&6; }
+    fi
+
+
+
+       sdccok=yes
+       # Extract the first word of "sdcc", so it can be a program name with args.
+set dummy sdcc; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_XCC+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$XCC"; then
+  ac_cv_prog_XCC="$XCC" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_XCC="sdcc -mmcs51 --no-xinit-opt"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+  test -z "$ac_cv_prog_XCC" && ac_cv_prog_XCC="no"
+fi
+fi
+XCC=$ac_cv_prog_XCC
+if test -n "$XCC"; then
+  { $as_echo "$as_me:$LINENO: result: $XCC" >&5
+$as_echo "$XCC" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+       # Extract the first word of "asx8051", so it can be a program name with args.
+set dummy asx8051; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_XAS+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$XAS"; then
+  ac_cv_prog_XAS="$XAS" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_XAS="asx8051 -plosgff"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+  test -z "$ac_cv_prog_XAS" && ac_cv_prog_XAS="no"
+fi
+fi
+XAS=$ac_cv_prog_XAS
+if test -n "$XAS"; then
+  { $as_echo "$as_me:$LINENO: result: $XAS" >&5
+$as_echo "$XAS" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+
+       if test "$XCC" = "no" -o "$XAS" = "no" ; then
+               { $as_echo "$as_me:$LINENO: result: USRP requires sdcc. sdcc not found. See http://sdcc.sf.net" >&5
+$as_echo "USRP requires sdcc. sdcc not found. See http://sdcc.sf.net" >&6; }
+               sdccok=no
+       else
+               sdcc_version_min=2.4.0
+
+               sdcc_version=`sdcc --version 2>&1 | \
+                       sed  's/\(SDCC.* \)\([0-9]*\.[0-9]*\.[0-9]*\)\( .*$\)/\2/'`
+
+               { $as_echo "$as_me:$LINENO: checking sdcc_version \"$sdcc_version\"" >&5
+$as_echo_n "checking sdcc_version \"$sdcc_version\"... " >&6; }
+
+               sdcc_major_version=`echo $sdcc_version | \
+                       sed 's/\([0-9]*\).\([0-9]*\).\([0-9]*\)/\1/'`
+               sdcc_minor_version=`echo $sdcc_version | \
+                       sed 's/\([0-9]*\).\([0-9]*\).\([0-9]*\)/\2/'`
+               sdcc_micro_version=`echo $sdcc_version | \
+                       sed 's/\([0-9]*\).\([0-9]*\).\([0-9]*\)/\3/'`
+
+               sdcc_major_min=`echo $sdcc_version_min | \
+                       sed 's/\([0-9]*\).\([0-9]*\).\([0-9]*\)/\1/'`
+               sdcc_minor_min=`echo $sdcc_version_min | \
+                       sed 's/\([0-9]*\).\([0-9]*\).\([0-9]*\)/\2/'`
+               sdcc_micro_min=`echo $sdcc_version_min | \
+                       sed 's/\([0-9]*\).\([0-9]*\).\([0-9]*\)/\3/'`
+
+               sdcc_version_proper=`expr \
+                       "$sdcc_major_version" \> "$sdcc_major_min" \| \
+                       "$sdcc_major_version" \= "$sdcc_major_min" \& \
+                       "$sdcc_minor_version" \> "$sdcc_minor_min" \| \
+                       "$sdcc_major_version" \= "$sdcc_major_min" \& \
+                       "$sdcc_minor_version" \= "$sdcc_minor_min" \& \
+                       "$sdcc_micro_version" \>= "$sdcc_micro_min" `
+
+               if test "$sdcc_version_proper" = "1" ; then
+                       { $as_echo "$as_me:$LINENO: result: $sdcc_major_version.$sdcc_minor_version.$sdcc_micro_version" >&5
+$as_echo "$sdcc_major_version.$sdcc_minor_version.$sdcc_micro_version" >&6; }
+               else
+                       sdccok=no
+                       { $as_echo "$as_me:$LINENO: result: USRP requires sdcc >= $sdcc_version_min. sdcc not found. See http://sdcc.sf.net" >&5
+$as_echo "USRP requires sdcc >= $sdcc_version_min. sdcc not found. See http://sdcc.sf.net" >&6; }
+               fi
+
+
+
+       fi
+
+       if test $sdccok = yes; then
+               :
+       else
+               passed=no;{ $as_echo "$as_me:$LINENO: result: Unable to find firmware compiler SDCC." >&5
+$as_echo "Unable to find firmware compiler SDCC." >&6; }
+       fi
+
+    fi
+    if test $passed != with; then
+               usrp_INCLUDES="-I\${abs_top_srcdir}/usrp/host/lib/legacy \
+               -I\${abs_top_srcdir}/usrp/firmware/include \
+               -I\${abs_top_builddir}/usrp/host/lib/legacy"
+        usrp_LA="\${abs_top_builddir}/usrp/host/lib/legacy/libusrp.la"
+    fi
+
+                case "$host_os" in
+      darwin*)
+        usrp_darwin_omnithread_pc_requires="gnuradio-omnithread"
+        ;;
+      *)         usrp_darwin_omnithread_pc_requires=""
+        ;;
+    esac
+
+
+    ac_config_files="$ac_config_files usrp/Makefile usrp/usrp.pc usrp/usrp.iss usrp/doc/Doxyfile usrp/doc/Makefile usrp/doc/other/Makefile usrp/host/Makefile usrp/host/misc/Makefile usrp/host/lib/Makefile usrp/host/lib/legacy/Makefile usrp/host/lib/legacy/std_paths.h usrp/host/swig/Makefile usrp/host/apps/Makefile usrp/firmware/Makefile usrp/firmware/include/Makefile usrp/firmware/lib/Makefile usrp/firmware/src/Makefile usrp/firmware/src/common/Makefile usrp/firmware/src/usrp2/Makefile usrp/fpga/Makefile usrp/fpga/rbf/Makefile usrp/fpga/rbf/rev2/Makefile usrp/fpga/rbf/rev4/Makefile"
+
+
+
+
+    usrp_with=no
+    if test $passed = no; then
+       if test x$enable_usrp = xyes; then
+           { { $as_echo "$as_me:$LINENO: error: Component usrp has errors; stopping." >&5
+$as_echo "$as_me: error: Component usrp has errors; stopping." >&2;}
+   { (exit 1); exit 1; }; }
+       else
+           { $as_echo "$as_me:$LINENO: result: Not building component usrp." >&5
+$as_echo "Not building component usrp." >&6; }
+       fi
+    else
+        if test $passed = with; then
+           with_dirs="$with_dirs usrp"
+
+    if test "x${usrp_INCLUDES}" != "x"; then
+        if test "x$with_INCLUDES" = "x"; then
+            with_INCLUDES="${usrp_INCLUDES}"
+       else
+           with_INCLUDES="${usrp_INCLUDES}"" ""$with_INCLUDES"
+       fi
+       usrp_INCLUDES=
+    fi
+
+
+    if test "x${usrp_SWIG_INCLUDES}" != "x"; then
+        if test "x$with_SWIG_INCLUDES" = "x"; then
+            with_SWIG_INCLUDES="${usrp_SWIG_INCLUDES}"
+       else
+           with_SWIG_INCLUDES="${usrp_SWIG_INCLUDES}"" ""$with_SWIG_INCLUDES"
+       fi
+       usrp_SWIG_INCLUDES=
+    fi
+
+
+    if test "x${usrp_PYDIRPATH}" != "x"; then
+        if test "x$with_PYDIRPATH" = "x"; then
+            with_PYDIRPATH="${usrp_PYDIRPATH}"
+       else
+           with_PYDIRPATH="${usrp_PYDIRPATH}"":""$with_PYDIRPATH"
+       fi
+       usrp_PYDIRPATH=
+    fi
+
+
+    if test "x${usrp_SWIGDIRPATH}" != "x"; then
+        if test "x$with_SWIGDIRPATH" = "x"; then
+            with_SWIGDIRPATH="${usrp_SWIGDIRPATH}"
+       else
+           with_SWIGDIRPATH="${usrp_SWIGDIRPATH}"":""$with_SWIGDIRPATH"
+       fi
+       usrp_SWIGDIRPATH=
+    fi
+
+
+    if test "x${usrp_LIBDIRPATH}" != "x"; then
+        if test "x$with_LIBDIRPATH" = "x"; then
+            with_LIBDIRPATH="${usrp_LIBDIRPATH}"
+       else
+           with_LIBDIRPATH="${usrp_LIBDIRPATH}"":""$with_LIBDIRPATH"
+       fi
+       usrp_LIBDIRPATH=
+    fi
+
+           { $as_echo "$as_me:$LINENO: result: Component usrp will be included from a pre-installed library and includes." >&5
+$as_echo "Component usrp will be included from a pre-installed library and includes." >&6; }
+           usrp_with=yes
+       else
+           usrp_LDFLAG=
+            if test x$enable_usrp != xno; then
+               :
+               build_dirs="$build_dirs usrp"
+               { $as_echo "$as_me:$LINENO: result: Component usrp passed configuration checks; building." >&5
+$as_echo "Component usrp passed configuration checks; building." >&6; }
+            else
+               passed=no
+               { $as_echo "$as_me:$LINENO: result: Component usrp passed configuration checks; but not building." >&5
+$as_echo "Component usrp passed configuration checks; but not building." >&6; }
+           fi
+       fi
+    fi
+    if test $passed = no; then
+        skipped_dirs="$skipped_dirs usrp"
+        usrp_skipped=yes
+    else
+        usrp_skipped=no
+    fi
+
+
+
+
+
+
+
+
+
+
+
+
+
+    passed=yes
+    # Check whether --enable-usrp2 was given.
+if test "${enable_usrp2+set}" = set; then
+  enableval=$enable_usrp2;
+else
+
+        enable_usrp2=$enable_all_components
+        if test x$enable_all_components = xno; then
+            passed=no
+        fi
+
+fi
+
+
+
+
+        subdirs="$subdirs usrp2/firmware"
+
+
+
+    if test $passed != no; then
+        if test $passed = yes; then
+                        if test x$gruel_skipped = xyes; then
+                { $as_echo "$as_me:$LINENO: result: Component usrp2 requires gruel, which is not being built or specified via pre-installed files." >&5
+$as_echo "Component usrp2 requires gruel, which is not being built or specified via pre-installed files." >&6; }
+                passed=no
+            fi
+        else
+                        if test x$gruel_with = xno; then
+                { { $as_echo "$as_me:$LINENO: error: Component usrp2 requires gruel to be included as --with-gruel[=arg]" >&5
+$as_echo "$as_me: error: Component usrp2 requires gruel to be included as --with-gruel[=arg]" >&2;}
+   { (exit 1); exit 1; }; }
+            fi
+        fi
+    fi
+
+
+    if test $passed != no; then
+        if test $passed = yes; then
+                        if test x$omnithread_skipped = xyes; then
+                { $as_echo "$as_me:$LINENO: result: Component usrp2 requires omnithread, which is not being built or specified via pre-installed files." >&5
+$as_echo "Component usrp2 requires omnithread, which is not being built or specified via pre-installed files." >&6; }
+                passed=no
+            fi
+        else
+                        if test x$omnithread_with = xno; then
+                { { $as_echo "$as_me:$LINENO: error: Component usrp2 requires omnithread to be included as --with-omnithread[=arg]" >&5
+$as_echo "$as_me: error: Component usrp2 requires omnithread to be included as --with-omnithread[=arg]" >&2;}
+   { (exit 1); exit 1; }; }
+            fi
+        fi
+    fi
+
+
+        { $as_echo "$as_me:$LINENO: checking whether host_os is linux*" >&5
+$as_echo_n "checking whether host_os is linux*... " >&6; }
+    case "$host_os" in
+      linux*)
+       { $as_echo "$as_me:$LINENO: result: yes" >&5
+$as_echo "yes" >&6; }
+        ;;
+      *)
+       { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+       { $as_echo "$as_me:$LINENO: USRP2 currently requires Linux host OS, not found" >&5
+$as_echo "$as_me: USRP2 currently requires Linux host OS, not found" >&6;}
+        passed="no"
+        ;;
+    esac
+
+                    if test $passed = yes; then
+
+
+
+
+
+for ac_header in arpa/inet.h byteswap.h linux/if_packet.h sys/socket.h sys/un.h
+do
+as_ac_Header=`$as_echo "ac_cv_header_$ac_header" | $as_tr_sh`
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  { $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
+$as_echo_n "checking for $ac_header... " >&6; }
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+fi
+ac_res=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+else
+  # Is the header compilable?
+{ $as_echo "$as_me:$LINENO: checking $ac_header usability" >&5
+$as_echo_n "checking $ac_header usability... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+$ac_includes_default
+#include <$ac_header>
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_header_compiler=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_header_compiler=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_compiler" >&5
+$as_echo "$ac_header_compiler" >&6; }
+
+# Is the header present?
+{ $as_echo "$as_me:$LINENO: checking $ac_header presence" >&5
+$as_echo_n "checking $ac_header presence... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <$ac_header>
+_ACEOF
+if { (ac_try="$ac_cpp conftest.$ac_ext"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } >/dev/null && {
+        test -z "$ac_c_preproc_warn_flag$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       }; then
+  ac_header_preproc=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+  ac_header_preproc=no
+fi
+
+rm -f conftest.err conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_preproc" >&5
+$as_echo "$ac_header_preproc" >&6; }
+
+# So?  What about this header?
+case $ac_header_compiler:$ac_header_preproc:$ac_c_preproc_warn_flag in
+  yes:no: )
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: accepted by the compiler, rejected by the preprocessor!" >&5
+$as_echo "$as_me: WARNING: $ac_header: accepted by the compiler, rejected by the preprocessor!" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: proceeding with the compiler's result" >&5
+$as_echo "$as_me: WARNING: $ac_header: proceeding with the compiler's result" >&2;}
+    ac_header_preproc=yes
+    ;;
+  no:yes:* )
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: present but cannot be compiled" >&5
+$as_echo "$as_me: WARNING: $ac_header: present but cannot be compiled" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header:     check for missing prerequisite headers?" >&5
+$as_echo "$as_me: WARNING: $ac_header:     check for missing prerequisite headers?" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: see the Autoconf documentation" >&5
+$as_echo "$as_me: WARNING: $ac_header: see the Autoconf documentation" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header:     section \"Present But Cannot Be Compiled\"" >&5
+$as_echo "$as_me: WARNING: $ac_header:     section \"Present But Cannot Be Compiled\"" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: proceeding with the preprocessor's result" >&5
+$as_echo "$as_me: WARNING: $ac_header: proceeding with the preprocessor's result" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: in the future, the compiler will take precedence" >&5
+$as_echo "$as_me: WARNING: $ac_header: in the future, the compiler will take precedence" >&2;}
+
+    ;;
+esac
+{ $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
+$as_echo_n "checking for $ac_header... " >&6; }
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  eval "$as_ac_Header=\$ac_header_preproc"
+fi
+ac_res=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+
+fi
+as_val=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+   if test "x$as_val" = x""yes; then
+  cat >>confdefs.h <<_ACEOF
+#define `$as_echo "HAVE_$ac_header" | $as_tr_cpp` 1
+_ACEOF
+
+fi
+
+done
+
+       { $as_echo "$as_me:$LINENO: checking for struct msghdr.msg_control" >&5
+$as_echo_n "checking for struct msghdr.msg_control... " >&6; }
+if test "${ac_cv_member_struct_msghdr_msg_control+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#define __USE_GNU 1
+                         #include <sys/types.h>
+                         #include <sys/socket.h>
+                         #include <sys/un.h>
+
+int
+main ()
+{
+static struct msghdr ac_aggr;
+if (ac_aggr.msg_control)
+return 0;
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_cv_member_struct_msghdr_msg_control=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#define __USE_GNU 1
+                         #include <sys/types.h>
+                         #include <sys/socket.h>
+                         #include <sys/un.h>
+
+int
+main ()
+{
+static struct msghdr ac_aggr;
+if (sizeof ac_aggr.msg_control)
+return 0;
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_cv_member_struct_msghdr_msg_control=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_member_struct_msghdr_msg_control=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_member_struct_msghdr_msg_control" >&5
+$as_echo "$ac_cv_member_struct_msghdr_msg_control" >&6; }
+if test "x$ac_cv_member_struct_msghdr_msg_control" = x""yes; then
+
+cat >>confdefs.h <<_ACEOF
+#define HAVE_STRUCT_MSGHDR_MSG_CONTROL 1
+_ACEOF
+
+
+fi
+{ $as_echo "$as_me:$LINENO: checking for struct msghdr.msg_accrights" >&5
+$as_echo_n "checking for struct msghdr.msg_accrights... " >&6; }
+if test "${ac_cv_member_struct_msghdr_msg_accrights+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#define __USE_GNU 1
+                         #include <sys/types.h>
+                         #include <sys/socket.h>
+                         #include <sys/un.h>
+
+int
+main ()
+{
+static struct msghdr ac_aggr;
+if (ac_aggr.msg_accrights)
+return 0;
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_cv_member_struct_msghdr_msg_accrights=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#define __USE_GNU 1
+                         #include <sys/types.h>
+                         #include <sys/socket.h>
+                         #include <sys/un.h>
+
+int
+main ()
+{
+static struct msghdr ac_aggr;
+if (sizeof ac_aggr.msg_accrights)
+return 0;
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_cv_member_struct_msghdr_msg_accrights=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_member_struct_msghdr_msg_accrights=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_member_struct_msghdr_msg_accrights" >&5
+$as_echo "$ac_cv_member_struct_msghdr_msg_accrights" >&6; }
+if test "x$ac_cv_member_struct_msghdr_msg_accrights" = x""yes; then
+
+cat >>confdefs.h <<_ACEOF
+#define HAVE_STRUCT_MSGHDR_MSG_ACCRIGHTS 1
+_ACEOF
+
+
+fi
+{ $as_echo "$as_me:$LINENO: checking for struct cmsgcred.cmcred_uid" >&5
+$as_echo_n "checking for struct cmsgcred.cmcred_uid... " >&6; }
+if test "${ac_cv_member_struct_cmsgcred_cmcred_uid+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#define __USE_GNU 1
+                         #include <sys/types.h>
+                         #include <sys/socket.h>
+                         #include <sys/un.h>
+
+int
+main ()
+{
+static struct cmsgcred ac_aggr;
+if (ac_aggr.cmcred_uid)
+return 0;
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_cv_member_struct_cmsgcred_cmcred_uid=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#define __USE_GNU 1
+                         #include <sys/types.h>
+                         #include <sys/socket.h>
+                         #include <sys/un.h>
+
+int
+main ()
+{
+static struct cmsgcred ac_aggr;
+if (sizeof ac_aggr.cmcred_uid)
+return 0;
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_cv_member_struct_cmsgcred_cmcred_uid=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_member_struct_cmsgcred_cmcred_uid=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_member_struct_cmsgcred_cmcred_uid" >&5
+$as_echo "$ac_cv_member_struct_cmsgcred_cmcred_uid" >&6; }
+if test "x$ac_cv_member_struct_cmsgcred_cmcred_uid" = x""yes; then
+
+cat >>confdefs.h <<_ACEOF
+#define HAVE_STRUCT_CMSGCRED_CMCRED_UID 1
+_ACEOF
+
+
+fi
+{ $as_echo "$as_me:$LINENO: checking for struct ucred.uid" >&5
+$as_echo_n "checking for struct ucred.uid... " >&6; }
+if test "${ac_cv_member_struct_ucred_uid+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#define __USE_GNU 1
+                         #include <sys/types.h>
+                         #include <sys/socket.h>
+                         #include <sys/un.h>
+
+int
+main ()
+{
+static struct ucred ac_aggr;
+if (ac_aggr.uid)
+return 0;
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_cv_member_struct_ucred_uid=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#define __USE_GNU 1
+                         #include <sys/types.h>
+                         #include <sys/socket.h>
+                         #include <sys/un.h>
+
+int
+main ()
+{
+static struct ucred ac_aggr;
+if (sizeof ac_aggr.uid)
+return 0;
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_cv_member_struct_ucred_uid=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_member_struct_ucred_uid=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_member_struct_ucred_uid" >&5
+$as_echo "$ac_cv_member_struct_ucred_uid" >&6; }
+if test "x$ac_cv_member_struct_ucred_uid" = x""yes; then
+
+cat >>confdefs.h <<_ACEOF
+#define HAVE_STRUCT_UCRED_UID 1
+_ACEOF
+
+
+fi
+
+    fi
+    if test $passed != with; then
+               USRP2_INCLUDES="-I\${abs_top_srcdir}/usrp2/host/include \
+               -I\${abs_top_srcdir}/usrp2/firmware/include"
+        USRP2_LA="\${abs_top_builddir}/usrp2/host/lib/libusrp2.la"
+    fi
+
+
+
+
+    ac_config_files="$ac_config_files usrp2/Makefile usrp2/host/Makefile usrp2/host/usrp2.pc usrp2/host/include/Makefile usrp2/host/include/usrp2/Makefile usrp2/host/lib/Makefile usrp2/host/apps/Makefile"
+
+
+
+
+    usrp2_with=no
+    if test $passed = no; then
+       if test x$enable_usrp2 = xyes; then
+           { { $as_echo "$as_me:$LINENO: error: Component usrp2 has errors; stopping." >&5
+$as_echo "$as_me: error: Component usrp2 has errors; stopping." >&2;}
+   { (exit 1); exit 1; }; }
+       else
+           { $as_echo "$as_me:$LINENO: result: Not building component usrp2." >&5
+$as_echo "Not building component usrp2." >&6; }
+       fi
+    else
+        if test $passed = with; then
+           with_dirs="$with_dirs usrp2"
+
+    if test "x${usrp2_INCLUDES}" != "x"; then
+        if test "x$with_INCLUDES" = "x"; then
+            with_INCLUDES="${usrp2_INCLUDES}"
+       else
+           with_INCLUDES="${usrp2_INCLUDES}"" ""$with_INCLUDES"
+       fi
+       usrp2_INCLUDES=
+    fi
+
+
+    if test "x${usrp2_SWIG_INCLUDES}" != "x"; then
+        if test "x$with_SWIG_INCLUDES" = "x"; then
+            with_SWIG_INCLUDES="${usrp2_SWIG_INCLUDES}"
+       else
+           with_SWIG_INCLUDES="${usrp2_SWIG_INCLUDES}"" ""$with_SWIG_INCLUDES"
+       fi
+       usrp2_SWIG_INCLUDES=
+    fi
+
+
+    if test "x${usrp2_PYDIRPATH}" != "x"; then
+        if test "x$with_PYDIRPATH" = "x"; then
+            with_PYDIRPATH="${usrp2_PYDIRPATH}"
+       else
+           with_PYDIRPATH="${usrp2_PYDIRPATH}"":""$with_PYDIRPATH"
+       fi
+       usrp2_PYDIRPATH=
+    fi
+
+
+    if test "x${usrp2_SWIGDIRPATH}" != "x"; then
+        if test "x$with_SWIGDIRPATH" = "x"; then
+            with_SWIGDIRPATH="${usrp2_SWIGDIRPATH}"
+       else
+           with_SWIGDIRPATH="${usrp2_SWIGDIRPATH}"":""$with_SWIGDIRPATH"
+       fi
+       usrp2_SWIGDIRPATH=
+    fi
+
+
+    if test "x${usrp2_LIBDIRPATH}" != "x"; then
+        if test "x$with_LIBDIRPATH" = "x"; then
+            with_LIBDIRPATH="${usrp2_LIBDIRPATH}"
+       else
+           with_LIBDIRPATH="${usrp2_LIBDIRPATH}"":""$with_LIBDIRPATH"
+       fi
+       usrp2_LIBDIRPATH=
+    fi
+
+           { $as_echo "$as_me:$LINENO: result: Component usrp2 will be included from a pre-installed library and includes." >&5
+$as_echo "Component usrp2 will be included from a pre-installed library and includes." >&6; }
+           usrp2_with=yes
+       else
+           usrp2_LDFLAG=
+            if test x$enable_usrp2 != xno; then
+               :
+               build_dirs="$build_dirs usrp2"
+               { $as_echo "$as_me:$LINENO: result: Component usrp2 passed configuration checks; building." >&5
+$as_echo "Component usrp2 passed configuration checks; building." >&6; }
+            else
+               passed=no
+               { $as_echo "$as_me:$LINENO: result: Component usrp2 passed configuration checks; but not building." >&5
+$as_echo "Component usrp2 passed configuration checks; but not building." >&6; }
+           fi
+       fi
+    fi
+    if test $passed = no; then
+        skipped_dirs="$skipped_dirs usrp2"
+        usrp2_skipped=yes
+    else
+        usrp2_skipped=no
+    fi
+
+
+
+
+
+
+
+
+
+
+
+    passed=yes
+    # Check whether --enable-gr-usrp was given.
+if test "${enable_gr_usrp+set}" = set; then
+  enableval=$enable_gr_usrp;
+else
+
+        enable_gr_usrp=$enable_all_components
+        if test x$enable_all_components = xno; then
+            passed=no
+        fi
+
+fi
+
+
+
+
+
+    if test $passed != no; then
+        if test $passed = yes; then
+                        if test x$usrp_skipped = xyes; then
+                { $as_echo "$as_me:$LINENO: result: Component gr-usrp requires usrp, which is not being built or specified via pre-installed files." >&5
+$as_echo "Component gr-usrp requires usrp, which is not being built or specified via pre-installed files." >&6; }
+                passed=no
+            fi
+        else
+                        if test x$usrp_with = xno; then
+                { { $as_echo "$as_me:$LINENO: error: Component gr-usrp requires usrp to be included as --with-usrp[=arg]" >&5
+$as_echo "$as_me: error: Component gr-usrp requires usrp to be included as --with-usrp[=arg]" >&2;}
+   { (exit 1); exit 1; }; }
+            fi
+        fi
+    fi
+
+
+    if test $passed != no; then
+        if test $passed = yes; then
+                        if test x$gnuradio_core_skipped = xyes; then
+                { $as_echo "$as_me:$LINENO: result: Component gr-usrp requires gnuradio-core, which is not being built or specified via pre-installed files." >&5
+$as_echo "Component gr-usrp requires gnuradio-core, which is not being built or specified via pre-installed files." >&6; }
+                passed=no
+            fi
+        else
+                        if test x$gnuradio_core_with = xno; then
+                { { $as_echo "$as_me:$LINENO: error: Component gr-usrp requires gnuradio-core to be included as --with-gnuradio-core[=arg]" >&5
+$as_echo "$as_me: error: Component gr-usrp requires gnuradio-core to be included as --with-gnuradio-core[=arg]" >&2;}
+   { (exit 1); exit 1; }; }
+            fi
+        fi
+    fi
+
+
+    ac_config_files="$ac_config_files gr-usrp/Makefile gr-usrp/gnuradio-usrp.pc gr-usrp/src/Makefile gr-usrp/src/run_tests gr-usrp/apps/Makefile"
+
+
+
+
+    gr_usrp_with=no
+    if test $passed = no; then
+       if test x$enable_gr_usrp = xyes; then
+           { { $as_echo "$as_me:$LINENO: error: Component gr-usrp has errors; stopping." >&5
+$as_echo "$as_me: error: Component gr-usrp has errors; stopping." >&2;}
+   { (exit 1); exit 1; }; }
+       else
+           { $as_echo "$as_me:$LINENO: result: Not building component gr-usrp." >&5
+$as_echo "Not building component gr-usrp." >&6; }
+       fi
+    else
+        if test $passed = with; then
+           with_dirs="$with_dirs gr-usrp"
+
+    if test "x${gr_usrp_INCLUDES}" != "x"; then
+        if test "x$with_INCLUDES" = "x"; then
+            with_INCLUDES="${gr_usrp_INCLUDES}"
+       else
+           with_INCLUDES="${gr_usrp_INCLUDES}"" ""$with_INCLUDES"
+       fi
+       gr_usrp_INCLUDES=
+    fi
+
+
+    if test "x${gr_usrp_SWIG_INCLUDES}" != "x"; then
+        if test "x$with_SWIG_INCLUDES" = "x"; then
+            with_SWIG_INCLUDES="${gr_usrp_SWIG_INCLUDES}"
+       else
+           with_SWIG_INCLUDES="${gr_usrp_SWIG_INCLUDES}"" ""$with_SWIG_INCLUDES"
+       fi
+       gr_usrp_SWIG_INCLUDES=
+    fi
+
+
+    if test "x${gr_usrp_PYDIRPATH}" != "x"; then
+        if test "x$with_PYDIRPATH" = "x"; then
+            with_PYDIRPATH="${gr_usrp_PYDIRPATH}"
+       else
+           with_PYDIRPATH="${gr_usrp_PYDIRPATH}"":""$with_PYDIRPATH"
+       fi
+       gr_usrp_PYDIRPATH=
+    fi
+
+
+    if test "x${gr_usrp_SWIGDIRPATH}" != "x"; then
+        if test "x$with_SWIGDIRPATH" = "x"; then
+            with_SWIGDIRPATH="${gr_usrp_SWIGDIRPATH}"
+       else
+           with_SWIGDIRPATH="${gr_usrp_SWIGDIRPATH}"":""$with_SWIGDIRPATH"
+       fi
+       gr_usrp_SWIGDIRPATH=
+    fi
+
+
+    if test "x${gr_usrp_LIBDIRPATH}" != "x"; then
+        if test "x$with_LIBDIRPATH" = "x"; then
+            with_LIBDIRPATH="${gr_usrp_LIBDIRPATH}"
+       else
+           with_LIBDIRPATH="${gr_usrp_LIBDIRPATH}"":""$with_LIBDIRPATH"
+       fi
+       gr_usrp_LIBDIRPATH=
+    fi
+
+           { $as_echo "$as_me:$LINENO: result: Component gr-usrp will be included from a pre-installed library and includes." >&5
+$as_echo "Component gr-usrp will be included from a pre-installed library and includes." >&6; }
+           gr_usrp_with=yes
+       else
+           gr_usrp_LDFLAG=
+            if test x$enable_gr_usrp != xno; then
+                       ac_config_commands="$ac_config_commands run_tests_usrp"
+
+
+               build_dirs="$build_dirs gr-usrp"
+               { $as_echo "$as_me:$LINENO: result: Component gr-usrp passed configuration checks; building." >&5
+$as_echo "Component gr-usrp passed configuration checks; building." >&6; }
+            else
+               passed=no
+               { $as_echo "$as_me:$LINENO: result: Component gr-usrp passed configuration checks; but not building." >&5
+$as_echo "Component gr-usrp passed configuration checks; but not building." >&6; }
+           fi
+       fi
+    fi
+    if test $passed = no; then
+        skipped_dirs="$skipped_dirs gr-usrp"
+        gr_usrp_skipped=yes
+    else
+        gr_usrp_skipped=no
+    fi
+
+
+
+
+
+
+
+
+
+
+    passed=yes
+    # Check whether --enable-gr-usrp2 was given.
+if test "${enable_gr_usrp2+set}" = set; then
+  enableval=$enable_gr_usrp2;
+else
+
+        enable_gr_usrp2=$enable_all_components
+        if test x$enable_all_components = xno; then
+            passed=no
+        fi
+
+fi
+
+
+
+
+
+    if test $passed != no; then
+        if test $passed = yes; then
+                        if test x$usrp2_skipped = xyes; then
+                { $as_echo "$as_me:$LINENO: result: Component gr-usrp2 requires usrp2, which is not being built or specified via pre-installed files." >&5
+$as_echo "Component gr-usrp2 requires usrp2, which is not being built or specified via pre-installed files." >&6; }
+                passed=no
+            fi
+        else
+                        if test x$usrp2_with = xno; then
+                { { $as_echo "$as_me:$LINENO: error: Component gr-usrp2 requires usrp2 to be included as --with-usrp2[=arg]" >&5
+$as_echo "$as_me: error: Component gr-usrp2 requires usrp2 to be included as --with-usrp2[=arg]" >&2;}
+   { (exit 1); exit 1; }; }
+            fi
+        fi
+    fi
+
+
+    if test $passed != no; then
+        if test $passed = yes; then
+                        if test x$gnuradio_core_skipped = xyes; then
+                { $as_echo "$as_me:$LINENO: result: Component gr-usrp2 requires gnuradio-core, which is not being built or specified via pre-installed files." >&5
+$as_echo "Component gr-usrp2 requires gnuradio-core, which is not being built or specified via pre-installed files." >&6; }
+                passed=no
+            fi
+        else
+                        if test x$gnuradio_core_with = xno; then
+                { { $as_echo "$as_me:$LINENO: error: Component gr-usrp2 requires gnuradio-core to be included as --with-gnuradio-core[=arg]" >&5
+$as_echo "$as_me: error: Component gr-usrp2 requires gnuradio-core to be included as --with-gnuradio-core[=arg]" >&2;}
+   { (exit 1); exit 1; }; }
+            fi
+        fi
+    fi
+
+
+    ac_config_files="$ac_config_files gr-usrp2/Makefile gr-usrp2/gnuradio-usrp2.pc gr-usrp2/src/Makefile gr-usrp2/src/run_tests"
+
+
+
+
+    gr_usrp2_with=no
+    if test $passed = no; then
+       if test x$enable_gr_usrp2 = xyes; then
+           { { $as_echo "$as_me:$LINENO: error: Component gr-usrp2 has errors; stopping." >&5
+$as_echo "$as_me: error: Component gr-usrp2 has errors; stopping." >&2;}
+   { (exit 1); exit 1; }; }
+       else
+           { $as_echo "$as_me:$LINENO: result: Not building component gr-usrp2." >&5
+$as_echo "Not building component gr-usrp2." >&6; }
+       fi
+    else
+        if test $passed = with; then
+           with_dirs="$with_dirs gr-usrp2"
+
+    if test "x${gr_usrp2_INCLUDES}" != "x"; then
+        if test "x$with_INCLUDES" = "x"; then
+            with_INCLUDES="${gr_usrp2_INCLUDES}"
+       else
+           with_INCLUDES="${gr_usrp2_INCLUDES}"" ""$with_INCLUDES"
+       fi
+       gr_usrp2_INCLUDES=
+    fi
+
+
+    if test "x${gr_usrp2_SWIG_INCLUDES}" != "x"; then
+        if test "x$with_SWIG_INCLUDES" = "x"; then
+            with_SWIG_INCLUDES="${gr_usrp2_SWIG_INCLUDES}"
+       else
+           with_SWIG_INCLUDES="${gr_usrp2_SWIG_INCLUDES}"" ""$with_SWIG_INCLUDES"
+       fi
+       gr_usrp2_SWIG_INCLUDES=
+    fi
+
+
+    if test "x${gr_usrp2_PYDIRPATH}" != "x"; then
+        if test "x$with_PYDIRPATH" = "x"; then
+            with_PYDIRPATH="${gr_usrp2_PYDIRPATH}"
+       else
+           with_PYDIRPATH="${gr_usrp2_PYDIRPATH}"":""$with_PYDIRPATH"
+       fi
+       gr_usrp2_PYDIRPATH=
+    fi
+
+
+    if test "x${gr_usrp2_SWIGDIRPATH}" != "x"; then
+        if test "x$with_SWIGDIRPATH" = "x"; then
+            with_SWIGDIRPATH="${gr_usrp2_SWIGDIRPATH}"
+       else
+           with_SWIGDIRPATH="${gr_usrp2_SWIGDIRPATH}"":""$with_SWIGDIRPATH"
+       fi
+       gr_usrp2_SWIGDIRPATH=
+    fi
+
+
+    if test "x${gr_usrp2_LIBDIRPATH}" != "x"; then
+        if test "x$with_LIBDIRPATH" = "x"; then
+            with_LIBDIRPATH="${gr_usrp2_LIBDIRPATH}"
+       else
+           with_LIBDIRPATH="${gr_usrp2_LIBDIRPATH}"":""$with_LIBDIRPATH"
+       fi
+       gr_usrp2_LIBDIRPATH=
+    fi
+
+           { $as_echo "$as_me:$LINENO: result: Component gr-usrp2 will be included from a pre-installed library and includes." >&5
+$as_echo "Component gr-usrp2 will be included from a pre-installed library and includes." >&6; }
+           gr_usrp2_with=yes
+       else
+           gr_usrp2_LDFLAG=
+            if test x$enable_gr_usrp2 != xno; then
+                       ac_config_commands="$ac_config_commands run_tests_usrp2"
+
+
+               build_dirs="$build_dirs gr-usrp2"
+               { $as_echo "$as_me:$LINENO: result: Component gr-usrp2 passed configuration checks; building." >&5
+$as_echo "Component gr-usrp2 passed configuration checks; building." >&6; }
+            else
+               passed=no
+               { $as_echo "$as_me:$LINENO: result: Component gr-usrp2 passed configuration checks; but not building." >&5
+$as_echo "Component gr-usrp2 passed configuration checks; but not building." >&6; }
+           fi
+       fi
+    fi
+    if test $passed = no; then
+        skipped_dirs="$skipped_dirs gr-usrp2"
+        gr_usrp2_skipped=yes
+    else
+        gr_usrp2_skipped=no
+    fi
+
+
+
+
+
+
+
+
+
+
+
+    passed=yes
+    # Check whether --enable-gr-gcell was given.
+if test "${enable_gr_gcell+set}" = set; then
+  enableval=$enable_gr_gcell;
+else
+
+        enable_gr_gcell=$enable_all_components
+        if test x$enable_all_components = xno; then
+            passed=no
+        fi
+
+fi
+
+
+
+
+
+    if test $passed != no; then
+        if test $passed = yes; then
+                        if test x$gcell_skipped = xyes; then
+                { $as_echo "$as_me:$LINENO: result: Component gr-gcell requires gcell, which is not being built or specified via pre-installed files." >&5
+$as_echo "Component gr-gcell requires gcell, which is not being built or specified via pre-installed files." >&6; }
+                passed=no
+            fi
+        else
+                        if test x$gcell_with = xno; then
+                { { $as_echo "$as_me:$LINENO: error: Component gr-gcell requires gcell to be included as --with-gcell[=arg]" >&5
+$as_echo "$as_me: error: Component gr-gcell requires gcell to be included as --with-gcell[=arg]" >&2;}
+   { (exit 1); exit 1; }; }
+            fi
+        fi
+    fi
+
+
+    if test $passed != no; then
+        if test $passed = yes; then
+                        if test x$gnuradio_core_skipped = xyes; then
+                { $as_echo "$as_me:$LINENO: result: Component gr-gcell requires gnuradio-core, which is not being built or specified via pre-installed files." >&5
+$as_echo "Component gr-gcell requires gnuradio-core, which is not being built or specified via pre-installed files." >&6; }
+                passed=no
+            fi
+        else
+                        if test x$gnuradio_core_with = xno; then
+                { { $as_echo "$as_me:$LINENO: error: Component gr-gcell requires gnuradio-core to be included as --with-gnuradio-core[=arg]" >&5
+$as_echo "$as_me: error: Component gr-gcell requires gnuradio-core to be included as --with-gnuradio-core[=arg]" >&2;}
+   { (exit 1); exit 1; }; }
+            fi
+        fi
+    fi
+
+
+    ac_config_files="$ac_config_files gr-gcell/Makefile gr-gcell/src/Makefile gr-gcell/src/examples/Makefile gr-gcell/src/run_tests"
+
+
+
+
+    gr_gcell_with=no
+    if test $passed = no; then
+       if test x$enable_gr_gcell = xyes; then
+           { { $as_echo "$as_me:$LINENO: error: Component gr-gcell has errors; stopping." >&5
+$as_echo "$as_me: error: Component gr-gcell has errors; stopping." >&2;}
+   { (exit 1); exit 1; }; }
+       else
+           { $as_echo "$as_me:$LINENO: result: Not building component gr-gcell." >&5
+$as_echo "Not building component gr-gcell." >&6; }
+       fi
+    else
+        if test $passed = with; then
+           with_dirs="$with_dirs gr-gcell"
+
+    if test "x${gr_gcell_INCLUDES}" != "x"; then
+        if test "x$with_INCLUDES" = "x"; then
+            with_INCLUDES="${gr_gcell_INCLUDES}"
+       else
+           with_INCLUDES="${gr_gcell_INCLUDES}"" ""$with_INCLUDES"
+       fi
+       gr_gcell_INCLUDES=
+    fi
+
+
+    if test "x${gr_gcell_SWIG_INCLUDES}" != "x"; then
+        if test "x$with_SWIG_INCLUDES" = "x"; then
+            with_SWIG_INCLUDES="${gr_gcell_SWIG_INCLUDES}"
+       else
+           with_SWIG_INCLUDES="${gr_gcell_SWIG_INCLUDES}"" ""$with_SWIG_INCLUDES"
+       fi
+       gr_gcell_SWIG_INCLUDES=
+    fi
+
+
+    if test "x${gr_gcell_PYDIRPATH}" != "x"; then
+        if test "x$with_PYDIRPATH" = "x"; then
+            with_PYDIRPATH="${gr_gcell_PYDIRPATH}"
+       else
+           with_PYDIRPATH="${gr_gcell_PYDIRPATH}"":""$with_PYDIRPATH"
+       fi
+       gr_gcell_PYDIRPATH=
+    fi
+
+
+    if test "x${gr_gcell_SWIGDIRPATH}" != "x"; then
+        if test "x$with_SWIGDIRPATH" = "x"; then
+            with_SWIGDIRPATH="${gr_gcell_SWIGDIRPATH}"
+       else
+           with_SWIGDIRPATH="${gr_gcell_SWIGDIRPATH}"":""$with_SWIGDIRPATH"
+       fi
+       gr_gcell_SWIGDIRPATH=
+    fi
+
+
+    if test "x${gr_gcell_LIBDIRPATH}" != "x"; then
+        if test "x$with_LIBDIRPATH" = "x"; then
+            with_LIBDIRPATH="${gr_gcell_LIBDIRPATH}"
+       else
+           with_LIBDIRPATH="${gr_gcell_LIBDIRPATH}"":""$with_LIBDIRPATH"
+       fi
+       gr_gcell_LIBDIRPATH=
+    fi
+
+           { $as_echo "$as_me:$LINENO: result: Component gr-gcell will be included from a pre-installed library and includes." >&5
+$as_echo "Component gr-gcell will be included from a pre-installed library and includes." >&6; }
+           gr_gcell_with=yes
+       else
+           gr_gcell_LDFLAG=
+            if test x$enable_gr_gcell != xno; then
+                       ac_config_commands="$ac_config_commands run_tests_gcell"
+
+
+               build_dirs="$build_dirs gr-gcell"
+               { $as_echo "$as_me:$LINENO: result: Component gr-gcell passed configuration checks; building." >&5
+$as_echo "Component gr-gcell passed configuration checks; building." >&6; }
+            else
+               passed=no
+               { $as_echo "$as_me:$LINENO: result: Component gr-gcell passed configuration checks; but not building." >&5
+$as_echo "Component gr-gcell passed configuration checks; but not building." >&6; }
+           fi
+       fi
+    fi
+    if test $passed = no; then
+        skipped_dirs="$skipped_dirs gr-gcell"
+        gr_gcell_skipped=yes
+    else
+        gr_gcell_skipped=no
+    fi
+
+
+
+
+
+
+
+
+
+
+    passed=yes
+    # Check whether --enable-gr-audio-alsa was given.
+if test "${enable_gr_audio_alsa+set}" = set; then
+  enableval=$enable_gr_audio_alsa;
+else
+
+        enable_gr_audio_alsa=$enable_all_components
+        if test x$enable_all_components = xno; then
+            passed=no
+        fi
+
+fi
+
+
+
+
+
+    if test $passed != no; then
+        if test $passed = yes; then
+                        if test x$gnuradio_core_skipped = xyes; then
+                { $as_echo "$as_me:$LINENO: result: Component gr-audio-alsa requires gnuradio-core, which is not being built or specified via pre-installed files." >&5
+$as_echo "Component gr-audio-alsa requires gnuradio-core, which is not being built or specified via pre-installed files." >&6; }
+                passed=no
+            fi
+        else
+                        if test x$gnuradio_core_with = xno; then
+                { { $as_echo "$as_me:$LINENO: error: Component gr-audio-alsa requires gnuradio-core to be included as --with-gnuradio-core[=arg]" >&5
+$as_echo "$as_me: error: Component gr-audio-alsa requires gnuradio-core to be included as --with-gnuradio-core[=arg]" >&2;}
+   { (exit 1); exit 1; }; }
+            fi
+        fi
+    fi
+
+
+                    if test $passed = yes; then
+
+
+
+pkg_failed=no
+{ $as_echo "$as_me:$LINENO: checking for ALSA" >&5
+$as_echo_n "checking for ALSA... " >&6; }
+
+if test -n "$PKG_CONFIG"; then
+    if test -n "$ALSA_CFLAGS"; then
+        pkg_cv_ALSA_CFLAGS="$ALSA_CFLAGS"
+    else
+        if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"alsa >= 0.9\"") >&5
+  ($PKG_CONFIG --exists --print-errors "alsa >= 0.9") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  pkg_cv_ALSA_CFLAGS=`$PKG_CONFIG --cflags "alsa >= 0.9" 2>/dev/null`
+else
+  pkg_failed=yes
+fi
+    fi
+else
+       pkg_failed=untried
+fi
+
+if test x$cross_compiling = xyes
+then
+    if test -n "$PKG_CONFIG"; then
+    if test -n "$ALSA_LIBS"; then
+        pkg_cv_ALSA_LIBS="$ALSA_LIBS"
+    else
+        if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"alsa >= 0.9\"") >&5
+  ($PKG_CONFIG --exists --print-errors "alsa >= 0.9") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  pkg_cv_ALSA_LIBS=`$PKG_CONFIG --libs --static "alsa >= 0.9" 2>/dev/null`
+else
+  pkg_failed=yes
+fi
+    fi
+else
+       pkg_failed=untried
+fi
+    _pkg_tmp=
+  for flag in $pkg_cv_ALSA_LIBS; do
+    case $flag in
+    (-L/lib* | -L/usr/lib* )      ;; # ignore
+    (*) _pkg_tmp="$_pkg_tmp $flag" ;;
+    esac
+  done
+  pkg_cv_ALSA_LIBS="$_pkg_tmp"
+else
+  if test -n "$PKG_CONFIG"; then
+    if test -n "$ALSA_LIBS"; then
+        pkg_cv_ALSA_LIBS="$ALSA_LIBS"
+    else
+        if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"alsa >= 0.9\"") >&5
+  ($PKG_CONFIG --exists --print-errors "alsa >= 0.9") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  pkg_cv_ALSA_LIBS=`$PKG_CONFIG --libs --static "alsa >= 0.9" 2>/dev/null`
+else
+  pkg_failed=yes
+fi
+    fi
+else
+       pkg_failed=untried
+fi
+fi
+
+if test -n "$PKG_CONFIG"; then
+    if test -n "$ALSA_INCLUDEDIR"; then
+        pkg_cv_ALSA_INCLUDEDIR="$ALSA_INCLUDEDIR"
+    else
+        if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"alsa >= 0.9\"") >&5
+  ($PKG_CONFIG --exists --print-errors "alsa >= 0.9") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  pkg_cv_ALSA_INCLUDEDIR=`$PKG_CONFIG --variable=includedir "alsa >= 0.9" 2>/dev/null`
+else
+  pkg_failed=yes
+fi
+    fi
+else
+       pkg_failed=untried
+fi
+
+
+
+
+if test $pkg_failed = yes; then
+
+if $PKG_CONFIG --atleast-pkgconfig-version 0.20; then
+        _pkg_short_errors_supported=yes
+else
+        _pkg_short_errors_supported=no
+fi
+        if test $_pkg_short_errors_supported = yes; then
+               ALSA_PKG_ERRORS=`$PKG_CONFIG --short-errors --errors-to-stdout --print-errors "alsa >= 0.9"`
+        else
+               ALSA_PKG_ERRORS=`$PKG_CONFIG --errors-to-stdout --print-errors "alsa >= 0.9"`
+        fi
+       # Put the nasty error message in config.log where it belongs
+       echo "$ALSA_PKG_ERRORS" >&5
+
+       { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+                passed=no;{ $as_echo "$as_me:$LINENO: result: gr-audio-alsa requires package alsa, not found." >&5
+$as_echo "gr-audio-alsa requires package alsa, not found." >&6; }
+elif test $pkg_failed = untried; then
+       passed=no;{ $as_echo "$as_me:$LINENO: result: gr-audio-alsa requires package alsa, not found." >&5
+$as_echo "gr-audio-alsa requires package alsa, not found." >&6; }
+else
+       ALSA_CFLAGS=$pkg_cv_ALSA_CFLAGS
+       ALSA_LIBS=$pkg_cv_ALSA_LIBS
+       ALSA_INCLUDEDIR=$pkg_cv_ALSA_INCLUDEDIR
+
+       ALSA_CPPFLAGS=""
+       for flag in $ALSA_CFLAGS; do
+         case $flag in
+          -I* | -D* | -U*) ALSA_CPPFLAGS="$ALSA_CPPFLAGS $flag" ;;
+          esac
+        done
+       pkg_cv_ALSA_CPPFLAGS=$ALSA_CPPFLAGS
+
+
+        { $as_echo "$as_me:$LINENO: result: yes" >&5
+$as_echo "yes" >&6; }
+       :
+fi
+    fi
+
+    ac_config_files="$ac_config_files gr-audio-alsa/Makefile gr-audio-alsa/gr-audio-alsa.pc gr-audio-alsa/src/Makefile gr-audio-alsa/src/run_tests"
+
+
+
+
+    gr_audio_alsa_with=no
+    if test $passed = no; then
+       if test x$enable_gr_audio_alsa = xyes; then
+           { { $as_echo "$as_me:$LINENO: error: Component gr-audio-alsa has errors; stopping." >&5
+$as_echo "$as_me: error: Component gr-audio-alsa has errors; stopping." >&2;}
+   { (exit 1); exit 1; }; }
+       else
+           { $as_echo "$as_me:$LINENO: result: Not building component gr-audio-alsa." >&5
+$as_echo "Not building component gr-audio-alsa." >&6; }
+       fi
+    else
+        if test $passed = with; then
+           with_dirs="$with_dirs gr-audio-alsa"
+
+    if test "x${gr_audio_alsa_INCLUDES}" != "x"; then
+        if test "x$with_INCLUDES" = "x"; then
+            with_INCLUDES="${gr_audio_alsa_INCLUDES}"
+       else
+           with_INCLUDES="${gr_audio_alsa_INCLUDES}"" ""$with_INCLUDES"
+       fi
+       gr_audio_alsa_INCLUDES=
+    fi
+
+
+    if test "x${gr_audio_alsa_SWIG_INCLUDES}" != "x"; then
+        if test "x$with_SWIG_INCLUDES" = "x"; then
+            with_SWIG_INCLUDES="${gr_audio_alsa_SWIG_INCLUDES}"
+       else
+           with_SWIG_INCLUDES="${gr_audio_alsa_SWIG_INCLUDES}"" ""$with_SWIG_INCLUDES"
+       fi
+       gr_audio_alsa_SWIG_INCLUDES=
+    fi
+
+
+    if test "x${gr_audio_alsa_PYDIRPATH}" != "x"; then
+        if test "x$with_PYDIRPATH" = "x"; then
+            with_PYDIRPATH="${gr_audio_alsa_PYDIRPATH}"
+       else
+           with_PYDIRPATH="${gr_audio_alsa_PYDIRPATH}"":""$with_PYDIRPATH"
+       fi
+       gr_audio_alsa_PYDIRPATH=
+    fi
+
+
+    if test "x${gr_audio_alsa_SWIGDIRPATH}" != "x"; then
+        if test "x$with_SWIGDIRPATH" = "x"; then
+            with_SWIGDIRPATH="${gr_audio_alsa_SWIGDIRPATH}"
+       else
+           with_SWIGDIRPATH="${gr_audio_alsa_SWIGDIRPATH}"":""$with_SWIGDIRPATH"
+       fi
+       gr_audio_alsa_SWIGDIRPATH=
+    fi
+
+
+    if test "x${gr_audio_alsa_LIBDIRPATH}" != "x"; then
+        if test "x$with_LIBDIRPATH" = "x"; then
+            with_LIBDIRPATH="${gr_audio_alsa_LIBDIRPATH}"
+       else
+           with_LIBDIRPATH="${gr_audio_alsa_LIBDIRPATH}"":""$with_LIBDIRPATH"
+       fi
+       gr_audio_alsa_LIBDIRPATH=
+    fi
+
+           { $as_echo "$as_me:$LINENO: result: Component gr-audio-alsa will be included from a pre-installed library and includes." >&5
+$as_echo "Component gr-audio-alsa will be included from a pre-installed library and includes." >&6; }
+           gr_audio_alsa_with=yes
+       else
+           gr_audio_alsa_LDFLAG=
+            if test x$enable_gr_audio_alsa != xno; then
+                       ac_config_commands="$ac_config_commands run_tests_alsa"
+
+
+               build_dirs="$build_dirs gr-audio-alsa"
+               { $as_echo "$as_me:$LINENO: result: Component gr-audio-alsa passed configuration checks; building." >&5
+$as_echo "Component gr-audio-alsa passed configuration checks; building." >&6; }
+            else
+               passed=no
+               { $as_echo "$as_me:$LINENO: result: Component gr-audio-alsa passed configuration checks; but not building." >&5
+$as_echo "Component gr-audio-alsa passed configuration checks; but not building." >&6; }
+           fi
+       fi
+    fi
+    if test $passed = no; then
+        skipped_dirs="$skipped_dirs gr-audio-alsa"
+        gr_audio_alsa_skipped=yes
+    else
+        gr_audio_alsa_skipped=no
+    fi
+
+
+
+
+
+
+
+
+
+
+
+    passed=yes
+    # Check whether --enable-gr-audio-jack was given.
+if test "${enable_gr_audio_jack+set}" = set; then
+  enableval=$enable_gr_audio_jack;
+else
+
+        enable_gr_audio_jack=$enable_all_components
+        if test x$enable_all_components = xno; then
+            passed=no
+        fi
+
+fi
+
+
+
+
+
+    if test $passed != no; then
+        if test $passed = yes; then
+                        if test x$gnuradio_core_skipped = xyes; then
+                { $as_echo "$as_me:$LINENO: result: Component gr-audio-jack requires gnuradio-core, which is not being built or specified via pre-installed files." >&5
+$as_echo "Component gr-audio-jack requires gnuradio-core, which is not being built or specified via pre-installed files." >&6; }
+                passed=no
+            fi
+        else
+                        if test x$gnuradio_core_with = xno; then
+                { { $as_echo "$as_me:$LINENO: error: Component gr-audio-jack requires gnuradio-core to be included as --with-gnuradio-core[=arg]" >&5
+$as_echo "$as_me: error: Component gr-audio-jack requires gnuradio-core to be included as --with-gnuradio-core[=arg]" >&2;}
+   { (exit 1); exit 1; }; }
+            fi
+        fi
+    fi
+
+
+                    if test $passed = yes; then
+
+
+
+pkg_failed=no
+{ $as_echo "$as_me:$LINENO: checking for JACK" >&5
+$as_echo_n "checking for JACK... " >&6; }
+
+if test -n "$PKG_CONFIG"; then
+    if test -n "$JACK_CFLAGS"; then
+        pkg_cv_JACK_CFLAGS="$JACK_CFLAGS"
+    else
+        if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"jack >= 0.8\"") >&5
+  ($PKG_CONFIG --exists --print-errors "jack >= 0.8") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  pkg_cv_JACK_CFLAGS=`$PKG_CONFIG --cflags "jack >= 0.8" 2>/dev/null`
+else
+  pkg_failed=yes
+fi
+    fi
+else
+       pkg_failed=untried
+fi
+
+if test x$cross_compiling = xyes
+then
+    if test -n "$PKG_CONFIG"; then
+    if test -n "$JACK_LIBS"; then
+        pkg_cv_JACK_LIBS="$JACK_LIBS"
+    else
+        if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"jack >= 0.8\"") >&5
+  ($PKG_CONFIG --exists --print-errors "jack >= 0.8") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  pkg_cv_JACK_LIBS=`$PKG_CONFIG --libs --static "jack >= 0.8" 2>/dev/null`
+else
+  pkg_failed=yes
+fi
+    fi
+else
+       pkg_failed=untried
+fi
+    _pkg_tmp=
+  for flag in $pkg_cv_JACK_LIBS; do
+    case $flag in
+    (-L/lib* | -L/usr/lib* )      ;; # ignore
+    (*) _pkg_tmp="$_pkg_tmp $flag" ;;
+    esac
+  done
+  pkg_cv_JACK_LIBS="$_pkg_tmp"
+else
+  if test -n "$PKG_CONFIG"; then
+    if test -n "$JACK_LIBS"; then
+        pkg_cv_JACK_LIBS="$JACK_LIBS"
+    else
+        if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"jack >= 0.8\"") >&5
+  ($PKG_CONFIG --exists --print-errors "jack >= 0.8") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  pkg_cv_JACK_LIBS=`$PKG_CONFIG --libs --static "jack >= 0.8" 2>/dev/null`
+else
+  pkg_failed=yes
+fi
+    fi
+else
+       pkg_failed=untried
+fi
+fi
+
+if test -n "$PKG_CONFIG"; then
+    if test -n "$JACK_INCLUDEDIR"; then
+        pkg_cv_JACK_INCLUDEDIR="$JACK_INCLUDEDIR"
+    else
+        if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"jack >= 0.8\"") >&5
+  ($PKG_CONFIG --exists --print-errors "jack >= 0.8") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  pkg_cv_JACK_INCLUDEDIR=`$PKG_CONFIG --variable=includedir "jack >= 0.8" 2>/dev/null`
+else
+  pkg_failed=yes
+fi
+    fi
+else
+       pkg_failed=untried
+fi
+
+
+
+
+if test $pkg_failed = yes; then
+
+if $PKG_CONFIG --atleast-pkgconfig-version 0.20; then
+        _pkg_short_errors_supported=yes
+else
+        _pkg_short_errors_supported=no
+fi
+        if test $_pkg_short_errors_supported = yes; then
+               JACK_PKG_ERRORS=`$PKG_CONFIG --short-errors --errors-to-stdout --print-errors "jack >= 0.8"`
+        else
+               JACK_PKG_ERRORS=`$PKG_CONFIG --errors-to-stdout --print-errors "jack >= 0.8"`
+        fi
+       # Put the nasty error message in config.log where it belongs
+       echo "$JACK_PKG_ERRORS" >&5
+
+       { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+                passed=no;{ $as_echo "$as_me:$LINENO: result: gr-audio-jack requires package jack, not found." >&5
+$as_echo "gr-audio-jack requires package jack, not found." >&6; }
+elif test $pkg_failed = untried; then
+       passed=no;{ $as_echo "$as_me:$LINENO: result: gr-audio-jack requires package jack, not found." >&5
+$as_echo "gr-audio-jack requires package jack, not found." >&6; }
+else
+       JACK_CFLAGS=$pkg_cv_JACK_CFLAGS
+       JACK_LIBS=$pkg_cv_JACK_LIBS
+       JACK_INCLUDEDIR=$pkg_cv_JACK_INCLUDEDIR
+
+       JACK_CPPFLAGS=""
+       for flag in $JACK_CFLAGS; do
+         case $flag in
+          -I* | -D* | -U*) JACK_CPPFLAGS="$JACK_CPPFLAGS $flag" ;;
+          esac
+        done
+       pkg_cv_JACK_CPPFLAGS=$JACK_CPPFLAGS
+
+
+        { $as_echo "$as_me:$LINENO: result: yes" >&5
+$as_echo "yes" >&6; }
+       :
+fi
+    fi
+
+    ac_config_files="$ac_config_files gr-audio-jack/Makefile gr-audio-jack/src/Makefile gr-audio-jack/src/run_tests"
+
+
+
+
+    gr_audio_jack_with=no
+    if test $passed = no; then
+       if test x$enable_gr_audio_jack = xyes; then
+           { { $as_echo "$as_me:$LINENO: error: Component gr-audio-jack has errors; stopping." >&5
+$as_echo "$as_me: error: Component gr-audio-jack has errors; stopping." >&2;}
+   { (exit 1); exit 1; }; }
+       else
+           { $as_echo "$as_me:$LINENO: result: Not building component gr-audio-jack." >&5
+$as_echo "Not building component gr-audio-jack." >&6; }
+       fi
+    else
+        if test $passed = with; then
+           with_dirs="$with_dirs gr-audio-jack"
+
+    if test "x${gr_audio_jack_INCLUDES}" != "x"; then
+        if test "x$with_INCLUDES" = "x"; then
+            with_INCLUDES="${gr_audio_jack_INCLUDES}"
+       else
+           with_INCLUDES="${gr_audio_jack_INCLUDES}"" ""$with_INCLUDES"
+       fi
+       gr_audio_jack_INCLUDES=
+    fi
+
+
+    if test "x${gr_audio_jack_SWIG_INCLUDES}" != "x"; then
+        if test "x$with_SWIG_INCLUDES" = "x"; then
+            with_SWIG_INCLUDES="${gr_audio_jack_SWIG_INCLUDES}"
+       else
+           with_SWIG_INCLUDES="${gr_audio_jack_SWIG_INCLUDES}"" ""$with_SWIG_INCLUDES"
+       fi
+       gr_audio_jack_SWIG_INCLUDES=
+    fi
+
+
+    if test "x${gr_audio_jack_PYDIRPATH}" != "x"; then
+        if test "x$with_PYDIRPATH" = "x"; then
+            with_PYDIRPATH="${gr_audio_jack_PYDIRPATH}"
+       else
+           with_PYDIRPATH="${gr_audio_jack_PYDIRPATH}"":""$with_PYDIRPATH"
+       fi
+       gr_audio_jack_PYDIRPATH=
+    fi
+
+
+    if test "x${gr_audio_jack_SWIGDIRPATH}" != "x"; then
+        if test "x$with_SWIGDIRPATH" = "x"; then
+            with_SWIGDIRPATH="${gr_audio_jack_SWIGDIRPATH}"
+       else
+           with_SWIGDIRPATH="${gr_audio_jack_SWIGDIRPATH}"":""$with_SWIGDIRPATH"
+       fi
+       gr_audio_jack_SWIGDIRPATH=
+    fi
+
+
+    if test "x${gr_audio_jack_LIBDIRPATH}" != "x"; then
+        if test "x$with_LIBDIRPATH" = "x"; then
+            with_LIBDIRPATH="${gr_audio_jack_LIBDIRPATH}"
+       else
+           with_LIBDIRPATH="${gr_audio_jack_LIBDIRPATH}"":""$with_LIBDIRPATH"
+       fi
+       gr_audio_jack_LIBDIRPATH=
+    fi
+
+           { $as_echo "$as_me:$LINENO: result: Component gr-audio-jack will be included from a pre-installed library and includes." >&5
+$as_echo "Component gr-audio-jack will be included from a pre-installed library and includes." >&6; }
+           gr_audio_jack_with=yes
+       else
+           gr_audio_jack_LDFLAG=
+            if test x$enable_gr_audio_jack != xno; then
+                       ac_config_commands="$ac_config_commands run_tests_jack"
+
+
+               build_dirs="$build_dirs gr-audio-jack"
+               { $as_echo "$as_me:$LINENO: result: Component gr-audio-jack passed configuration checks; building." >&5
+$as_echo "Component gr-audio-jack passed configuration checks; building." >&6; }
+            else
+               passed=no
+               { $as_echo "$as_me:$LINENO: result: Component gr-audio-jack passed configuration checks; but not building." >&5
+$as_echo "Component gr-audio-jack passed configuration checks; but not building." >&6; }
+           fi
+       fi
+    fi
+    if test $passed = no; then
+        skipped_dirs="$skipped_dirs gr-audio-jack"
+        gr_audio_jack_skipped=yes
+    else
+        gr_audio_jack_skipped=no
+    fi
+
+
+
+
+
+
+
+
+
+
+
+    passed=yes
+    # Check whether --enable-gr-audio-oss was given.
+if test "${enable_gr_audio_oss+set}" = set; then
+  enableval=$enable_gr_audio_oss;
+else
+
+        enable_gr_audio_oss=$enable_all_components
+        if test x$enable_all_components = xno; then
+            passed=no
+        fi
+
+fi
+
+
+
+
+
+    if test $passed != no; then
+        if test $passed = yes; then
+                        if test x$gnuradio_core_skipped = xyes; then
+                { $as_echo "$as_me:$LINENO: result: Component gr-audio-oss requires gnuradio-core, which is not being built or specified via pre-installed files." >&5
+$as_echo "Component gr-audio-oss requires gnuradio-core, which is not being built or specified via pre-installed files." >&6; }
+                passed=no
+            fi
+        else
+                        if test x$gnuradio_core_with = xno; then
+                { { $as_echo "$as_me:$LINENO: error: Component gr-audio-oss requires gnuradio-core to be included as --with-gnuradio-core[=arg]" >&5
+$as_echo "$as_me: error: Component gr-audio-oss requires gnuradio-core to be included as --with-gnuradio-core[=arg]" >&2;}
+   { (exit 1); exit 1; }; }
+            fi
+        fi
+    fi
+
+
+                    if test $passed = yes; then
+                case $host_os in
+         netbsd*)
+
+{ $as_echo "$as_me:$LINENO: checking for main in -lossaudio" >&5
+$as_echo_n "checking for main in -lossaudio... " >&6; }
+if test "${ac_cv_lib_ossaudio_main+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-lossaudio  $LIBS"
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+
+int
+main ()
+{
+return main ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  ac_cv_lib_ossaudio_main=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_lib_ossaudio_main=no
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_lib_ossaudio_main" >&5
+$as_echo "$ac_cv_lib_ossaudio_main" >&6; }
+if test "x$ac_cv_lib_ossaudio_main" = x""yes; then
+  cat >>confdefs.h <<_ACEOF
+#define HAVE_LIBOSSAUDIO 1
+_ACEOF
+
+  LIBS="-lossaudio $LIBS"
+
+else
+  passed=no;{ $as_echo "$as_me:$LINENO: result: gr-audio-oss requires library ossaudio, not found." >&5
+$as_echo "gr-audio-oss requires library ossaudio, not found." >&6; }
+fi
+ac_cv_lib_ossaudio=ac_cv_lib_ossaudio_main
+
+           if test $passed != no; then
+               OSS_LIBS=-lossaudio
+
+               { $as_echo "$as_me:$LINENO: result: Using OSS library $OSS_LIBS" >&5
+$as_echo "Using OSS library $OSS_LIBS" >&6; }
+           fi
+           ;;
+          darwin*)
+                   passed=no
+            ;;
+         *)
+           if test "${ac_cv_header_sys_soundcard_h+set}" = set; then
+  { $as_echo "$as_me:$LINENO: checking for sys/soundcard.h" >&5
+$as_echo_n "checking for sys/soundcard.h... " >&6; }
+if test "${ac_cv_header_sys_soundcard_h+set}" = set; then
+  $as_echo_n "(cached) " >&6
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_header_sys_soundcard_h" >&5
+$as_echo "$ac_cv_header_sys_soundcard_h" >&6; }
+else
+  # Is the header compilable?
+{ $as_echo "$as_me:$LINENO: checking sys/soundcard.h usability" >&5
+$as_echo_n "checking sys/soundcard.h usability... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+$ac_includes_default
+#include <sys/soundcard.h>
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_header_compiler=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_header_compiler=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_compiler" >&5
+$as_echo "$ac_header_compiler" >&6; }
+
+# Is the header present?
+{ $as_echo "$as_me:$LINENO: checking sys/soundcard.h presence" >&5
+$as_echo_n "checking sys/soundcard.h presence... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <sys/soundcard.h>
+_ACEOF
+if { (ac_try="$ac_cpp conftest.$ac_ext"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } >/dev/null && {
+        test -z "$ac_c_preproc_warn_flag$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       }; then
+  ac_header_preproc=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+  ac_header_preproc=no
+fi
+
+rm -f conftest.err conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_preproc" >&5
+$as_echo "$ac_header_preproc" >&6; }
+
+# So?  What about this header?
+case $ac_header_compiler:$ac_header_preproc:$ac_c_preproc_warn_flag in
+  yes:no: )
+    { $as_echo "$as_me:$LINENO: WARNING: sys/soundcard.h: accepted by the compiler, rejected by the preprocessor!" >&5
+$as_echo "$as_me: WARNING: sys/soundcard.h: accepted by the compiler, rejected by the preprocessor!" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: sys/soundcard.h: proceeding with the compiler's result" >&5
+$as_echo "$as_me: WARNING: sys/soundcard.h: proceeding with the compiler's result" >&2;}
+    ac_header_preproc=yes
+    ;;
+  no:yes:* )
+    { $as_echo "$as_me:$LINENO: WARNING: sys/soundcard.h: present but cannot be compiled" >&5
+$as_echo "$as_me: WARNING: sys/soundcard.h: present but cannot be compiled" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: sys/soundcard.h:     check for missing prerequisite headers?" >&5
+$as_echo "$as_me: WARNING: sys/soundcard.h:     check for missing prerequisite headers?" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: sys/soundcard.h: see the Autoconf documentation" >&5
+$as_echo "$as_me: WARNING: sys/soundcard.h: see the Autoconf documentation" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: sys/soundcard.h:     section \"Present But Cannot Be Compiled\"" >&5
+$as_echo "$as_me: WARNING: sys/soundcard.h:     section \"Present But Cannot Be Compiled\"" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: sys/soundcard.h: proceeding with the preprocessor's result" >&5
+$as_echo "$as_me: WARNING: sys/soundcard.h: proceeding with the preprocessor's result" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: sys/soundcard.h: in the future, the compiler will take precedence" >&5
+$as_echo "$as_me: WARNING: sys/soundcard.h: in the future, the compiler will take precedence" >&2;}
+
+    ;;
+esac
+{ $as_echo "$as_me:$LINENO: checking for sys/soundcard.h" >&5
+$as_echo_n "checking for sys/soundcard.h... " >&6; }
+if test "${ac_cv_header_sys_soundcard_h+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_cv_header_sys_soundcard_h=$ac_header_preproc
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_header_sys_soundcard_h" >&5
+$as_echo "$ac_cv_header_sys_soundcard_h" >&6; }
+
+fi
+if test "x$ac_cv_header_sys_soundcard_h" = x""yes; then
+  :
+else
+  passed=no;{ $as_echo "$as_me:$LINENO: result: gr-audio-oss requires sys/soundcard.h, not found." >&5
+$as_echo "gr-audio-oss requires sys/soundcard.h, not found." >&6; }
+fi
+
+
+        esac
+    fi
+
+    ac_config_files="$ac_config_files gr-audio-oss/Makefile gr-audio-oss/src/Makefile gr-audio-oss/src/run_tests"
+
+
+
+
+    gr_audio_oss_with=no
+    if test $passed = no; then
+       if test x$enable_gr_audio_oss = xyes; then
+           { { $as_echo "$as_me:$LINENO: error: Component gr-audio-oss has errors; stopping." >&5
+$as_echo "$as_me: error: Component gr-audio-oss has errors; stopping." >&2;}
+   { (exit 1); exit 1; }; }
+       else
+           { $as_echo "$as_me:$LINENO: result: Not building component gr-audio-oss." >&5
+$as_echo "Not building component gr-audio-oss." >&6; }
+       fi
+    else
+        if test $passed = with; then
+           with_dirs="$with_dirs gr-audio-oss"
+
+    if test "x${gr_audio_oss_INCLUDES}" != "x"; then
+        if test "x$with_INCLUDES" = "x"; then
+            with_INCLUDES="${gr_audio_oss_INCLUDES}"
+       else
+           with_INCLUDES="${gr_audio_oss_INCLUDES}"" ""$with_INCLUDES"
+       fi
+       gr_audio_oss_INCLUDES=
+    fi
+
+
+    if test "x${gr_audio_oss_SWIG_INCLUDES}" != "x"; then
+        if test "x$with_SWIG_INCLUDES" = "x"; then
+            with_SWIG_INCLUDES="${gr_audio_oss_SWIG_INCLUDES}"
+       else
+           with_SWIG_INCLUDES="${gr_audio_oss_SWIG_INCLUDES}"" ""$with_SWIG_INCLUDES"
+       fi
+       gr_audio_oss_SWIG_INCLUDES=
+    fi
+
+
+    if test "x${gr_audio_oss_PYDIRPATH}" != "x"; then
+        if test "x$with_PYDIRPATH" = "x"; then
+            with_PYDIRPATH="${gr_audio_oss_PYDIRPATH}"
+       else
+           with_PYDIRPATH="${gr_audio_oss_PYDIRPATH}"":""$with_PYDIRPATH"
+       fi
+       gr_audio_oss_PYDIRPATH=
+    fi
+
+
+    if test "x${gr_audio_oss_SWIGDIRPATH}" != "x"; then
+        if test "x$with_SWIGDIRPATH" = "x"; then
+            with_SWIGDIRPATH="${gr_audio_oss_SWIGDIRPATH}"
+       else
+           with_SWIGDIRPATH="${gr_audio_oss_SWIGDIRPATH}"":""$with_SWIGDIRPATH"
+       fi
+       gr_audio_oss_SWIGDIRPATH=
+    fi
+
+
+    if test "x${gr_audio_oss_LIBDIRPATH}" != "x"; then
+        if test "x$with_LIBDIRPATH" = "x"; then
+            with_LIBDIRPATH="${gr_audio_oss_LIBDIRPATH}"
+       else
+           with_LIBDIRPATH="${gr_audio_oss_LIBDIRPATH}"":""$with_LIBDIRPATH"
+       fi
+       gr_audio_oss_LIBDIRPATH=
+    fi
+
+           { $as_echo "$as_me:$LINENO: result: Component gr-audio-oss will be included from a pre-installed library and includes." >&5
+$as_echo "Component gr-audio-oss will be included from a pre-installed library and includes." >&6; }
+           gr_audio_oss_with=yes
+       else
+           gr_audio_oss_LDFLAG=
+            if test x$enable_gr_audio_oss != xno; then
+                       ac_config_commands="$ac_config_commands run_tests_oss"
+
+
+               build_dirs="$build_dirs gr-audio-oss"
+               { $as_echo "$as_me:$LINENO: result: Component gr-audio-oss passed configuration checks; building." >&5
+$as_echo "Component gr-audio-oss passed configuration checks; building." >&6; }
+            else
+               passed=no
+               { $as_echo "$as_me:$LINENO: result: Component gr-audio-oss passed configuration checks; but not building." >&5
+$as_echo "Component gr-audio-oss passed configuration checks; but not building." >&6; }
+           fi
+       fi
+    fi
+    if test $passed = no; then
+        skipped_dirs="$skipped_dirs gr-audio-oss"
+        gr_audio_oss_skipped=yes
+    else
+        gr_audio_oss_skipped=no
+    fi
+
+
+
+
+
+
+
+
+
+
+
+    passed=yes
+    # Check whether --enable-gr-audio-osx was given.
+if test "${enable_gr_audio_osx+set}" = set; then
+  enableval=$enable_gr_audio_osx;
+else
+
+        enable_gr_audio_osx=$enable_all_components
+        if test x$enable_all_components = xno; then
+            passed=no
+        fi
+
+fi
+
+
+
+
+
+    if test $passed != no; then
+        if test $passed = yes; then
+                        if test x$omnithread_skipped = xyes; then
+                { $as_echo "$as_me:$LINENO: result: Component gr-audio-osx requires omnithread, which is not being built or specified via pre-installed files." >&5
+$as_echo "Component gr-audio-osx requires omnithread, which is not being built or specified via pre-installed files." >&6; }
+                passed=no
+            fi
+        else
+                        if test x$omnithread_with = xno; then
+                { { $as_echo "$as_me:$LINENO: error: Component gr-audio-osx requires omnithread to be included as --with-omnithread[=arg]" >&5
+$as_echo "$as_me: error: Component gr-audio-osx requires omnithread to be included as --with-omnithread[=arg]" >&2;}
+   { (exit 1); exit 1; }; }
+            fi
+        fi
+    fi
+
+
+    if test $passed != no; then
+        if test $passed = yes; then
+                        if test x$gnuradio_core_skipped = xyes; then
+                { $as_echo "$as_me:$LINENO: result: Component gr-audio-osx requires gnuradio-core, which is not being built or specified via pre-installed files." >&5
+$as_echo "Component gr-audio-osx requires gnuradio-core, which is not being built or specified via pre-installed files." >&6; }
+                passed=no
+            fi
+        else
+                        if test x$gnuradio_core_with = xno; then
+                { { $as_echo "$as_me:$LINENO: error: Component gr-audio-osx requires gnuradio-core to be included as --with-gnuradio-core[=arg]" >&5
+$as_echo "$as_me: error: Component gr-audio-osx requires gnuradio-core to be included as --with-gnuradio-core[=arg]" >&2;}
+   { (exit 1); exit 1; }; }
+            fi
+        fi
+    fi
+
+
+                    if test $passed = yes; then
+        case "$host_os" in
+          darwin*)
+
+    audiounitok=yes
+    case "$host_os" in
+       darwin*);;
+       *)
+          audiounitok=no
+          { $as_echo "$as_me:$LINENO: result: gr-audio-osx requires darwin or MacOS X." >&5
+$as_echo "gr-audio-osx requires darwin or MacOS X." >&6; }
+    esac
+
+
+for ac_header in AudioUnit/AudioUnit.h
+do
+as_ac_Header=`$as_echo "ac_cv_header_$ac_header" | $as_tr_sh`
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  { $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
+$as_echo_n "checking for $ac_header... " >&6; }
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+fi
+ac_res=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+else
+  # Is the header compilable?
+{ $as_echo "$as_me:$LINENO: checking $ac_header usability" >&5
+$as_echo_n "checking $ac_header usability... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+$ac_includes_default
+#include <$ac_header>
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_header_compiler=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_header_compiler=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_compiler" >&5
+$as_echo "$ac_header_compiler" >&6; }
+
+# Is the header present?
+{ $as_echo "$as_me:$LINENO: checking $ac_header presence" >&5
+$as_echo_n "checking $ac_header presence... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <$ac_header>
+_ACEOF
+if { (ac_try="$ac_cpp conftest.$ac_ext"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } >/dev/null && {
+        test -z "$ac_c_preproc_warn_flag$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       }; then
+  ac_header_preproc=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+  ac_header_preproc=no
+fi
+
+rm -f conftest.err conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_preproc" >&5
+$as_echo "$ac_header_preproc" >&6; }
+
+# So?  What about this header?
+case $ac_header_compiler:$ac_header_preproc:$ac_c_preproc_warn_flag in
+  yes:no: )
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: accepted by the compiler, rejected by the preprocessor!" >&5
+$as_echo "$as_me: WARNING: $ac_header: accepted by the compiler, rejected by the preprocessor!" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: proceeding with the compiler's result" >&5
+$as_echo "$as_me: WARNING: $ac_header: proceeding with the compiler's result" >&2;}
+    ac_header_preproc=yes
+    ;;
+  no:yes:* )
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: present but cannot be compiled" >&5
+$as_echo "$as_me: WARNING: $ac_header: present but cannot be compiled" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header:     check for missing prerequisite headers?" >&5
+$as_echo "$as_me: WARNING: $ac_header:     check for missing prerequisite headers?" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: see the Autoconf documentation" >&5
+$as_echo "$as_me: WARNING: $ac_header: see the Autoconf documentation" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header:     section \"Present But Cannot Be Compiled\"" >&5
+$as_echo "$as_me: WARNING: $ac_header:     section \"Present But Cannot Be Compiled\"" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: proceeding with the preprocessor's result" >&5
+$as_echo "$as_me: WARNING: $ac_header: proceeding with the preprocessor's result" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: in the future, the compiler will take precedence" >&5
+$as_echo "$as_me: WARNING: $ac_header: in the future, the compiler will take precedence" >&2;}
+
+    ;;
+esac
+{ $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
+$as_echo_n "checking for $ac_header... " >&6; }
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  eval "$as_ac_Header=\$ac_header_preproc"
+fi
+ac_res=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+
+fi
+as_val=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+   if test "x$as_val" = x""yes; then
+  cat >>confdefs.h <<_ACEOF
+#define `$as_echo "HAVE_$ac_header" | $as_tr_cpp` 1
+_ACEOF
+
+else
+  audiounitok=no;{ $as_echo "$as_me:$LINENO: result: gr-audio-osx requires AudioUnit/AudioUnit.h, which is available on MacOS X." >&5
+$as_echo "gr-audio-osx requires AudioUnit/AudioUnit.h, which is available on MacOS X." >&6; }
+fi
+
+done
+
+
+
+for ac_header in AudioToolbox/AudioToolbox.h
+do
+as_ac_Header=`$as_echo "ac_cv_header_$ac_header" | $as_tr_sh`
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  { $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
+$as_echo_n "checking for $ac_header... " >&6; }
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+fi
+ac_res=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+else
+  # Is the header compilable?
+{ $as_echo "$as_me:$LINENO: checking $ac_header usability" >&5
+$as_echo_n "checking $ac_header usability... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+$ac_includes_default
+#include <$ac_header>
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_header_compiler=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_header_compiler=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_compiler" >&5
+$as_echo "$ac_header_compiler" >&6; }
+
+# Is the header present?
+{ $as_echo "$as_me:$LINENO: checking $ac_header presence" >&5
+$as_echo_n "checking $ac_header presence... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <$ac_header>
+_ACEOF
+if { (ac_try="$ac_cpp conftest.$ac_ext"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } >/dev/null && {
+        test -z "$ac_c_preproc_warn_flag$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       }; then
+  ac_header_preproc=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+  ac_header_preproc=no
+fi
+
+rm -f conftest.err conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_preproc" >&5
+$as_echo "$ac_header_preproc" >&6; }
+
+# So?  What about this header?
+case $ac_header_compiler:$ac_header_preproc:$ac_c_preproc_warn_flag in
+  yes:no: )
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: accepted by the compiler, rejected by the preprocessor!" >&5
+$as_echo "$as_me: WARNING: $ac_header: accepted by the compiler, rejected by the preprocessor!" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: proceeding with the compiler's result" >&5
+$as_echo "$as_me: WARNING: $ac_header: proceeding with the compiler's result" >&2;}
+    ac_header_preproc=yes
+    ;;
+  no:yes:* )
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: present but cannot be compiled" >&5
+$as_echo "$as_me: WARNING: $ac_header: present but cannot be compiled" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header:     check for missing prerequisite headers?" >&5
+$as_echo "$as_me: WARNING: $ac_header:     check for missing prerequisite headers?" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: see the Autoconf documentation" >&5
+$as_echo "$as_me: WARNING: $ac_header: see the Autoconf documentation" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header:     section \"Present But Cannot Be Compiled\"" >&5
+$as_echo "$as_me: WARNING: $ac_header:     section \"Present But Cannot Be Compiled\"" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: proceeding with the preprocessor's result" >&5
+$as_echo "$as_me: WARNING: $ac_header: proceeding with the preprocessor's result" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: in the future, the compiler will take precedence" >&5
+$as_echo "$as_me: WARNING: $ac_header: in the future, the compiler will take precedence" >&2;}
+
+    ;;
+esac
+{ $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
+$as_echo_n "checking for $ac_header... " >&6; }
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  eval "$as_ac_Header=\$ac_header_preproc"
+fi
+ac_res=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+
+fi
+as_val=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+   if test "x$as_val" = x""yes; then
+  cat >>confdefs.h <<_ACEOF
+#define `$as_echo "HAVE_$ac_header" | $as_tr_cpp` 1
+_ACEOF
+
+else
+  audiounitok=no;{ $as_echo "$as_me:$LINENO: result: gr-audio-osx requires AudioToolbox/AudioToolbox.h, which is available on MacOS X." >&5
+$as_echo "gr-audio-osx requires AudioToolbox/AudioToolbox.h, which is available on MacOS X." >&6; }
+fi
+
+done
+
+
+    if test $audiounitok = yes; then
+        :
+    else
+        passed=no;{ $as_echo "$as_me:$LINENO: result: gr-audio-osx requires AudioUnit, not found." >&5
+$as_echo "gr-audio-osx requires AudioUnit, not found." >&6; }
+    fi
+
+              ;;
+          *)
+              { $as_echo "$as_me:$LINENO: result: gr-audio-osx will build on Mac OS X and Darwin only." >&5
+$as_echo "gr-audio-osx will build on Mac OS X and Darwin only." >&6; }
+              passed=no
+              ;;
+        esac
+    fi
+
+    ac_config_files="$ac_config_files gr-audio-osx/Makefile gr-audio-osx/src/Makefile gr-audio-osx/src/run_tests"
+
+
+
+
+    gr_audio_osx_with=no
+    if test $passed = no; then
+       if test x$enable_gr_audio_osx = xyes; then
+           { { $as_echo "$as_me:$LINENO: error: Component gr-audio-osx has errors; stopping." >&5
+$as_echo "$as_me: error: Component gr-audio-osx has errors; stopping." >&2;}
+   { (exit 1); exit 1; }; }
+       else
+           { $as_echo "$as_me:$LINENO: result: Not building component gr-audio-osx." >&5
+$as_echo "Not building component gr-audio-osx." >&6; }
+       fi
+    else
+        if test $passed = with; then
+           with_dirs="$with_dirs gr-audio-osx"
+
+    if test "x${gr_audio_osx_INCLUDES}" != "x"; then
+        if test "x$with_INCLUDES" = "x"; then
+            with_INCLUDES="${gr_audio_osx_INCLUDES}"
+       else
+           with_INCLUDES="${gr_audio_osx_INCLUDES}"" ""$with_INCLUDES"
+       fi
+       gr_audio_osx_INCLUDES=
+    fi
+
+
+    if test "x${gr_audio_osx_SWIG_INCLUDES}" != "x"; then
+        if test "x$with_SWIG_INCLUDES" = "x"; then
+            with_SWIG_INCLUDES="${gr_audio_osx_SWIG_INCLUDES}"
+       else
+           with_SWIG_INCLUDES="${gr_audio_osx_SWIG_INCLUDES}"" ""$with_SWIG_INCLUDES"
+       fi
+       gr_audio_osx_SWIG_INCLUDES=
+    fi
+
+
+    if test "x${gr_audio_osx_PYDIRPATH}" != "x"; then
+        if test "x$with_PYDIRPATH" = "x"; then
+            with_PYDIRPATH="${gr_audio_osx_PYDIRPATH}"
+       else
+           with_PYDIRPATH="${gr_audio_osx_PYDIRPATH}"":""$with_PYDIRPATH"
+       fi
+       gr_audio_osx_PYDIRPATH=
+    fi
+
+
+    if test "x${gr_audio_osx_SWIGDIRPATH}" != "x"; then
+        if test "x$with_SWIGDIRPATH" = "x"; then
+            with_SWIGDIRPATH="${gr_audio_osx_SWIGDIRPATH}"
+       else
+           with_SWIGDIRPATH="${gr_audio_osx_SWIGDIRPATH}"":""$with_SWIGDIRPATH"
+       fi
+       gr_audio_osx_SWIGDIRPATH=
+    fi
+
+
+    if test "x${gr_audio_osx_LIBDIRPATH}" != "x"; then
+        if test "x$with_LIBDIRPATH" = "x"; then
+            with_LIBDIRPATH="${gr_audio_osx_LIBDIRPATH}"
+       else
+           with_LIBDIRPATH="${gr_audio_osx_LIBDIRPATH}"":""$with_LIBDIRPATH"
+       fi
+       gr_audio_osx_LIBDIRPATH=
+    fi
+
+           { $as_echo "$as_me:$LINENO: result: Component gr-audio-osx will be included from a pre-installed library and includes." >&5
+$as_echo "Component gr-audio-osx will be included from a pre-installed library and includes." >&6; }
+           gr_audio_osx_with=yes
+       else
+           gr_audio_osx_LDFLAG=
+            if test x$enable_gr_audio_osx != xno; then
+                       ac_config_commands="$ac_config_commands run_tests_osx"
+
+
+               build_dirs="$build_dirs gr-audio-osx"
+               { $as_echo "$as_me:$LINENO: result: Component gr-audio-osx passed configuration checks; building." >&5
+$as_echo "Component gr-audio-osx passed configuration checks; building." >&6; }
+            else
+               passed=no
+               { $as_echo "$as_me:$LINENO: result: Component gr-audio-osx passed configuration checks; but not building." >&5
+$as_echo "Component gr-audio-osx passed configuration checks; but not building." >&6; }
+           fi
+       fi
+    fi
+    if test $passed = no; then
+        skipped_dirs="$skipped_dirs gr-audio-osx"
+        gr_audio_osx_skipped=yes
+    else
+        gr_audio_osx_skipped=no
+    fi
+
+
+
+
+
+
+
+
+
+
+
+    passed=yes
+    # Check whether --enable-gr-audio-portaudio was given.
+if test "${enable_gr_audio_portaudio+set}" = set; then
+  enableval=$enable_gr_audio_portaudio;
+else
+
+        enable_gr_audio_portaudio=$enable_all_components
+        if test x$enable_all_components = xno; then
+            passed=no
+        fi
+
+fi
+
+
+
+
+
+    if test $passed != no; then
+        if test $passed = yes; then
+                        if test x$gnuradio_core_skipped = xyes; then
+                { $as_echo "$as_me:$LINENO: result: Component gr-audio-portaudio requires gnuradio-core, which is not being built or specified via pre-installed files." >&5
+$as_echo "Component gr-audio-portaudio requires gnuradio-core, which is not being built or specified via pre-installed files." >&6; }
+                passed=no
+            fi
+        else
+                        if test x$gnuradio_core_with = xno; then
+                { { $as_echo "$as_me:$LINENO: error: Component gr-audio-portaudio requires gnuradio-core to be included as --with-gnuradio-core[=arg]" >&5
+$as_echo "$as_me: error: Component gr-audio-portaudio requires gnuradio-core to be included as --with-gnuradio-core[=arg]" >&2;}
+   { (exit 1); exit 1; }; }
+            fi
+        fi
+    fi
+
+
+                    if test $passed = yes; then
+
+
+
+pkg_failed=no
+{ $as_echo "$as_me:$LINENO: checking for PORTAUDIO" >&5
+$as_echo_n "checking for PORTAUDIO... " >&6; }
+
+if test -n "$PKG_CONFIG"; then
+    if test -n "$PORTAUDIO_CFLAGS"; then
+        pkg_cv_PORTAUDIO_CFLAGS="$PORTAUDIO_CFLAGS"
+    else
+        if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"portaudio-2.0 >= 19\"") >&5
+  ($PKG_CONFIG --exists --print-errors "portaudio-2.0 >= 19") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  pkg_cv_PORTAUDIO_CFLAGS=`$PKG_CONFIG --cflags "portaudio-2.0 >= 19" 2>/dev/null`
+else
+  pkg_failed=yes
+fi
+    fi
+else
+       pkg_failed=untried
+fi
+
+if test x$cross_compiling = xyes
+then
+    if test -n "$PKG_CONFIG"; then
+    if test -n "$PORTAUDIO_LIBS"; then
+        pkg_cv_PORTAUDIO_LIBS="$PORTAUDIO_LIBS"
+    else
+        if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"portaudio-2.0 >= 19\"") >&5
+  ($PKG_CONFIG --exists --print-errors "portaudio-2.0 >= 19") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  pkg_cv_PORTAUDIO_LIBS=`$PKG_CONFIG --libs --static "portaudio-2.0 >= 19" 2>/dev/null`
+else
+  pkg_failed=yes
+fi
+    fi
+else
+       pkg_failed=untried
+fi
+    _pkg_tmp=
+  for flag in $pkg_cv_PORTAUDIO_LIBS; do
+    case $flag in
+    (-L/lib* | -L/usr/lib* )      ;; # ignore
+    (*) _pkg_tmp="$_pkg_tmp $flag" ;;
+    esac
+  done
+  pkg_cv_PORTAUDIO_LIBS="$_pkg_tmp"
+else
+  if test -n "$PKG_CONFIG"; then
+    if test -n "$PORTAUDIO_LIBS"; then
+        pkg_cv_PORTAUDIO_LIBS="$PORTAUDIO_LIBS"
+    else
+        if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"portaudio-2.0 >= 19\"") >&5
+  ($PKG_CONFIG --exists --print-errors "portaudio-2.0 >= 19") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  pkg_cv_PORTAUDIO_LIBS=`$PKG_CONFIG --libs --static "portaudio-2.0 >= 19" 2>/dev/null`
+else
+  pkg_failed=yes
+fi
+    fi
+else
+       pkg_failed=untried
+fi
+fi
+
+if test -n "$PKG_CONFIG"; then
+    if test -n "$PORTAUDIO_INCLUDEDIR"; then
+        pkg_cv_PORTAUDIO_INCLUDEDIR="$PORTAUDIO_INCLUDEDIR"
+    else
+        if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"portaudio-2.0 >= 19\"") >&5
+  ($PKG_CONFIG --exists --print-errors "portaudio-2.0 >= 19") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  pkg_cv_PORTAUDIO_INCLUDEDIR=`$PKG_CONFIG --variable=includedir "portaudio-2.0 >= 19" 2>/dev/null`
+else
+  pkg_failed=yes
+fi
+    fi
+else
+       pkg_failed=untried
+fi
+
+
+
+
+if test $pkg_failed = yes; then
+
+if $PKG_CONFIG --atleast-pkgconfig-version 0.20; then
+        _pkg_short_errors_supported=yes
+else
+        _pkg_short_errors_supported=no
+fi
+        if test $_pkg_short_errors_supported = yes; then
+               PORTAUDIO_PKG_ERRORS=`$PKG_CONFIG --short-errors --errors-to-stdout --print-errors "portaudio-2.0 >= 19"`
+        else
+               PORTAUDIO_PKG_ERRORS=`$PKG_CONFIG --errors-to-stdout --print-errors "portaudio-2.0 >= 19"`
+        fi
+       # Put the nasty error message in config.log where it belongs
+       echo "$PORTAUDIO_PKG_ERRORS" >&5
+
+       { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+                passed=no;{ $as_echo "$as_me:$LINENO: result: gr-audio-portaudio requires package portaudio, not found." >&5
+$as_echo "gr-audio-portaudio requires package portaudio, not found." >&6; }
+elif test $pkg_failed = untried; then
+       passed=no;{ $as_echo "$as_me:$LINENO: result: gr-audio-portaudio requires package portaudio, not found." >&5
+$as_echo "gr-audio-portaudio requires package portaudio, not found." >&6; }
+else
+       PORTAUDIO_CFLAGS=$pkg_cv_PORTAUDIO_CFLAGS
+       PORTAUDIO_LIBS=$pkg_cv_PORTAUDIO_LIBS
+       PORTAUDIO_INCLUDEDIR=$pkg_cv_PORTAUDIO_INCLUDEDIR
+
+       PORTAUDIO_CPPFLAGS=""
+       for flag in $PORTAUDIO_CFLAGS; do
+         case $flag in
+          -I* | -D* | -U*) PORTAUDIO_CPPFLAGS="$PORTAUDIO_CPPFLAGS $flag" ;;
+          esac
+        done
+       pkg_cv_PORTAUDIO_CPPFLAGS=$PORTAUDIO_CPPFLAGS
+
+
+        { $as_echo "$as_me:$LINENO: result: yes" >&5
+$as_echo "yes" >&6; }
+       :
+fi
+    fi
+
+    ac_config_files="$ac_config_files gr-audio-portaudio/Makefile gr-audio-portaudio/src/Makefile gr-audio-portaudio/src/run_tests"
+
+
+
+
+    gr_audio_portaudio_with=no
+    if test $passed = no; then
+       if test x$enable_gr_audio_portaudio = xyes; then
+           { { $as_echo "$as_me:$LINENO: error: Component gr-audio-portaudio has errors; stopping." >&5
+$as_echo "$as_me: error: Component gr-audio-portaudio has errors; stopping." >&2;}
+   { (exit 1); exit 1; }; }
+       else
+           { $as_echo "$as_me:$LINENO: result: Not building component gr-audio-portaudio." >&5
+$as_echo "Not building component gr-audio-portaudio." >&6; }
+       fi
+    else
+        if test $passed = with; then
+           with_dirs="$with_dirs gr-audio-portaudio"
+
+    if test "x${gr_audio_portaudio_INCLUDES}" != "x"; then
+        if test "x$with_INCLUDES" = "x"; then
+            with_INCLUDES="${gr_audio_portaudio_INCLUDES}"
+       else
+           with_INCLUDES="${gr_audio_portaudio_INCLUDES}"" ""$with_INCLUDES"
+       fi
+       gr_audio_portaudio_INCLUDES=
+    fi
+
+
+    if test "x${gr_audio_portaudio_SWIG_INCLUDES}" != "x"; then
+        if test "x$with_SWIG_INCLUDES" = "x"; then
+            with_SWIG_INCLUDES="${gr_audio_portaudio_SWIG_INCLUDES}"
+       else
+           with_SWIG_INCLUDES="${gr_audio_portaudio_SWIG_INCLUDES}"" ""$with_SWIG_INCLUDES"
+       fi
+       gr_audio_portaudio_SWIG_INCLUDES=
+    fi
+
+
+    if test "x${gr_audio_portaudio_PYDIRPATH}" != "x"; then
+        if test "x$with_PYDIRPATH" = "x"; then
+            with_PYDIRPATH="${gr_audio_portaudio_PYDIRPATH}"
+       else
+           with_PYDIRPATH="${gr_audio_portaudio_PYDIRPATH}"":""$with_PYDIRPATH"
+       fi
+       gr_audio_portaudio_PYDIRPATH=
+    fi
+
+
+    if test "x${gr_audio_portaudio_SWIGDIRPATH}" != "x"; then
+        if test "x$with_SWIGDIRPATH" = "x"; then
+            with_SWIGDIRPATH="${gr_audio_portaudio_SWIGDIRPATH}"
+       else
+           with_SWIGDIRPATH="${gr_audio_portaudio_SWIGDIRPATH}"":""$with_SWIGDIRPATH"
+       fi
+       gr_audio_portaudio_SWIGDIRPATH=
+    fi
+
+
+    if test "x${gr_audio_portaudio_LIBDIRPATH}" != "x"; then
+        if test "x$with_LIBDIRPATH" = "x"; then
+            with_LIBDIRPATH="${gr_audio_portaudio_LIBDIRPATH}"
+       else
+           with_LIBDIRPATH="${gr_audio_portaudio_LIBDIRPATH}"":""$with_LIBDIRPATH"
+       fi
+       gr_audio_portaudio_LIBDIRPATH=
+    fi
+
+           { $as_echo "$as_me:$LINENO: result: Component gr-audio-portaudio will be included from a pre-installed library and includes." >&5
+$as_echo "Component gr-audio-portaudio will be included from a pre-installed library and includes." >&6; }
+           gr_audio_portaudio_with=yes
+       else
+           gr_audio_portaudio_LDFLAG=
+            if test x$enable_gr_audio_portaudio != xno; then
+                       ac_config_commands="$ac_config_commands run_tests_portaudio"
+
+
+               build_dirs="$build_dirs gr-audio-portaudio"
+               { $as_echo "$as_me:$LINENO: result: Component gr-audio-portaudio passed configuration checks; building." >&5
+$as_echo "Component gr-audio-portaudio passed configuration checks; building." >&6; }
+            else
+               passed=no
+               { $as_echo "$as_me:$LINENO: result: Component gr-audio-portaudio passed configuration checks; but not building." >&5
+$as_echo "Component gr-audio-portaudio passed configuration checks; but not building." >&6; }
+           fi
+       fi
+    fi
+    if test $passed = no; then
+        skipped_dirs="$skipped_dirs gr-audio-portaudio"
+        gr_audio_portaudio_skipped=yes
+    else
+        gr_audio_portaudio_skipped=no
+    fi
+
+
+
+
+
+
+
+
+
+
+
+    passed=yes
+    # Check whether --enable-gr-audio-windows was given.
+if test "${enable_gr_audio_windows+set}" = set; then
+  enableval=$enable_gr_audio_windows;
+else
+
+        enable_gr_audio_windows=$enable_all_components
+        if test x$enable_all_components = xno; then
+            passed=no
+        fi
+
+fi
+
+
+
+
+
+    if test $passed != no; then
+        if test $passed = yes; then
+                        if test x$gnuradio_core_skipped = xyes; then
+                { $as_echo "$as_me:$LINENO: result: Component gr-audio-windows requires gnuradio-core, which is not being built or specified via pre-installed files." >&5
+$as_echo "Component gr-audio-windows requires gnuradio-core, which is not being built or specified via pre-installed files." >&6; }
+                passed=no
+            fi
+        else
+                        if test x$gnuradio_core_with = xno; then
+                { { $as_echo "$as_me:$LINENO: error: Component gr-audio-windows requires gnuradio-core to be included as --with-gnuradio-core[=arg]" >&5
+$as_echo "$as_me: error: Component gr-audio-windows requires gnuradio-core to be included as --with-gnuradio-core[=arg]" >&2;}
+   { (exit 1); exit 1; }; }
+            fi
+        fi
+    fi
+
+
+                    if test $passed = yes; then
+        case "$host_os" in
+          cygwin*|win*|mingw*)
+
+{ $as_echo "$as_me:$LINENO: checking for main in -lwinmm" >&5
+$as_echo_n "checking for main in -lwinmm... " >&6; }
+if test "${ac_cv_lib_winmm_main+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-lwinmm  $LIBS"
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+
+int
+main ()
+{
+return main ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  ac_cv_lib_winmm_main=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_lib_winmm_main=no
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_lib_winmm_main" >&5
+$as_echo "$ac_cv_lib_winmm_main" >&6; }
+if test "x$ac_cv_lib_winmm_main" = x""yes; then
+  cat >>confdefs.h <<_ACEOF
+#define HAVE_LIBWINMM 1
+_ACEOF
+
+  LIBS="-lwinmm $LIBS"
+
+else
+  passed=no;{ $as_echo "$as_me:$LINENO: result: gr-audio-windows requires library winmm, not found." >&5
+$as_echo "gr-audio-windows requires library winmm, not found." >&6; }
+fi
+ac_cv_lib_winmm=ac_cv_lib_winmm_main
+
+            ;;
+          *)
+            { $as_echo "$as_me:$LINENO: result: gr-audio-windows will build on a Windows Unix environment only." >&5
+$as_echo "gr-audio-windows will build on a Windows Unix environment only." >&6; }
+          passed=no
+          ;;
+        esac
+    fi
+
+    ac_config_files="$ac_config_files gr-audio-windows/Makefile gr-audio-windows/src/Makefile gr-audio-windows/src/run_tests"
+
+
+
+
+    gr_audio_windows_with=no
+    if test $passed = no; then
+       if test x$enable_gr_audio_windows = xyes; then
+           { { $as_echo "$as_me:$LINENO: error: Component gr-audio-windows has errors; stopping." >&5
+$as_echo "$as_me: error: Component gr-audio-windows has errors; stopping." >&2;}
+   { (exit 1); exit 1; }; }
+       else
+           { $as_echo "$as_me:$LINENO: result: Not building component gr-audio-windows." >&5
+$as_echo "Not building component gr-audio-windows." >&6; }
+       fi
+    else
+        if test $passed = with; then
+           with_dirs="$with_dirs gr-audio-windows"
+
+    if test "x${gr_audio_windows_INCLUDES}" != "x"; then
+        if test "x$with_INCLUDES" = "x"; then
+            with_INCLUDES="${gr_audio_windows_INCLUDES}"
+       else
+           with_INCLUDES="${gr_audio_windows_INCLUDES}"" ""$with_INCLUDES"
+       fi
+       gr_audio_windows_INCLUDES=
+    fi
+
+
+    if test "x${gr_audio_windows_SWIG_INCLUDES}" != "x"; then
+        if test "x$with_SWIG_INCLUDES" = "x"; then
+            with_SWIG_INCLUDES="${gr_audio_windows_SWIG_INCLUDES}"
+       else
+           with_SWIG_INCLUDES="${gr_audio_windows_SWIG_INCLUDES}"" ""$with_SWIG_INCLUDES"
+       fi
+       gr_audio_windows_SWIG_INCLUDES=
+    fi
+
+
+    if test "x${gr_audio_windows_PYDIRPATH}" != "x"; then
+        if test "x$with_PYDIRPATH" = "x"; then
+            with_PYDIRPATH="${gr_audio_windows_PYDIRPATH}"
+       else
+           with_PYDIRPATH="${gr_audio_windows_PYDIRPATH}"":""$with_PYDIRPATH"
+       fi
+       gr_audio_windows_PYDIRPATH=
+    fi
+
+
+    if test "x${gr_audio_windows_SWIGDIRPATH}" != "x"; then
+        if test "x$with_SWIGDIRPATH" = "x"; then
+            with_SWIGDIRPATH="${gr_audio_windows_SWIGDIRPATH}"
+       else
+           with_SWIGDIRPATH="${gr_audio_windows_SWIGDIRPATH}"":""$with_SWIGDIRPATH"
+       fi
+       gr_audio_windows_SWIGDIRPATH=
+    fi
+
+
+    if test "x${gr_audio_windows_LIBDIRPATH}" != "x"; then
+        if test "x$with_LIBDIRPATH" = "x"; then
+            with_LIBDIRPATH="${gr_audio_windows_LIBDIRPATH}"
+       else
+           with_LIBDIRPATH="${gr_audio_windows_LIBDIRPATH}"":""$with_LIBDIRPATH"
+       fi
+       gr_audio_windows_LIBDIRPATH=
+    fi
+
+           { $as_echo "$as_me:$LINENO: result: Component gr-audio-windows will be included from a pre-installed library and includes." >&5
+$as_echo "Component gr-audio-windows will be included from a pre-installed library and includes." >&6; }
+           gr_audio_windows_with=yes
+       else
+           gr_audio_windows_LDFLAG=
+            if test x$enable_gr_audio_windows != xno; then
+               WINAUDIO_LIBS=-lwinmm
+
+               ac_config_commands="$ac_config_commands run_tests_audio_windows"
+
+
+               build_dirs="$build_dirs gr-audio-windows"
+               { $as_echo "$as_me:$LINENO: result: Component gr-audio-windows passed configuration checks; building." >&5
+$as_echo "Component gr-audio-windows passed configuration checks; building." >&6; }
+            else
+               passed=no
+               { $as_echo "$as_me:$LINENO: result: Component gr-audio-windows passed configuration checks; but not building." >&5
+$as_echo "Component gr-audio-windows passed configuration checks; but not building." >&6; }
+           fi
+       fi
+    fi
+    if test $passed = no; then
+        skipped_dirs="$skipped_dirs gr-audio-windows"
+        gr_audio_windows_skipped=yes
+    else
+        gr_audio_windows_skipped=no
+    fi
+
+
+
+
+
+
+
+
+
+
+
+    passed=yes
+    # Check whether --enable-gr-cvsd-vocoder was given.
+if test "${enable_gr_cvsd_vocoder+set}" = set; then
+  enableval=$enable_gr_cvsd_vocoder;
+else
+
+        enable_gr_cvsd_vocoder=$enable_all_components
+        if test x$enable_all_components = xno; then
+            passed=no
+        fi
+
+fi
+
+
+
+
+
+    if test $passed != no; then
+        if test $passed = yes; then
+                        if test x$gnuradio_core_skipped = xyes; then
+                { $as_echo "$as_me:$LINENO: result: Component gr-cvsd-vocoder requires gnuradio-core, which is not being built or specified via pre-installed files." >&5
+$as_echo "Component gr-cvsd-vocoder requires gnuradio-core, which is not being built or specified via pre-installed files." >&6; }
+                passed=no
+            fi
+        else
+                        if test x$gnuradio_core_with = xno; then
+                { { $as_echo "$as_me:$LINENO: error: Component gr-cvsd-vocoder requires gnuradio-core to be included as --with-gnuradio-core[=arg]" >&5
+$as_echo "$as_me: error: Component gr-cvsd-vocoder requires gnuradio-core to be included as --with-gnuradio-core[=arg]" >&2;}
+   { (exit 1); exit 1; }; }
+            fi
+        fi
+    fi
+
+
+    ac_config_files="$ac_config_files gr-cvsd-vocoder/Makefile gr-cvsd-vocoder/src/Makefile gr-cvsd-vocoder/src/lib/Makefile gr-cvsd-vocoder/src/python/Makefile gr-cvsd-vocoder/src/python/run_tests"
+
+
+
+
+    gr_cvsd_vocoder_with=no
+    if test $passed = no; then
+       if test x$enable_gr_cvsd_vocoder = xyes; then
+           { { $as_echo "$as_me:$LINENO: error: Component gr-cvsd-vocoder has errors; stopping." >&5
+$as_echo "$as_me: error: Component gr-cvsd-vocoder has errors; stopping." >&2;}
+   { (exit 1); exit 1; }; }
+       else
+           { $as_echo "$as_me:$LINENO: result: Not building component gr-cvsd-vocoder." >&5
+$as_echo "Not building component gr-cvsd-vocoder." >&6; }
+       fi
+    else
+        if test $passed = with; then
+           with_dirs="$with_dirs gr-cvsd-vocoder"
+
+    if test "x${gr_cvsd_vocoder_INCLUDES}" != "x"; then
+        if test "x$with_INCLUDES" = "x"; then
+            with_INCLUDES="${gr_cvsd_vocoder_INCLUDES}"
+       else
+           with_INCLUDES="${gr_cvsd_vocoder_INCLUDES}"" ""$with_INCLUDES"
+       fi
+       gr_cvsd_vocoder_INCLUDES=
+    fi
+
+
+    if test "x${gr_cvsd_vocoder_SWIG_INCLUDES}" != "x"; then
+        if test "x$with_SWIG_INCLUDES" = "x"; then
+            with_SWIG_INCLUDES="${gr_cvsd_vocoder_SWIG_INCLUDES}"
+       else
+           with_SWIG_INCLUDES="${gr_cvsd_vocoder_SWIG_INCLUDES}"" ""$with_SWIG_INCLUDES"
+       fi
+       gr_cvsd_vocoder_SWIG_INCLUDES=
+    fi
+
+
+    if test "x${gr_cvsd_vocoder_PYDIRPATH}" != "x"; then
+        if test "x$with_PYDIRPATH" = "x"; then
+            with_PYDIRPATH="${gr_cvsd_vocoder_PYDIRPATH}"
+       else
+           with_PYDIRPATH="${gr_cvsd_vocoder_PYDIRPATH}"":""$with_PYDIRPATH"
+       fi
+       gr_cvsd_vocoder_PYDIRPATH=
+    fi
+
+
+    if test "x${gr_cvsd_vocoder_SWIGDIRPATH}" != "x"; then
+        if test "x$with_SWIGDIRPATH" = "x"; then
+            with_SWIGDIRPATH="${gr_cvsd_vocoder_SWIGDIRPATH}"
+       else
+           with_SWIGDIRPATH="${gr_cvsd_vocoder_SWIGDIRPATH}"":""$with_SWIGDIRPATH"
+       fi
+       gr_cvsd_vocoder_SWIGDIRPATH=
+    fi
+
+
+    if test "x${gr_cvsd_vocoder_LIBDIRPATH}" != "x"; then
+        if test "x$with_LIBDIRPATH" = "x"; then
+            with_LIBDIRPATH="${gr_cvsd_vocoder_LIBDIRPATH}"
+       else
+           with_LIBDIRPATH="${gr_cvsd_vocoder_LIBDIRPATH}"":""$with_LIBDIRPATH"
+       fi
+       gr_cvsd_vocoder_LIBDIRPATH=
+    fi
+
+           { $as_echo "$as_me:$LINENO: result: Component gr-cvsd-vocoder will be included from a pre-installed library and includes." >&5
+$as_echo "Component gr-cvsd-vocoder will be included from a pre-installed library and includes." >&6; }
+           gr_cvsd_vocoder_with=yes
+       else
+           gr_cvsd_vocoder_LDFLAG=
+            if test x$enable_gr_cvsd_vocoder != xno; then
+                       ac_config_commands="$ac_config_commands run_tests_cvsd"
+
+
+               build_dirs="$build_dirs gr-cvsd-vocoder"
+               { $as_echo "$as_me:$LINENO: result: Component gr-cvsd-vocoder passed configuration checks; building." >&5
+$as_echo "Component gr-cvsd-vocoder passed configuration checks; building." >&6; }
+            else
+               passed=no
+               { $as_echo "$as_me:$LINENO: result: Component gr-cvsd-vocoder passed configuration checks; but not building." >&5
+$as_echo "Component gr-cvsd-vocoder passed configuration checks; but not building." >&6; }
+           fi
+       fi
+    fi
+    if test $passed = no; then
+        skipped_dirs="$skipped_dirs gr-cvsd-vocoder"
+        gr_cvsd_vocoder_skipped=yes
+    else
+        gr_cvsd_vocoder_skipped=no
+    fi
+
+
+
+
+
+
+
+
+
+
+
+    passed=yes
+    # Check whether --enable-gr-gpio was given.
+if test "${enable_gr_gpio+set}" = set; then
+  enableval=$enable_gr_gpio;
+else
+
+        enable_gr_gpio=$enable_all_components
+        if test x$enable_all_components = xno; then
+            passed=no
+        fi
+
+fi
+
+
+
+
+
+    if test $passed != no; then
+        if test $passed = yes; then
+                        if test x$usrp_skipped = xyes; then
+                { $as_echo "$as_me:$LINENO: result: Component gr-gpio requires usrp, which is not being built or specified via pre-installed files." >&5
+$as_echo "Component gr-gpio requires usrp, which is not being built or specified via pre-installed files." >&6; }
+                passed=no
+            fi
+        else
+                        if test x$usrp_with = xno; then
+                { { $as_echo "$as_me:$LINENO: error: Component gr-gpio requires usrp to be included as --with-usrp[=arg]" >&5
+$as_echo "$as_me: error: Component gr-gpio requires usrp to be included as --with-usrp[=arg]" >&2;}
+   { (exit 1); exit 1; }; }
+            fi
+        fi
+    fi
+
+
+    ac_config_files="$ac_config_files gr-gpio/Makefile gr-gpio/src/Makefile gr-gpio/src/fpga/Makefile gr-gpio/src/fpga/include/Makefile gr-gpio/src/fpga/top/Makefile gr-gpio/src/fpga/lib/Makefile gr-gpio/src/fpga/rbf/Makefile gr-gpio/src/python/Makefile"
+
+
+
+
+    gr_gpio_with=no
+    if test $passed = no; then
+       if test x$enable_gr_gpio = xyes; then
+           { { $as_echo "$as_me:$LINENO: error: Component gr-gpio has errors; stopping." >&5
+$as_echo "$as_me: error: Component gr-gpio has errors; stopping." >&2;}
+   { (exit 1); exit 1; }; }
+       else
+           { $as_echo "$as_me:$LINENO: result: Not building component gr-gpio." >&5
+$as_echo "Not building component gr-gpio." >&6; }
+       fi
+    else
+        if test $passed = with; then
+           with_dirs="$with_dirs gr-gpio"
+
+    if test "x${gr_gpio_INCLUDES}" != "x"; then
+        if test "x$with_INCLUDES" = "x"; then
+            with_INCLUDES="${gr_gpio_INCLUDES}"
+       else
+           with_INCLUDES="${gr_gpio_INCLUDES}"" ""$with_INCLUDES"
+       fi
+       gr_gpio_INCLUDES=
+    fi
+
+
+    if test "x${gr_gpio_SWIG_INCLUDES}" != "x"; then
+        if test "x$with_SWIG_INCLUDES" = "x"; then
+            with_SWIG_INCLUDES="${gr_gpio_SWIG_INCLUDES}"
+       else
+           with_SWIG_INCLUDES="${gr_gpio_SWIG_INCLUDES}"" ""$with_SWIG_INCLUDES"
+       fi
+       gr_gpio_SWIG_INCLUDES=
+    fi
+
+
+    if test "x${gr_gpio_PYDIRPATH}" != "x"; then
+        if test "x$with_PYDIRPATH" = "x"; then
+            with_PYDIRPATH="${gr_gpio_PYDIRPATH}"
+       else
+           with_PYDIRPATH="${gr_gpio_PYDIRPATH}"":""$with_PYDIRPATH"
+       fi
+       gr_gpio_PYDIRPATH=
+    fi
+
+
+    if test "x${gr_gpio_SWIGDIRPATH}" != "x"; then
+        if test "x$with_SWIGDIRPATH" = "x"; then
+            with_SWIGDIRPATH="${gr_gpio_SWIGDIRPATH}"
+       else
+           with_SWIGDIRPATH="${gr_gpio_SWIGDIRPATH}"":""$with_SWIGDIRPATH"
+       fi
+       gr_gpio_SWIGDIRPATH=
+    fi
+
+
+    if test "x${gr_gpio_LIBDIRPATH}" != "x"; then
+        if test "x$with_LIBDIRPATH" = "x"; then
+            with_LIBDIRPATH="${gr_gpio_LIBDIRPATH}"
+       else
+           with_LIBDIRPATH="${gr_gpio_LIBDIRPATH}"":""$with_LIBDIRPATH"
+       fi
+       gr_gpio_LIBDIRPATH=
+    fi
+
+           { $as_echo "$as_me:$LINENO: result: Component gr-gpio will be included from a pre-installed library and includes." >&5
+$as_echo "Component gr-gpio will be included from a pre-installed library and includes." >&6; }
+           gr_gpio_with=yes
+       else
+           gr_gpio_LDFLAG=
+            if test x$enable_gr_gpio != xno; then
+               :
+               build_dirs="$build_dirs gr-gpio"
+               { $as_echo "$as_me:$LINENO: result: Component gr-gpio passed configuration checks; building." >&5
+$as_echo "Component gr-gpio passed configuration checks; building." >&6; }
+            else
+               passed=no
+               { $as_echo "$as_me:$LINENO: result: Component gr-gpio passed configuration checks; but not building." >&5
+$as_echo "Component gr-gpio passed configuration checks; but not building." >&6; }
+           fi
+       fi
+    fi
+    if test $passed = no; then
+        skipped_dirs="$skipped_dirs gr-gpio"
+        gr_gpio_skipped=yes
+    else
+        gr_gpio_skipped=no
+    fi
+
+
+
+
+
+
+
+
+
+
+
+    passed=yes
+    # Check whether --enable-gr-gsm-fr-vocoder was given.
+if test "${enable_gr_gsm_fr_vocoder+set}" = set; then
+  enableval=$enable_gr_gsm_fr_vocoder;
+else
+
+        enable_gr_gsm_fr_vocoder=$enable_all_components
+        if test x$enable_all_components = xno; then
+            passed=no
+        fi
+
+fi
+
+
+
+
+
+    if test $passed != no; then
+        if test $passed = yes; then
+                        if test x$gnuradio_core_skipped = xyes; then
+                { $as_echo "$as_me:$LINENO: result: Component gr-gsm-fr-vocoder requires gnuradio-core, which is not being built or specified via pre-installed files." >&5
+$as_echo "Component gr-gsm-fr-vocoder requires gnuradio-core, which is not being built or specified via pre-installed files." >&6; }
+                passed=no
+            fi
+        else
+                        if test x$gnuradio_core_with = xno; then
+                { { $as_echo "$as_me:$LINENO: error: Component gr-gsm-fr-vocoder requires gnuradio-core to be included as --with-gnuradio-core[=arg]" >&5
+$as_echo "$as_me: error: Component gr-gsm-fr-vocoder requires gnuradio-core to be included as --with-gnuradio-core[=arg]" >&2;}
+   { (exit 1); exit 1; }; }
+            fi
+        fi
+    fi
+
+
+    ac_config_files="$ac_config_files gr-gsm-fr-vocoder/Makefile gr-gsm-fr-vocoder/src/Makefile gr-gsm-fr-vocoder/src/lib/Makefile gr-gsm-fr-vocoder/src/lib/gsm/Makefile gr-gsm-fr-vocoder/src/python/Makefile gr-gsm-fr-vocoder/src/python/run_tests"
+
+
+
+
+    gr_gsm_fr_vocoder_with=no
+    if test $passed = no; then
+       if test x$enable_gr_gsm_fr_vocoder = xyes; then
+           { { $as_echo "$as_me:$LINENO: error: Component gr-gsm-fr-vocoder has errors; stopping." >&5
+$as_echo "$as_me: error: Component gr-gsm-fr-vocoder has errors; stopping." >&2;}
+   { (exit 1); exit 1; }; }
+       else
+           { $as_echo "$as_me:$LINENO: result: Not building component gr-gsm-fr-vocoder." >&5
+$as_echo "Not building component gr-gsm-fr-vocoder." >&6; }
+       fi
+    else
+        if test $passed = with; then
+           with_dirs="$with_dirs gr-gsm-fr-vocoder"
+
+    if test "x${gr_gsm_fr_vocoder_INCLUDES}" != "x"; then
+        if test "x$with_INCLUDES" = "x"; then
+            with_INCLUDES="${gr_gsm_fr_vocoder_INCLUDES}"
+       else
+           with_INCLUDES="${gr_gsm_fr_vocoder_INCLUDES}"" ""$with_INCLUDES"
+       fi
+       gr_gsm_fr_vocoder_INCLUDES=
+    fi
+
+
+    if test "x${gr_gsm_fr_vocoder_SWIG_INCLUDES}" != "x"; then
+        if test "x$with_SWIG_INCLUDES" = "x"; then
+            with_SWIG_INCLUDES="${gr_gsm_fr_vocoder_SWIG_INCLUDES}"
+       else
+           with_SWIG_INCLUDES="${gr_gsm_fr_vocoder_SWIG_INCLUDES}"" ""$with_SWIG_INCLUDES"
+       fi
+       gr_gsm_fr_vocoder_SWIG_INCLUDES=
+    fi
+
+
+    if test "x${gr_gsm_fr_vocoder_PYDIRPATH}" != "x"; then
+        if test "x$with_PYDIRPATH" = "x"; then
+            with_PYDIRPATH="${gr_gsm_fr_vocoder_PYDIRPATH}"
+       else
+           with_PYDIRPATH="${gr_gsm_fr_vocoder_PYDIRPATH}"":""$with_PYDIRPATH"
+       fi
+       gr_gsm_fr_vocoder_PYDIRPATH=
+    fi
+
+
+    if test "x${gr_gsm_fr_vocoder_SWIGDIRPATH}" != "x"; then
+        if test "x$with_SWIGDIRPATH" = "x"; then
+            with_SWIGDIRPATH="${gr_gsm_fr_vocoder_SWIGDIRPATH}"
+       else
+           with_SWIGDIRPATH="${gr_gsm_fr_vocoder_SWIGDIRPATH}"":""$with_SWIGDIRPATH"
+       fi
+       gr_gsm_fr_vocoder_SWIGDIRPATH=
+    fi
+
+
+    if test "x${gr_gsm_fr_vocoder_LIBDIRPATH}" != "x"; then
+        if test "x$with_LIBDIRPATH" = "x"; then
+            with_LIBDIRPATH="${gr_gsm_fr_vocoder_LIBDIRPATH}"
+       else
+           with_LIBDIRPATH="${gr_gsm_fr_vocoder_LIBDIRPATH}"":""$with_LIBDIRPATH"
+       fi
+       gr_gsm_fr_vocoder_LIBDIRPATH=
+    fi
+
+           { $as_echo "$as_me:$LINENO: result: Component gr-gsm-fr-vocoder will be included from a pre-installed library and includes." >&5
+$as_echo "Component gr-gsm-fr-vocoder will be included from a pre-installed library and includes." >&6; }
+           gr_gsm_fr_vocoder_with=yes
+       else
+           gr_gsm_fr_vocoder_LDFLAG=
+            if test x$enable_gr_gsm_fr_vocoder != xno; then
+                       ac_config_commands="$ac_config_commands run_tests_gsm"
+
+
+               build_dirs="$build_dirs gr-gsm-fr-vocoder"
+               { $as_echo "$as_me:$LINENO: result: Component gr-gsm-fr-vocoder passed configuration checks; building." >&5
+$as_echo "Component gr-gsm-fr-vocoder passed configuration checks; building." >&6; }
+            else
+               passed=no
+               { $as_echo "$as_me:$LINENO: result: Component gr-gsm-fr-vocoder passed configuration checks; but not building." >&5
+$as_echo "Component gr-gsm-fr-vocoder passed configuration checks; but not building." >&6; }
+           fi
+       fi
+    fi
+    if test $passed = no; then
+        skipped_dirs="$skipped_dirs gr-gsm-fr-vocoder"
+        gr_gsm_fr_vocoder_skipped=yes
+    else
+        gr_gsm_fr_vocoder_skipped=no
+    fi
+
+
+
+
+
+
+
+
+
+
+
+    passed=yes
+    # Check whether --enable-gr-pager was given.
+if test "${enable_gr_pager+set}" = set; then
+  enableval=$enable_gr_pager;
+else
+
+        enable_gr_pager=$enable_all_components
+        if test x$enable_all_components = xno; then
+            passed=no
+        fi
+
+fi
+
+
+
+
+
+    if test $passed != no; then
+        if test $passed = yes; then
+                        if test x$gnuradio_core_skipped = xyes; then
+                { $as_echo "$as_me:$LINENO: result: Component gr-pager requires gnuradio-core, which is not being built or specified via pre-installed files." >&5
+$as_echo "Component gr-pager requires gnuradio-core, which is not being built or specified via pre-installed files." >&6; }
+                passed=no
+            fi
+        else
+                        if test x$gnuradio_core_with = xno; then
+                { { $as_echo "$as_me:$LINENO: error: Component gr-pager requires gnuradio-core to be included as --with-gnuradio-core[=arg]" >&5
+$as_echo "$as_me: error: Component gr-pager requires gnuradio-core to be included as --with-gnuradio-core[=arg]" >&2;}
+   { (exit 1); exit 1; }; }
+            fi
+        fi
+    fi
+
+
+    ac_config_files="$ac_config_files gr-pager/Makefile gr-pager/src/Makefile gr-pager/src/run_tests"
+
+
+
+
+    gr_pager_with=no
+    if test $passed = no; then
+       if test x$enable_gr_pager = xyes; then
+           { { $as_echo "$as_me:$LINENO: error: Component gr-pager has errors; stopping." >&5
+$as_echo "$as_me: error: Component gr-pager has errors; stopping." >&2;}
+   { (exit 1); exit 1; }; }
+       else
+           { $as_echo "$as_me:$LINENO: result: Not building component gr-pager." >&5
+$as_echo "Not building component gr-pager." >&6; }
+       fi
+    else
+        if test $passed = with; then
+           with_dirs="$with_dirs gr-pager"
+
+    if test "x${gr_pager_INCLUDES}" != "x"; then
+        if test "x$with_INCLUDES" = "x"; then
+            with_INCLUDES="${gr_pager_INCLUDES}"
+       else
+           with_INCLUDES="${gr_pager_INCLUDES}"" ""$with_INCLUDES"
+       fi
+       gr_pager_INCLUDES=
+    fi
+
+
+    if test "x${gr_pager_SWIG_INCLUDES}" != "x"; then
+        if test "x$with_SWIG_INCLUDES" = "x"; then
+            with_SWIG_INCLUDES="${gr_pager_SWIG_INCLUDES}"
+       else
+           with_SWIG_INCLUDES="${gr_pager_SWIG_INCLUDES}"" ""$with_SWIG_INCLUDES"
+       fi
+       gr_pager_SWIG_INCLUDES=
+    fi
+
+
+    if test "x${gr_pager_PYDIRPATH}" != "x"; then
+        if test "x$with_PYDIRPATH" = "x"; then
+            with_PYDIRPATH="${gr_pager_PYDIRPATH}"
+       else
+           with_PYDIRPATH="${gr_pager_PYDIRPATH}"":""$with_PYDIRPATH"
+       fi
+       gr_pager_PYDIRPATH=
+    fi
+
+
+    if test "x${gr_pager_SWIGDIRPATH}" != "x"; then
+        if test "x$with_SWIGDIRPATH" = "x"; then
+            with_SWIGDIRPATH="${gr_pager_SWIGDIRPATH}"
+       else
+           with_SWIGDIRPATH="${gr_pager_SWIGDIRPATH}"":""$with_SWIGDIRPATH"
+       fi
+       gr_pager_SWIGDIRPATH=
+    fi
+
+
+    if test "x${gr_pager_LIBDIRPATH}" != "x"; then
+        if test "x$with_LIBDIRPATH" = "x"; then
+            with_LIBDIRPATH="${gr_pager_LIBDIRPATH}"
+       else
+           with_LIBDIRPATH="${gr_pager_LIBDIRPATH}"":""$with_LIBDIRPATH"
+       fi
+       gr_pager_LIBDIRPATH=
+    fi
+
+           { $as_echo "$as_me:$LINENO: result: Component gr-pager will be included from a pre-installed library and includes." >&5
+$as_echo "Component gr-pager will be included from a pre-installed library and includes." >&6; }
+           gr_pager_with=yes
+       else
+           gr_pager_LDFLAG=
+            if test x$enable_gr_pager != xno; then
+                       ac_config_commands="$ac_config_commands run_tests_pager"
+
+
+               build_dirs="$build_dirs gr-pager"
+               { $as_echo "$as_me:$LINENO: result: Component gr-pager passed configuration checks; building." >&5
+$as_echo "Component gr-pager passed configuration checks; building." >&6; }
+            else
+               passed=no
+               { $as_echo "$as_me:$LINENO: result: Component gr-pager passed configuration checks; but not building." >&5
+$as_echo "Component gr-pager passed configuration checks; but not building." >&6; }
+           fi
+       fi
+    fi
+    if test $passed = no; then
+        skipped_dirs="$skipped_dirs gr-pager"
+        gr_pager_skipped=yes
+    else
+        gr_pager_skipped=no
+    fi
+
+
+
+
+
+
+
+
+
+
+
+    passed=yes
+    # Check whether --enable-gr-radar-mono was given.
+if test "${enable_gr_radar_mono+set}" = set; then
+  enableval=$enable_gr_radar_mono;
+else
+
+        enable_gr_radar_mono=$enable_all_components
+        if test x$enable_all_components = xno; then
+            passed=no
+        fi
+
+fi
+
+
+
+
+
+    if test $passed != no; then
+        if test $passed = yes; then
+                        if test x$usrp_skipped = xyes; then
+                { $as_echo "$as_me:$LINENO: result: Component gr-radar-mono requires usrp, which is not being built or specified via pre-installed files." >&5
+$as_echo "Component gr-radar-mono requires usrp, which is not being built or specified via pre-installed files." >&6; }
+                passed=no
+            fi
+        else
+                        if test x$usrp_with = xno; then
+                { { $as_echo "$as_me:$LINENO: error: Component gr-radar-mono requires usrp to be included as --with-usrp[=arg]" >&5
+$as_echo "$as_me: error: Component gr-radar-mono requires usrp to be included as --with-usrp[=arg]" >&2;}
+   { (exit 1); exit 1; }; }
+            fi
+        fi
+    fi
+
+
+    if test $passed != no; then
+        if test $passed = yes; then
+                        if test x$gnuradio_core_skipped = xyes; then
+                { $as_echo "$as_me:$LINENO: result: Component gr-radar-mono requires gnuradio-core, which is not being built or specified via pre-installed files." >&5
+$as_echo "Component gr-radar-mono requires gnuradio-core, which is not being built or specified via pre-installed files." >&6; }
+                passed=no
+            fi
+        else
+                        if test x$gnuradio_core_with = xno; then
+                { { $as_echo "$as_me:$LINENO: error: Component gr-radar-mono requires gnuradio-core to be included as --with-gnuradio-core[=arg]" >&5
+$as_echo "$as_me: error: Component gr-radar-mono requires gnuradio-core to be included as --with-gnuradio-core[=arg]" >&2;}
+   { (exit 1); exit 1; }; }
+            fi
+        fi
+    fi
+
+
+    ac_config_files="$ac_config_files gr-radar-mono/Makefile gr-radar-mono/doc/Makefile gr-radar-mono/src/Makefile gr-radar-mono/src/fpga/Makefile gr-radar-mono/src/fpga/top/Makefile gr-radar-mono/src/fpga/lib/Makefile gr-radar-mono/src/fpga/models/Makefile gr-radar-mono/src/fpga/tb/Makefile gr-radar-mono/src/lib/Makefile gr-radar-mono/src/python/Makefile gr-radar-mono/src/python/run_tests"
+
+
+
+
+    gr_radar_mono_with=no
+    if test $passed = no; then
+       if test x$enable_gr_radar_mono = xyes; then
+           { { $as_echo "$as_me:$LINENO: error: Component gr-radar-mono has errors; stopping." >&5
+$as_echo "$as_me: error: Component gr-radar-mono has errors; stopping." >&2;}
+   { (exit 1); exit 1; }; }
+       else
+           { $as_echo "$as_me:$LINENO: result: Not building component gr-radar-mono." >&5
+$as_echo "Not building component gr-radar-mono." >&6; }
+       fi
+    else
+        if test $passed = with; then
+           with_dirs="$with_dirs gr-radar-mono"
+
+    if test "x${gr_radar_mono_INCLUDES}" != "x"; then
+        if test "x$with_INCLUDES" = "x"; then
+            with_INCLUDES="${gr_radar_mono_INCLUDES}"
+       else
+           with_INCLUDES="${gr_radar_mono_INCLUDES}"" ""$with_INCLUDES"
+       fi
+       gr_radar_mono_INCLUDES=
+    fi
+
+
+    if test "x${gr_radar_mono_SWIG_INCLUDES}" != "x"; then
+        if test "x$with_SWIG_INCLUDES" = "x"; then
+            with_SWIG_INCLUDES="${gr_radar_mono_SWIG_INCLUDES}"
+       else
+           with_SWIG_INCLUDES="${gr_radar_mono_SWIG_INCLUDES}"" ""$with_SWIG_INCLUDES"
+       fi
+       gr_radar_mono_SWIG_INCLUDES=
+    fi
+
+
+    if test "x${gr_radar_mono_PYDIRPATH}" != "x"; then
+        if test "x$with_PYDIRPATH" = "x"; then
+            with_PYDIRPATH="${gr_radar_mono_PYDIRPATH}"
+       else
+           with_PYDIRPATH="${gr_radar_mono_PYDIRPATH}"":""$with_PYDIRPATH"
+       fi
+       gr_radar_mono_PYDIRPATH=
+    fi
+
+
+    if test "x${gr_radar_mono_SWIGDIRPATH}" != "x"; then
+        if test "x$with_SWIGDIRPATH" = "x"; then
+            with_SWIGDIRPATH="${gr_radar_mono_SWIGDIRPATH}"
+       else
+           with_SWIGDIRPATH="${gr_radar_mono_SWIGDIRPATH}"":""$with_SWIGDIRPATH"
+       fi
+       gr_radar_mono_SWIGDIRPATH=
+    fi
+
+
+    if test "x${gr_radar_mono_LIBDIRPATH}" != "x"; then
+        if test "x$with_LIBDIRPATH" = "x"; then
+            with_LIBDIRPATH="${gr_radar_mono_LIBDIRPATH}"
+       else
+           with_LIBDIRPATH="${gr_radar_mono_LIBDIRPATH}"":""$with_LIBDIRPATH"
+       fi
+       gr_radar_mono_LIBDIRPATH=
+    fi
+
+           { $as_echo "$as_me:$LINENO: result: Component gr-radar-mono will be included from a pre-installed library and includes." >&5
+$as_echo "Component gr-radar-mono will be included from a pre-installed library and includes." >&6; }
+           gr_radar_mono_with=yes
+       else
+           gr_radar_mono_LDFLAG=
+            if test x$enable_gr_radar_mono != xno; then
+                       ac_config_commands="$ac_config_commands run_tests_radar_mono"
+
+
+               build_dirs="$build_dirs gr-radar-mono"
+               { $as_echo "$as_me:$LINENO: result: Component gr-radar-mono passed configuration checks; building." >&5
+$as_echo "Component gr-radar-mono passed configuration checks; building." >&6; }
+            else
+               passed=no
+               { $as_echo "$as_me:$LINENO: result: Component gr-radar-mono passed configuration checks; but not building." >&5
+$as_echo "Component gr-radar-mono passed configuration checks; but not building." >&6; }
+           fi
+       fi
+    fi
+    if test $passed = no; then
+        skipped_dirs="$skipped_dirs gr-radar-mono"
+        gr_radar_mono_skipped=yes
+    else
+        gr_radar_mono_skipped=no
+    fi
+
+
+
+
+
+
+
+
+
+
+
+    passed=yes
+    # Check whether --enable-gr-radio-astronomy was given.
+if test "${enable_gr_radio_astronomy+set}" = set; then
+  enableval=$enable_gr_radio_astronomy;
+else
+
+        enable_gr_radio_astronomy=$enable_all_components
+        if test x$enable_all_components = xno; then
+            passed=no
+        fi
+
+fi
+
+
+
+
+
+    if test $passed != no; then
+        if test $passed = yes; then
+                        if test x$gnuradio_core_skipped = xyes; then
+                { $as_echo "$as_me:$LINENO: result: Component gr-radio-astronomy requires gnuradio-core, which is not being built or specified via pre-installed files." >&5
+$as_echo "Component gr-radio-astronomy requires gnuradio-core, which is not being built or specified via pre-installed files." >&6; }
+                passed=no
+            fi
+        else
+                        if test x$gnuradio_core_with = xno; then
+                { { $as_echo "$as_me:$LINENO: error: Component gr-radio-astronomy requires gnuradio-core to be included as --with-gnuradio-core[=arg]" >&5
+$as_echo "$as_me: error: Component gr-radio-astronomy requires gnuradio-core to be included as --with-gnuradio-core[=arg]" >&2;}
+   { (exit 1); exit 1; }; }
+            fi
+        fi
+    fi
+
+
+    ac_config_files="$ac_config_files gr-radio-astronomy/Makefile gr-radio-astronomy/src/Makefile gr-radio-astronomy/src/lib/Makefile gr-radio-astronomy/src/python/Makefile gr-radio-astronomy/src/python/run_tests"
+
+
+
+
+    gr_radio_astronomy_with=no
+    if test $passed = no; then
+       if test x$enable_gr_radio_astronomy = xyes; then
+           { { $as_echo "$as_me:$LINENO: error: Component gr-radio-astronomy has errors; stopping." >&5
+$as_echo "$as_me: error: Component gr-radio-astronomy has errors; stopping." >&2;}
+   { (exit 1); exit 1; }; }
+       else
+           { $as_echo "$as_me:$LINENO: result: Not building component gr-radio-astronomy." >&5
+$as_echo "Not building component gr-radio-astronomy." >&6; }
+       fi
+    else
+        if test $passed = with; then
+           with_dirs="$with_dirs gr-radio-astronomy"
+
+    if test "x${gr_radio_astronomy_INCLUDES}" != "x"; then
+        if test "x$with_INCLUDES" = "x"; then
+            with_INCLUDES="${gr_radio_astronomy_INCLUDES}"
+       else
+           with_INCLUDES="${gr_radio_astronomy_INCLUDES}"" ""$with_INCLUDES"
+       fi
+       gr_radio_astronomy_INCLUDES=
+    fi
+
+
+    if test "x${gr_radio_astronomy_SWIG_INCLUDES}" != "x"; then
+        if test "x$with_SWIG_INCLUDES" = "x"; then
+            with_SWIG_INCLUDES="${gr_radio_astronomy_SWIG_INCLUDES}"
+       else
+           with_SWIG_INCLUDES="${gr_radio_astronomy_SWIG_INCLUDES}"" ""$with_SWIG_INCLUDES"
+       fi
+       gr_radio_astronomy_SWIG_INCLUDES=
+    fi
+
+
+    if test "x${gr_radio_astronomy_PYDIRPATH}" != "x"; then
+        if test "x$with_PYDIRPATH" = "x"; then
+            with_PYDIRPATH="${gr_radio_astronomy_PYDIRPATH}"
+       else
+           with_PYDIRPATH="${gr_radio_astronomy_PYDIRPATH}"":""$with_PYDIRPATH"
+       fi
+       gr_radio_astronomy_PYDIRPATH=
+    fi
+
+
+    if test "x${gr_radio_astronomy_SWIGDIRPATH}" != "x"; then
+        if test "x$with_SWIGDIRPATH" = "x"; then
+            with_SWIGDIRPATH="${gr_radio_astronomy_SWIGDIRPATH}"
+       else
+           with_SWIGDIRPATH="${gr_radio_astronomy_SWIGDIRPATH}"":""$with_SWIGDIRPATH"
+       fi
+       gr_radio_astronomy_SWIGDIRPATH=
+    fi
+
+
+    if test "x${gr_radio_astronomy_LIBDIRPATH}" != "x"; then
+        if test "x$with_LIBDIRPATH" = "x"; then
+            with_LIBDIRPATH="${gr_radio_astronomy_LIBDIRPATH}"
+       else
+           with_LIBDIRPATH="${gr_radio_astronomy_LIBDIRPATH}"":""$with_LIBDIRPATH"
+       fi
+       gr_radio_astronomy_LIBDIRPATH=
+    fi
+
+           { $as_echo "$as_me:$LINENO: result: Component gr-radio-astronomy will be included from a pre-installed library and includes." >&5
+$as_echo "Component gr-radio-astronomy will be included from a pre-installed library and includes." >&6; }
+           gr_radio_astronomy_with=yes
+       else
+           gr_radio_astronomy_LDFLAG=
+            if test x$enable_gr_radio_astronomy != xno; then
+                       ac_config_commands="$ac_config_commands run_tests_astronomy"
+
+
+               build_dirs="$build_dirs gr-radio-astronomy"
+               { $as_echo "$as_me:$LINENO: result: Component gr-radio-astronomy passed configuration checks; building." >&5
+$as_echo "Component gr-radio-astronomy passed configuration checks; building." >&6; }
+            else
+               passed=no
+               { $as_echo "$as_me:$LINENO: result: Component gr-radio-astronomy passed configuration checks; but not building." >&5
+$as_echo "Component gr-radio-astronomy passed configuration checks; but not building." >&6; }
+           fi
+       fi
+    fi
+    if test $passed = no; then
+        skipped_dirs="$skipped_dirs gr-radio-astronomy"
+        gr_radio_astronomy_skipped=yes
+    else
+        gr_radio_astronomy_skipped=no
+    fi
+
+
+
+
+
+
+
+
+
+
+
+    passed=yes
+    # Check whether --enable-gr-trellis was given.
+if test "${enable_gr_trellis+set}" = set; then
+  enableval=$enable_gr_trellis;
+else
+
+        enable_gr_trellis=$enable_all_components
+        if test x$enable_all_components = xno; then
+            passed=no
+        fi
+
+fi
+
+
+
+
+
+    if test $passed != no; then
+        if test $passed = yes; then
+                        if test x$gnuradio_core_skipped = xyes; then
+                { $as_echo "$as_me:$LINENO: result: Component gr-trellis requires gnuradio-core, which is not being built or specified via pre-installed files." >&5
+$as_echo "Component gr-trellis requires gnuradio-core, which is not being built or specified via pre-installed files." >&6; }
+                passed=no
+            fi
+        else
+                        if test x$gnuradio_core_with = xno; then
+                { { $as_echo "$as_me:$LINENO: error: Component gr-trellis requires gnuradio-core to be included as --with-gnuradio-core[=arg]" >&5
+$as_echo "$as_me: error: Component gr-trellis requires gnuradio-core to be included as --with-gnuradio-core[=arg]" >&2;}
+   { (exit 1); exit 1; }; }
+            fi
+        fi
+    fi
+
+
+    ac_config_files="$ac_config_files gr-trellis/Makefile gr-trellis/doc/Makefile gr-trellis/src/Makefile gr-trellis/src/lib/Makefile gr-trellis/src/python/Makefile gr-trellis/src/python/run_tests gr-trellis/src/examples/Makefile gr-trellis/src/examples/fsm_files/Makefile"
+
+
+
+
+    gr_trellis_with=no
+    if test $passed = no; then
+       if test x$enable_gr_trellis = xyes; then
+           { { $as_echo "$as_me:$LINENO: error: Component gr-trellis has errors; stopping." >&5
+$as_echo "$as_me: error: Component gr-trellis has errors; stopping." >&2;}
+   { (exit 1); exit 1; }; }
+       else
+           { $as_echo "$as_me:$LINENO: result: Not building component gr-trellis." >&5
+$as_echo "Not building component gr-trellis." >&6; }
+       fi
+    else
+        if test $passed = with; then
+           with_dirs="$with_dirs gr-trellis"
+
+    if test "x${gr_trellis_INCLUDES}" != "x"; then
+        if test "x$with_INCLUDES" = "x"; then
+            with_INCLUDES="${gr_trellis_INCLUDES}"
+       else
+           with_INCLUDES="${gr_trellis_INCLUDES}"" ""$with_INCLUDES"
+       fi
+       gr_trellis_INCLUDES=
+    fi
+
+
+    if test "x${gr_trellis_SWIG_INCLUDES}" != "x"; then
+        if test "x$with_SWIG_INCLUDES" = "x"; then
+            with_SWIG_INCLUDES="${gr_trellis_SWIG_INCLUDES}"
+       else
+           with_SWIG_INCLUDES="${gr_trellis_SWIG_INCLUDES}"" ""$with_SWIG_INCLUDES"
+       fi
+       gr_trellis_SWIG_INCLUDES=
+    fi
+
+
+    if test "x${gr_trellis_PYDIRPATH}" != "x"; then
+        if test "x$with_PYDIRPATH" = "x"; then
+            with_PYDIRPATH="${gr_trellis_PYDIRPATH}"
+       else
+           with_PYDIRPATH="${gr_trellis_PYDIRPATH}"":""$with_PYDIRPATH"
+       fi
+       gr_trellis_PYDIRPATH=
+    fi
+
+
+    if test "x${gr_trellis_SWIGDIRPATH}" != "x"; then
+        if test "x$with_SWIGDIRPATH" = "x"; then
+            with_SWIGDIRPATH="${gr_trellis_SWIGDIRPATH}"
+       else
+           with_SWIGDIRPATH="${gr_trellis_SWIGDIRPATH}"":""$with_SWIGDIRPATH"
+       fi
+       gr_trellis_SWIGDIRPATH=
+    fi
+
+
+    if test "x${gr_trellis_LIBDIRPATH}" != "x"; then
+        if test "x$with_LIBDIRPATH" = "x"; then
+            with_LIBDIRPATH="${gr_trellis_LIBDIRPATH}"
+       else
+           with_LIBDIRPATH="${gr_trellis_LIBDIRPATH}"":""$with_LIBDIRPATH"
+       fi
+       gr_trellis_LIBDIRPATH=
+    fi
+
+           { $as_echo "$as_me:$LINENO: result: Component gr-trellis will be included from a pre-installed library and includes." >&5
+$as_echo "Component gr-trellis will be included from a pre-installed library and includes." >&6; }
+           gr_trellis_with=yes
+       else
+           gr_trellis_LDFLAG=
+            if test x$enable_gr_trellis != xno; then
+                       ac_config_commands="$ac_config_commands run_tests_gr_trellis"
+
+
+               build_dirs="$build_dirs gr-trellis"
+               { $as_echo "$as_me:$LINENO: result: Component gr-trellis passed configuration checks; building." >&5
+$as_echo "Component gr-trellis passed configuration checks; building." >&6; }
+            else
+               passed=no
+               { $as_echo "$as_me:$LINENO: result: Component gr-trellis passed configuration checks; but not building." >&5
+$as_echo "Component gr-trellis passed configuration checks; but not building." >&6; }
+           fi
+       fi
+    fi
+    if test $passed = no; then
+        skipped_dirs="$skipped_dirs gr-trellis"
+        gr_trellis_skipped=yes
+    else
+        gr_trellis_skipped=no
+    fi
+
+
+
+
+
+
+
+
+
+
+
+    passed=yes
+    # Check whether --enable-gr-video-sdl was given.
+if test "${enable_gr_video_sdl+set}" = set; then
+  enableval=$enable_gr_video_sdl;
+else
+
+        enable_gr_video_sdl=$enable_all_components
+        if test x$enable_all_components = xno; then
+            passed=no
+        fi
+
+fi
+
+
+
+
+
+    if test $passed != no; then
+        if test $passed = yes; then
+                        if test x$gnuradio_core_skipped = xyes; then
+                { $as_echo "$as_me:$LINENO: result: Component gr-video-sdl requires gnuradio-core, which is not being built or specified via pre-installed files." >&5
+$as_echo "Component gr-video-sdl requires gnuradio-core, which is not being built or specified via pre-installed files." >&6; }
+                passed=no
+            fi
+        else
+                        if test x$gnuradio_core_with = xno; then
+                { { $as_echo "$as_me:$LINENO: error: Component gr-video-sdl requires gnuradio-core to be included as --with-gnuradio-core[=arg]" >&5
+$as_echo "$as_me: error: Component gr-video-sdl requires gnuradio-core to be included as --with-gnuradio-core[=arg]" >&2;}
+   { (exit 1); exit 1; }; }
+            fi
+        fi
+    fi
+
+
+                    if test $passed = yes; then
+
+
+
+pkg_failed=no
+{ $as_echo "$as_me:$LINENO: checking for SDL" >&5
+$as_echo_n "checking for SDL... " >&6; }
+
+if test -n "$PKG_CONFIG"; then
+    if test -n "$SDL_CFLAGS"; then
+        pkg_cv_SDL_CFLAGS="$SDL_CFLAGS"
+    else
+        if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"sdl >= 1.2.0\"") >&5
+  ($PKG_CONFIG --exists --print-errors "sdl >= 1.2.0") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  pkg_cv_SDL_CFLAGS=`$PKG_CONFIG --cflags "sdl >= 1.2.0" 2>/dev/null`
+else
+  pkg_failed=yes
+fi
+    fi
+else
+       pkg_failed=untried
+fi
+
+if test x$cross_compiling = xyes
+then
+    if test -n "$PKG_CONFIG"; then
+    if test -n "$SDL_LIBS"; then
+        pkg_cv_SDL_LIBS="$SDL_LIBS"
+    else
+        if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"sdl >= 1.2.0\"") >&5
+  ($PKG_CONFIG --exists --print-errors "sdl >= 1.2.0") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  pkg_cv_SDL_LIBS=`$PKG_CONFIG --libs --static "sdl >= 1.2.0" 2>/dev/null`
+else
+  pkg_failed=yes
+fi
+    fi
+else
+       pkg_failed=untried
+fi
+    _pkg_tmp=
+  for flag in $pkg_cv_SDL_LIBS; do
+    case $flag in
+    (-L/lib* | -L/usr/lib* )      ;; # ignore
+    (*) _pkg_tmp="$_pkg_tmp $flag" ;;
+    esac
+  done
+  pkg_cv_SDL_LIBS="$_pkg_tmp"
+else
+  if test -n "$PKG_CONFIG"; then
+    if test -n "$SDL_LIBS"; then
+        pkg_cv_SDL_LIBS="$SDL_LIBS"
+    else
+        if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"sdl >= 1.2.0\"") >&5
+  ($PKG_CONFIG --exists --print-errors "sdl >= 1.2.0") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  pkg_cv_SDL_LIBS=`$PKG_CONFIG --libs --static "sdl >= 1.2.0" 2>/dev/null`
+else
+  pkg_failed=yes
+fi
+    fi
+else
+       pkg_failed=untried
+fi
+fi
+
+if test -n "$PKG_CONFIG"; then
+    if test -n "$SDL_INCLUDEDIR"; then
+        pkg_cv_SDL_INCLUDEDIR="$SDL_INCLUDEDIR"
+    else
+        if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"sdl >= 1.2.0\"") >&5
+  ($PKG_CONFIG --exists --print-errors "sdl >= 1.2.0") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  pkg_cv_SDL_INCLUDEDIR=`$PKG_CONFIG --variable=includedir "sdl >= 1.2.0" 2>/dev/null`
+else
+  pkg_failed=yes
+fi
+    fi
+else
+       pkg_failed=untried
+fi
+
+
+
+
+if test $pkg_failed = yes; then
+
+if $PKG_CONFIG --atleast-pkgconfig-version 0.20; then
+        _pkg_short_errors_supported=yes
+else
+        _pkg_short_errors_supported=no
+fi
+        if test $_pkg_short_errors_supported = yes; then
+               SDL_PKG_ERRORS=`$PKG_CONFIG --short-errors --errors-to-stdout --print-errors "sdl >= 1.2.0"`
+        else
+               SDL_PKG_ERRORS=`$PKG_CONFIG --errors-to-stdout --print-errors "sdl >= 1.2.0"`
+        fi
+       # Put the nasty error message in config.log where it belongs
+       echo "$SDL_PKG_ERRORS" >&5
+
+       { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+                SDL_VERSION=1.2.0
+
+# Check whether --with-sdl-prefix was given.
+if test "${with_sdl_prefix+set}" = set; then
+  withval=$with_sdl_prefix; sdl_prefix="$withval"
+else
+  sdl_prefix=""
+fi
+
+
+# Check whether --with-sdl-exec-prefix was given.
+if test "${with_sdl_exec_prefix+set}" = set; then
+  withval=$with_sdl_exec_prefix; sdl_exec_prefix="$withval"
+else
+  sdl_exec_prefix=""
+fi
+
+# Check whether --enable-sdltest was given.
+if test "${enable_sdltest+set}" = set; then
+  enableval=$enable_sdltest;
+else
+  enable_sdltest=yes
+fi
+
+
+  if test x$sdl_exec_prefix != x ; then
+     sdl_args="$sdl_args --exec-prefix=$sdl_exec_prefix"
+     if test x${SDL_CONFIG+set} != xset ; then
+        SDL_CONFIG=$sdl_exec_prefix/bin/sdl-config
+     fi
+  fi
+  if test x$sdl_prefix != x ; then
+     sdl_args="$sdl_args --prefix=$sdl_prefix"
+     if test x${SDL_CONFIG+set} != xset ; then
+        SDL_CONFIG=$sdl_prefix/bin/sdl-config
+     fi
+  fi
+
+
+  TMP_PATH="$prefix/bin:$prefix/usr/bin:$PATH"
+  # Extract the first word of "sdl-config", so it can be a program name with args.
+set dummy sdl-config; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_path_SDL_CONFIG+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  case $SDL_CONFIG in
+  [\\/]* | ?:[\\/]*)
+  ac_cv_path_SDL_CONFIG="$SDL_CONFIG" # Let the user override the test with a path.
+  ;;
+  *)
+  as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $TMP_PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_path_SDL_CONFIG="$as_dir/$ac_word$ac_exec_ext"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+  test -z "$ac_cv_path_SDL_CONFIG" && ac_cv_path_SDL_CONFIG="no"
+  ;;
+esac
+fi
+SDL_CONFIG=$ac_cv_path_SDL_CONFIG
+if test -n "$SDL_CONFIG"; then
+  { $as_echo "$as_me:$LINENO: result: $SDL_CONFIG" >&5
+$as_echo "$SDL_CONFIG" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+  min_sdl_version=$SDL_VERSION
+  { $as_echo "$as_me:$LINENO: checking for SDL - version >= $min_sdl_version" >&5
+$as_echo_n "checking for SDL - version >= $min_sdl_version... " >&6; }
+  no_sdl=""
+  if test "$SDL_CONFIG" = "no" ; then
+    no_sdl=yes
+  else
+    SDL_CFLAGS=`$SDL_CONFIG $sdlconf_args --cflags`
+    SDL_LIBS=`$SDL_CONFIG $sdlconf_args --libs`
+
+    sdl_major_version=`$SDL_CONFIG $sdl_args --version | \
+           sed 's/\([0-9]*\).\([0-9]*\).\([0-9]*\)/\1/'`
+    sdl_minor_version=`$SDL_CONFIG $sdl_args --version | \
+           sed 's/\([0-9]*\).\([0-9]*\).\([0-9]*\)/\2/'`
+    sdl_micro_version=`$SDL_CONFIG $sdl_config_args --version | \
+           sed 's/\([0-9]*\).\([0-9]*\).\([0-9]*\)/\3/'`
+    if test "x$enable_sdltest" = "xyes" ; then
+      ac_save_CFLAGS="$CFLAGS"
+      ac_save_LIBS="$LIBS"
+      CFLAGS="$CFLAGS $SDL_CFLAGS"
+      LIBS="$LIBS $SDL_LIBS"
+      rm -f conf.sdltest
+      if test "$cross_compiling" = yes; then
+  echo $ac_n "cross compiling; assumed OK... $ac_c"
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+#include <stdio.h>
+#include <stdlib.h>
+#include <string.h>
+#include "SDL.h"
+
+char*
+my_strdup (char *str)
+{
+  char *new_str;
+
+  if (str)
+    {
+      new_str = (char *)malloc ((strlen (str) + 1) * sizeof(char));
+      strcpy (new_str, str);
+    }
+  else
+    new_str = NULL;
+
+  return new_str;
+}
+
+int main (int argc, char *argv[])
+{
+  int major, minor, micro;
+  char *tmp_version;
+
+  /* This hangs on some systems (?)
+  system ("touch conf.sdltest");
+  */
+  { FILE *fp = fopen("conf.sdltest", "a"); if ( fp ) fclose(fp); }
+
+  /* HP/UX 9 (%@#!) writes to sscanf strings */
+  tmp_version = my_strdup("$min_sdl_version");
+  if (sscanf(tmp_version, "%d.%d.%d", &major, &minor, &micro) != 3) {
+     printf("%s, bad version string\n", "$min_sdl_version");
+     exit(1);
+   }
+
+   if (($sdl_major_version > major) ||
+      (($sdl_major_version == major) && ($sdl_minor_version > minor)) ||
+      (($sdl_major_version == major) && ($sdl_minor_version == minor) && ($sdl_micro_version >= micro)))
+    {
+      return 0;
+    }
+  else
+    {
+      printf("\n*** 'sdl-config --version' returned %d.%d.%d, but the minimum version\n", $sdl_major_version, $sdl_minor_version, $sdl_micro_version);
+      printf("*** of SDL required is %d.%d.%d. If sdl-config is correct, then it is\n", major, minor, micro);
+      printf("*** best to upgrade to the required version.\n");
+      printf("*** If sdl-config was wrong, set the environment variable SDL_CONFIG\n");
+      printf("*** to point to the correct copy of sdl-config, and remove the file\n");
+      printf("*** config.cache before re-running configure\n");
+      return 1;
+    }
+}
+
+
+_ACEOF
+rm -f conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && { ac_try='./conftest$ac_exeext'
+  { (case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_try") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; }; then
+  :
+else
+  $as_echo "$as_me: program exited with status $ac_status" >&5
+$as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+( exit $ac_status )
+no_sdl=yes
+fi
+rm -rf conftest.dSYM
+rm -f core *.core core.conftest.* gmon.out bb.out conftest$ac_exeext conftest.$ac_objext conftest.$ac_ext
+fi
+
+
+       CFLAGS="$ac_save_CFLAGS"
+       LIBS="$ac_save_LIBS"
+     fi
+  fi
+  if test "x$no_sdl" = x ; then
+     { $as_echo "$as_me:$LINENO: result: yes" >&5
+$as_echo "yes" >&6; }
+     :
+  else
+     { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+     if test "$SDL_CONFIG" = "no" ; then
+       echo "*** The sdl-config script installed by SDL could not be found"
+       echo "*** If SDL was installed in PREFIX, make sure PREFIX/bin is in"
+       echo "*** your path, or set the SDL_CONFIG environment variable to the"
+       echo "*** full path to sdl-config."
+     else
+       if test -f conf.sdltest ; then
+        :
+       else
+          echo "*** Could not run SDL test program, checking why..."
+          CFLAGS="$CFLAGS $SDL_CFLAGS"
+          LIBS="$LIBS $SDL_LIBS"
+          cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+#include <stdio.h>
+#include "SDL.h"
+
+int main(int argc, char *argv[])
+{ return 0; }
+#undef  main
+#define main K_and_R_C_main
+
+int
+main ()
+{
+ return 0;
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+   echo "*** The test program compiled, but did not run. This usually means"
+          echo "*** that the run-time linker is not finding SDL or finding the wrong"
+          echo "*** version of SDL. If it is not finding SDL, you'll need to set your"
+          echo "*** LD_LIBRARY_PATH environment variable, or edit /etc/ld.so.conf to point"
+          echo "*** to the installed location  Also, make sure you have run ldconfig if that"
+          echo "*** is required on your system"
+         echo "***"
+          echo "*** If you have an old version installed, it is best to remove it, although"
+          echo "*** you may also be able to get things to work by modifying LD_LIBRARY_PATH"
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+        echo "*** The test program failed to compile or link. See the file config.log for the"
+          echo "*** exact error that occured. This usually means SDL was incorrectly installed"
+          echo "*** or that you have moved SDL since it was installed. In the latter case, you"
+          echo "*** may want to edit the sdl-config script: $SDL_CONFIG"
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+          CFLAGS="$ac_save_CFLAGS"
+          LIBS="$ac_save_LIBS"
+       fi
+     fi
+     SDL_CFLAGS=""
+     SDL_LIBS=""
+
+             passed=no;{ $as_echo "$as_me:$LINENO: result: gr-video-sdl requires library sdl, not found or failed test." >&5
+$as_echo "gr-video-sdl requires library sdl, not found or failed test." >&6; }
+  fi
+
+
+  rm -f conf.sdltest
+
+elif test $pkg_failed = untried; then
+       SDL_VERSION=1.2.0
+
+# Check whether --with-sdl-prefix was given.
+if test "${with_sdl_prefix+set}" = set; then
+  withval=$with_sdl_prefix; sdl_prefix="$withval"
+else
+  sdl_prefix=""
+fi
+
+
+# Check whether --with-sdl-exec-prefix was given.
+if test "${with_sdl_exec_prefix+set}" = set; then
+  withval=$with_sdl_exec_prefix; sdl_exec_prefix="$withval"
+else
+  sdl_exec_prefix=""
+fi
+
+# Check whether --enable-sdltest was given.
+if test "${enable_sdltest+set}" = set; then
+  enableval=$enable_sdltest;
+else
+  enable_sdltest=yes
+fi
+
+
+  if test x$sdl_exec_prefix != x ; then
+     sdl_args="$sdl_args --exec-prefix=$sdl_exec_prefix"
+     if test x${SDL_CONFIG+set} != xset ; then
+        SDL_CONFIG=$sdl_exec_prefix/bin/sdl-config
+     fi
+  fi
+  if test x$sdl_prefix != x ; then
+     sdl_args="$sdl_args --prefix=$sdl_prefix"
+     if test x${SDL_CONFIG+set} != xset ; then
+        SDL_CONFIG=$sdl_prefix/bin/sdl-config
+     fi
+  fi
+
+
+  TMP_PATH="$prefix/bin:$prefix/usr/bin:$PATH"
+  # Extract the first word of "sdl-config", so it can be a program name with args.
+set dummy sdl-config; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_path_SDL_CONFIG+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  case $SDL_CONFIG in
+  [\\/]* | ?:[\\/]*)
+  ac_cv_path_SDL_CONFIG="$SDL_CONFIG" # Let the user override the test with a path.
+  ;;
+  *)
+  as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $TMP_PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_path_SDL_CONFIG="$as_dir/$ac_word$ac_exec_ext"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+  test -z "$ac_cv_path_SDL_CONFIG" && ac_cv_path_SDL_CONFIG="no"
+  ;;
+esac
+fi
+SDL_CONFIG=$ac_cv_path_SDL_CONFIG
+if test -n "$SDL_CONFIG"; then
+  { $as_echo "$as_me:$LINENO: result: $SDL_CONFIG" >&5
+$as_echo "$SDL_CONFIG" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+  min_sdl_version=$SDL_VERSION
+  { $as_echo "$as_me:$LINENO: checking for SDL - version >= $min_sdl_version" >&5
+$as_echo_n "checking for SDL - version >= $min_sdl_version... " >&6; }
+  no_sdl=""
+  if test "$SDL_CONFIG" = "no" ; then
+    no_sdl=yes
+  else
+    SDL_CFLAGS=`$SDL_CONFIG $sdlconf_args --cflags`
+    SDL_LIBS=`$SDL_CONFIG $sdlconf_args --libs`
+
+    sdl_major_version=`$SDL_CONFIG $sdl_args --version | \
+           sed 's/\([0-9]*\).\([0-9]*\).\([0-9]*\)/\1/'`
+    sdl_minor_version=`$SDL_CONFIG $sdl_args --version | \
+           sed 's/\([0-9]*\).\([0-9]*\).\([0-9]*\)/\2/'`
+    sdl_micro_version=`$SDL_CONFIG $sdl_config_args --version | \
+           sed 's/\([0-9]*\).\([0-9]*\).\([0-9]*\)/\3/'`
+    if test "x$enable_sdltest" = "xyes" ; then
+      ac_save_CFLAGS="$CFLAGS"
+      ac_save_LIBS="$LIBS"
+      CFLAGS="$CFLAGS $SDL_CFLAGS"
+      LIBS="$LIBS $SDL_LIBS"
+      rm -f conf.sdltest
+      if test "$cross_compiling" = yes; then
+  echo $ac_n "cross compiling; assumed OK... $ac_c"
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+#include <stdio.h>
+#include <stdlib.h>
+#include <string.h>
+#include "SDL.h"
+
+char*
+my_strdup (char *str)
+{
+  char *new_str;
+
+  if (str)
+    {
+      new_str = (char *)malloc ((strlen (str) + 1) * sizeof(char));
+      strcpy (new_str, str);
+    }
+  else
+    new_str = NULL;
+
+  return new_str;
+}
+
+int main (int argc, char *argv[])
+{
+  int major, minor, micro;
+  char *tmp_version;
+
+  /* This hangs on some systems (?)
+  system ("touch conf.sdltest");
+  */
+  { FILE *fp = fopen("conf.sdltest", "a"); if ( fp ) fclose(fp); }
+
+  /* HP/UX 9 (%@#!) writes to sscanf strings */
+  tmp_version = my_strdup("$min_sdl_version");
+  if (sscanf(tmp_version, "%d.%d.%d", &major, &minor, &micro) != 3) {
+     printf("%s, bad version string\n", "$min_sdl_version");
+     exit(1);
+   }
+
+   if (($sdl_major_version > major) ||
+      (($sdl_major_version == major) && ($sdl_minor_version > minor)) ||
+      (($sdl_major_version == major) && ($sdl_minor_version == minor) && ($sdl_micro_version >= micro)))
+    {
+      return 0;
+    }
+  else
+    {
+      printf("\n*** 'sdl-config --version' returned %d.%d.%d, but the minimum version\n", $sdl_major_version, $sdl_minor_version, $sdl_micro_version);
+      printf("*** of SDL required is %d.%d.%d. If sdl-config is correct, then it is\n", major, minor, micro);
+      printf("*** best to upgrade to the required version.\n");
+      printf("*** If sdl-config was wrong, set the environment variable SDL_CONFIG\n");
+      printf("*** to point to the correct copy of sdl-config, and remove the file\n");
+      printf("*** config.cache before re-running configure\n");
+      return 1;
+    }
+}
+
+
+_ACEOF
+rm -f conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && { ac_try='./conftest$ac_exeext'
+  { (case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_try") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; }; then
+  :
+else
+  $as_echo "$as_me: program exited with status $ac_status" >&5
+$as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+( exit $ac_status )
+no_sdl=yes
+fi
+rm -rf conftest.dSYM
+rm -f core *.core core.conftest.* gmon.out bb.out conftest$ac_exeext conftest.$ac_objext conftest.$ac_ext
+fi
+
+
+       CFLAGS="$ac_save_CFLAGS"
+       LIBS="$ac_save_LIBS"
+     fi
+  fi
+  if test "x$no_sdl" = x ; then
+     { $as_echo "$as_me:$LINENO: result: yes" >&5
+$as_echo "yes" >&6; }
+     :
+  else
+     { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+     if test "$SDL_CONFIG" = "no" ; then
+       echo "*** The sdl-config script installed by SDL could not be found"
+       echo "*** If SDL was installed in PREFIX, make sure PREFIX/bin is in"
+       echo "*** your path, or set the SDL_CONFIG environment variable to the"
+       echo "*** full path to sdl-config."
+     else
+       if test -f conf.sdltest ; then
+        :
+       else
+          echo "*** Could not run SDL test program, checking why..."
+          CFLAGS="$CFLAGS $SDL_CFLAGS"
+          LIBS="$LIBS $SDL_LIBS"
+          cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+#include <stdio.h>
+#include "SDL.h"
+
+int main(int argc, char *argv[])
+{ return 0; }
+#undef  main
+#define main K_and_R_C_main
+
+int
+main ()
+{
+ return 0;
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+   echo "*** The test program compiled, but did not run. This usually means"
+          echo "*** that the run-time linker is not finding SDL or finding the wrong"
+          echo "*** version of SDL. If it is not finding SDL, you'll need to set your"
+          echo "*** LD_LIBRARY_PATH environment variable, or edit /etc/ld.so.conf to point"
+          echo "*** to the installed location  Also, make sure you have run ldconfig if that"
+          echo "*** is required on your system"
+         echo "***"
+          echo "*** If you have an old version installed, it is best to remove it, although"
+          echo "*** you may also be able to get things to work by modifying LD_LIBRARY_PATH"
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+        echo "*** The test program failed to compile or link. See the file config.log for the"
+          echo "*** exact error that occured. This usually means SDL was incorrectly installed"
+          echo "*** or that you have moved SDL since it was installed. In the latter case, you"
+          echo "*** may want to edit the sdl-config script: $SDL_CONFIG"
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+          CFLAGS="$ac_save_CFLAGS"
+          LIBS="$ac_save_LIBS"
+       fi
+     fi
+     SDL_CFLAGS=""
+     SDL_LIBS=""
+
+             passed=no;{ $as_echo "$as_me:$LINENO: result: gr-video-sdl requires library sdl, not found or failed test." >&5
+$as_echo "gr-video-sdl requires library sdl, not found or failed test." >&6; }
+  fi
+
+
+  rm -f conf.sdltest
+
+else
+       SDL_CFLAGS=$pkg_cv_SDL_CFLAGS
+       SDL_LIBS=$pkg_cv_SDL_LIBS
+       SDL_INCLUDEDIR=$pkg_cv_SDL_INCLUDEDIR
+
+       SDL_CPPFLAGS=""
+       for flag in $SDL_CFLAGS; do
+         case $flag in
+          -I* | -D* | -U*) SDL_CPPFLAGS="$SDL_CPPFLAGS $flag" ;;
+          esac
+        done
+       pkg_cv_SDL_CPPFLAGS=$SDL_CPPFLAGS
+
+
+        { $as_echo "$as_me:$LINENO: result: yes" >&5
+$as_echo "yes" >&6; }
+       :
+fi
+    fi
+
+    ac_config_files="$ac_config_files gr-video-sdl/Makefile gr-video-sdl/src/Makefile gr-video-sdl/src/run_tests"
+
+
+
+
+    gr_video_sdl_with=no
+    if test $passed = no; then
+       if test x$enable_gr_video_sdl = xyes; then
+           { { $as_echo "$as_me:$LINENO: error: Component gr-video-sdl has errors; stopping." >&5
+$as_echo "$as_me: error: Component gr-video-sdl has errors; stopping." >&2;}
+   { (exit 1); exit 1; }; }
+       else
+           { $as_echo "$as_me:$LINENO: result: Not building component gr-video-sdl." >&5
+$as_echo "Not building component gr-video-sdl." >&6; }
+       fi
+    else
+        if test $passed = with; then
+           with_dirs="$with_dirs gr-video-sdl"
+
+    if test "x${gr_video_sdl_INCLUDES}" != "x"; then
+        if test "x$with_INCLUDES" = "x"; then
+            with_INCLUDES="${gr_video_sdl_INCLUDES}"
+       else
+           with_INCLUDES="${gr_video_sdl_INCLUDES}"" ""$with_INCLUDES"
+       fi
+       gr_video_sdl_INCLUDES=
+    fi
+
+
+    if test "x${gr_video_sdl_SWIG_INCLUDES}" != "x"; then
+        if test "x$with_SWIG_INCLUDES" = "x"; then
+            with_SWIG_INCLUDES="${gr_video_sdl_SWIG_INCLUDES}"
+       else
+           with_SWIG_INCLUDES="${gr_video_sdl_SWIG_INCLUDES}"" ""$with_SWIG_INCLUDES"
+       fi
+       gr_video_sdl_SWIG_INCLUDES=
+    fi
+
+
+    if test "x${gr_video_sdl_PYDIRPATH}" != "x"; then
+        if test "x$with_PYDIRPATH" = "x"; then
+            with_PYDIRPATH="${gr_video_sdl_PYDIRPATH}"
+       else
+           with_PYDIRPATH="${gr_video_sdl_PYDIRPATH}"":""$with_PYDIRPATH"
+       fi
+       gr_video_sdl_PYDIRPATH=
+    fi
+
+
+    if test "x${gr_video_sdl_SWIGDIRPATH}" != "x"; then
+        if test "x$with_SWIGDIRPATH" = "x"; then
+            with_SWIGDIRPATH="${gr_video_sdl_SWIGDIRPATH}"
+       else
+           with_SWIGDIRPATH="${gr_video_sdl_SWIGDIRPATH}"":""$with_SWIGDIRPATH"
+       fi
+       gr_video_sdl_SWIGDIRPATH=
+    fi
+
+
+    if test "x${gr_video_sdl_LIBDIRPATH}" != "x"; then
+        if test "x$with_LIBDIRPATH" = "x"; then
+            with_LIBDIRPATH="${gr_video_sdl_LIBDIRPATH}"
+       else
+           with_LIBDIRPATH="${gr_video_sdl_LIBDIRPATH}"":""$with_LIBDIRPATH"
+       fi
+       gr_video_sdl_LIBDIRPATH=
+    fi
+
+           { $as_echo "$as_me:$LINENO: result: Component gr-video-sdl will be included from a pre-installed library and includes." >&5
+$as_echo "Component gr-video-sdl will be included from a pre-installed library and includes." >&6; }
+           gr_video_sdl_with=yes
+       else
+           gr_video_sdl_LDFLAG=
+            if test x$enable_gr_video_sdl != xno; then
+                       ac_config_commands="$ac_config_commands run_tests_sdl"
+
+
+               build_dirs="$build_dirs gr-video-sdl"
+               { $as_echo "$as_me:$LINENO: result: Component gr-video-sdl passed configuration checks; building." >&5
+$as_echo "Component gr-video-sdl passed configuration checks; building." >&6; }
+            else
+               passed=no
+               { $as_echo "$as_me:$LINENO: result: Component gr-video-sdl passed configuration checks; but not building." >&5
+$as_echo "Component gr-video-sdl passed configuration checks; but not building." >&6; }
+           fi
+       fi
+    fi
+    if test $passed = no; then
+        skipped_dirs="$skipped_dirs gr-video-sdl"
+        gr_video_sdl_skipped=yes
+    else
+        gr_video_sdl_skipped=no
+    fi
+
+
+
+
+
+
+
+
+
+
+
+    passed=yes
+    # Check whether --enable-gr-wxgui was given.
+if test "${enable_gr_wxgui+set}" = set; then
+  enableval=$enable_gr_wxgui;
+else
+
+        enable_gr_wxgui=$enable_all_components
+        if test x$enable_all_components = xno; then
+            passed=no
+        fi
+
+fi
+
+
+
+
+    if test x = x; then
+        pc_comp_name="gr-wxgui"
+    else
+        pc_comp_name=""
+    fi
+
+
+# Check whether --with-gr-wxgui was given.
+if test "${with_gr_wxgui+set}" = set; then
+  withval=$with_gr_wxgui; if test "x$withval" != "xyes"; then
+                   with_gr_wxgui_val=$withval
+                   with_gr_wxgui=yes
+                fi
+fi
+
+    if test x$with_gr_wxgui = xyes; then
+        if test x$enable_gr_wxgui = xyes; then
+           { { $as_echo "$as_me:$LINENO: error: Component gr-wxgui: Cannot use both --enable and --with" >&5
+$as_echo "$as_me: error: Component gr-wxgui: Cannot use both --enable and --with" >&2;}
+   { (exit 1); exit 1; }; }
+        else
+
+        s_PKG_CONFIG_PATH=$PKG_CONFIG_PATH
+
+            l_PKG_CONFIG_PATH=$with_gr_wxgui_val
+    if test "x$l_PKG_CONFIG_PATH" != "x"; then
+        export PKG_CONFIG_PATH=$l_PKG_CONFIG_PATH
+
+                if ! test -r ${l_PKG_CONFIG_PATH}/${pc_comp_name}.pc; then
+           { { $as_echo "$as_me:$LINENO: error: Component gr-wxgui: PKGCONFIG cannot find info for ${pc_comp_name}, with provided PKG_CONFIG_PATH = [ $l_PKG_CONFIG_PATH ] ." >&5
+$as_echo "$as_me: error: Component gr-wxgui: PKGCONFIG cannot find info for ${pc_comp_name}, with provided PKG_CONFIG_PATH = [ $l_PKG_CONFIG_PATH ] ." >&2;}
+   { (exit 1); exit 1; }; }
+        fi
+    fi
+
+        if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"\${pc_comp_name}\"") >&5
+  ($PKG_CONFIG --exists --print-errors "${pc_comp_name}") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  passed=with; check1=yes
+else
+
+        check1=no
+                                       if test "x$l_PKG_CONFIG_PATH" != "x"; then
+            if test "x$s_PKG_CONFIG_PATH" != "x"; then
+                export PKG_CONFIG_PATH=${PKG_CONFIG_PATH}:${s_PKG_CONFIG_PATH}
+               if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"\${pc_comp_name}\"") >&5
+  ($PKG_CONFIG --exists --print-errors "${pc_comp_name}") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  passed=with
+else
+  passed=no
+fi
+            fi
+        fi
+        if test $passed != with; then
+            { { $as_echo "$as_me:$LINENO: error: Component gr-wxgui: PKGCONFIG cannot find info for ${pc_comp_name}, with PKG_CONFIG_PATH = [ $PKG_CONFIG_PATH ] ." >&5
+$as_echo "$as_me: error: Component gr-wxgui: PKGCONFIG cannot find info for ${pc_comp_name}, with PKG_CONFIG_PATH = [ $PKG_CONFIG_PATH ] ." >&2;}
+   { (exit 1); exit 1; }; }
+        fi
+                s_PKG_CONFIG_PATH=$PKG_CONFIG_PATH
+
+fi
+
+                gr_wxgui_INCLUDES=`$PKG_CONFIG --cflags-only-I ${pc_comp_name}`
+    gr_wxgui_LA=`$PKG_CONFIG --libs ${pc_comp_name}`
+    gr_wxgui_INCLUDEDIR=`$PKG_CONFIG --variable=includedir ${pc_comp_name}`
+
+    if test x$check1 = xyes; then
+                       if test "x$s_PKG_CONFIG_PATH" != "x"; then
+            export PKG_CONFIG_PATH=${PKG_CONFIG_PATH}:${s_PKG_CONFIG_PATH}
+        fi
+    fi
+
+           :
+        fi
+    fi
+
+
+
+
+    if test $passed != no; then
+        if test $passed = yes; then
+                        if test x$gnuradio_core_skipped = xyes; then
+                { $as_echo "$as_me:$LINENO: result: Component gr-wxgui requires gnuradio-core, which is not being built or specified via pre-installed files." >&5
+$as_echo "Component gr-wxgui requires gnuradio-core, which is not being built or specified via pre-installed files." >&6; }
+                passed=no
+            fi
+        else
+                        if test x$gnuradio_core_with = xno; then
+                { { $as_echo "$as_me:$LINENO: error: Component gr-wxgui requires gnuradio-core to be included as --with-gnuradio-core[=arg]" >&5
+$as_echo "$as_me: error: Component gr-wxgui requires gnuradio-core to be included as --with-gnuradio-core[=arg]" >&2;}
+   { (exit 1); exit 1; }; }
+            fi
+        fi
+    fi
+
+
+                    if test $passed = yes; then
+
+    { $as_echo "$as_me:$LINENO: checking for Python wxWidgets wrappers >= 2.8" >&5
+$as_echo_n "checking for Python wxWidgets wrappers >= 2.8... " >&6; }
+                if test "wx.version().split()[0] >= "2.8""; then
+        python_cmd='
+try:
+    import wx
+    assert wx.version().split()[0] >= "2.8"
+except: exit(1)'
+                else
+        python_cmd='
+try: import wx
+except: exit(1)'
+    fi
+    if ! $PYTHON -c "$python_cmd" 2> /dev/null; then
+        { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+        passed=no
+    else
+        { $as_echo "$as_me:$LINENO: result: yes" >&5
+$as_echo "yes" >&6; }
+
+    fi
+
+
+    { $as_echo "$as_me:$LINENO: checking for Numeric Python extensions" >&5
+$as_echo_n "checking for Numeric Python extensions... " >&6; }
+                if test ""; then
+        python_cmd='
+try:
+    import numpy
+    assert
+except: exit(1)'
+                else
+        python_cmd='
+try: import numpy
+except: exit(1)'
+    fi
+    if ! $PYTHON -c "$python_cmd" 2> /dev/null; then
+        { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+        passed=no
+    else
+        { $as_echo "$as_me:$LINENO: result: yes" >&5
+$as_echo "yes" >&6; }
+
+    fi
+
+    fi
+
+    ac_config_files="$ac_config_files gr-wxgui/Makefile gr-wxgui/gr-wxgui.pc gr-wxgui/src/Makefile gr-wxgui/src/python/Makefile gr-wxgui/src/python/plotter/Makefile"
+
+
+
+
+    gr_wxgui_with=no
+    if test $passed = no; then
+       if test x$enable_gr_wxgui = xyes; then
+           { { $as_echo "$as_me:$LINENO: error: Component gr-wxgui has errors; stopping." >&5
+$as_echo "$as_me: error: Component gr-wxgui has errors; stopping." >&2;}
+   { (exit 1); exit 1; }; }
+       else
+           { $as_echo "$as_me:$LINENO: result: Not building component gr-wxgui." >&5
+$as_echo "Not building component gr-wxgui." >&6; }
+       fi
+    else
+        if test $passed = with; then
+           with_dirs="$with_dirs gr-wxgui"
+
+    if test "x${gr_wxgui_INCLUDES}" != "x"; then
+        if test "x$with_INCLUDES" = "x"; then
+            with_INCLUDES="${gr_wxgui_INCLUDES}"
+       else
+           with_INCLUDES="${gr_wxgui_INCLUDES}"" ""$with_INCLUDES"
+       fi
+       gr_wxgui_INCLUDES=
+    fi
+
+
+    if test "x${gr_wxgui_SWIG_INCLUDES}" != "x"; then
+        if test "x$with_SWIG_INCLUDES" = "x"; then
+            with_SWIG_INCLUDES="${gr_wxgui_SWIG_INCLUDES}"
+       else
+           with_SWIG_INCLUDES="${gr_wxgui_SWIG_INCLUDES}"" ""$with_SWIG_INCLUDES"
+       fi
+       gr_wxgui_SWIG_INCLUDES=
+    fi
+
+
+    if test "x${gr_wxgui_PYDIRPATH}" != "x"; then
+        if test "x$with_PYDIRPATH" = "x"; then
+            with_PYDIRPATH="${gr_wxgui_PYDIRPATH}"
+       else
+           with_PYDIRPATH="${gr_wxgui_PYDIRPATH}"":""$with_PYDIRPATH"
+       fi
+       gr_wxgui_PYDIRPATH=
+    fi
+
+
+    if test "x${gr_wxgui_SWIGDIRPATH}" != "x"; then
+        if test "x$with_SWIGDIRPATH" = "x"; then
+            with_SWIGDIRPATH="${gr_wxgui_SWIGDIRPATH}"
+       else
+           with_SWIGDIRPATH="${gr_wxgui_SWIGDIRPATH}"":""$with_SWIGDIRPATH"
+       fi
+       gr_wxgui_SWIGDIRPATH=
+    fi
+
+
+    if test "x${gr_wxgui_LIBDIRPATH}" != "x"; then
+        if test "x$with_LIBDIRPATH" = "x"; then
+            with_LIBDIRPATH="${gr_wxgui_LIBDIRPATH}"
+       else
+           with_LIBDIRPATH="${gr_wxgui_LIBDIRPATH}"":""$with_LIBDIRPATH"
+       fi
+       gr_wxgui_LIBDIRPATH=
+    fi
+
+           { $as_echo "$as_me:$LINENO: result: Component gr-wxgui will be included from a pre-installed library and includes." >&5
+$as_echo "Component gr-wxgui will be included from a pre-installed library and includes." >&6; }
+           gr_wxgui_with=yes
+       else
+           gr_wxgui_LDFLAG=
+            if test x$enable_gr_wxgui != xno; then
+               :
+               build_dirs="$build_dirs gr-wxgui"
+               { $as_echo "$as_me:$LINENO: result: Component gr-wxgui passed configuration checks; building." >&5
+$as_echo "Component gr-wxgui passed configuration checks; building." >&6; }
+            else
+               passed=no
+               { $as_echo "$as_me:$LINENO: result: Component gr-wxgui passed configuration checks; but not building." >&5
+$as_echo "Component gr-wxgui passed configuration checks; but not building." >&6; }
+           fi
+       fi
+    fi
+    if test $passed = no; then
+        skipped_dirs="$skipped_dirs gr-wxgui"
+        gr_wxgui_skipped=yes
+    else
+        gr_wxgui_skipped=no
+    fi
+
+
+
+
+
+
+
+
+
+
+
+
+    passed=yes
+    # Check whether --enable-gr-qtgui was given.
+if test "${enable_gr_qtgui+set}" = set; then
+  enableval=$enable_gr_qtgui;
+else
+
+        enable_gr_qtgui=$enable_all_components
+        if test x$enable_all_components = xno; then
+            passed=no
+        fi
+
+fi
+
+
+
+
+
+    if test $passed != no; then
+        if test $passed = yes; then
+                        if test x$gnuradio_core_skipped = xyes; then
+                { $as_echo "$as_me:$LINENO: result: Component gr-qtgui requires gnuradio-core, which is not being built or specified via pre-installed files." >&5
+$as_echo "Component gr-qtgui requires gnuradio-core, which is not being built or specified via pre-installed files." >&6; }
+                passed=no
+            fi
+        else
+                        if test x$gnuradio_core_with = xno; then
+                { { $as_echo "$as_me:$LINENO: error: Component gr-qtgui requires gnuradio-core to be included as --with-gnuradio-core[=arg]" >&5
+$as_echo "$as_me: error: Component gr-qtgui requires gnuradio-core to be included as --with-gnuradio-core[=arg]" >&2;}
+   { (exit 1); exit 1; }; }
+            fi
+        fi
+    fi
+
+
+
+
+    { $as_echo "$as_me:$LINENO: checking for PyQt4 for Qt4" >&5
+$as_echo_n "checking for PyQt4 for Qt4... " >&6; }
+                if test "\
+       PyQt4.QtCore.PYQT_VERSION >= 260000"; then
+        python_cmd='
+try:
+    import PyQt4.QtCore
+    assert \
+       PyQt4.QtCore.PYQT_VERSION >= 260000
+except: exit(1)'
+                else
+        python_cmd='
+try: import PyQt4.QtCore
+except: exit(1)'
+    fi
+    if ! $PYTHON -c "$python_cmd" 2> /dev/null; then
+        { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+        passed=no
+    else
+        { $as_echo "$as_me:$LINENO: result: yes" >&5
+$as_echo "yes" >&6; }
+        \
+       passed=yes
+    fi
+
+
+    # Enable this if we want to test for PyQwt, too
+    #PYTHON_CHECK_MODULE([PyQt4.Qwt5], [PyQwt5 for Qt4], \
+    #   [passed=yes], [passed=no], \
+    #   [PyQt4.Qwt5.QWT_VERSION >= 327000])
+
+# Check for:
+#      QtOpenGL
+#      QtGui
+#      QtCore
+#      qwt
+#      qwtplot3d
+#      qt4
+
+# qt4-core, qt4-gui, qwt5-qt4, qwt5-qt4-dev, libqwtplot3d-qt4, libqwtplot3d-qt4-dev, qt4-dev-tools
+
+    if test $passed = yes; then
+
+
+
+pkg_failed=no
+{ $as_echo "$as_me:$LINENO: checking for QTCORE" >&5
+$as_echo_n "checking for QTCORE... " >&6; }
+
+if test -n "$PKG_CONFIG"; then
+    if test -n "$QTCORE_CFLAGS"; then
+        pkg_cv_QTCORE_CFLAGS="$QTCORE_CFLAGS"
+    else
+        if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"QtCore >= 4.2\"") >&5
+  ($PKG_CONFIG --exists --print-errors "QtCore >= 4.2") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  pkg_cv_QTCORE_CFLAGS=`$PKG_CONFIG --cflags "QtCore >= 4.2" 2>/dev/null`
+else
+  pkg_failed=yes
+fi
+    fi
+else
+       pkg_failed=untried
+fi
+
+if test x$cross_compiling = xyes
+then
+    if test -n "$PKG_CONFIG"; then
+    if test -n "$QTCORE_LIBS"; then
+        pkg_cv_QTCORE_LIBS="$QTCORE_LIBS"
+    else
+        if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"QtCore >= 4.2\"") >&5
+  ($PKG_CONFIG --exists --print-errors "QtCore >= 4.2") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  pkg_cv_QTCORE_LIBS=`$PKG_CONFIG --libs --static "QtCore >= 4.2" 2>/dev/null`
+else
+  pkg_failed=yes
+fi
+    fi
+else
+       pkg_failed=untried
+fi
+    _pkg_tmp=
+  for flag in $pkg_cv_QTCORE_LIBS; do
+    case $flag in
+    (-L/lib* | -L/usr/lib* )      ;; # ignore
+    (*) _pkg_tmp="$_pkg_tmp $flag" ;;
+    esac
+  done
+  pkg_cv_QTCORE_LIBS="$_pkg_tmp"
+else
+  if test -n "$PKG_CONFIG"; then
+    if test -n "$QTCORE_LIBS"; then
+        pkg_cv_QTCORE_LIBS="$QTCORE_LIBS"
+    else
+        if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"QtCore >= 4.2\"") >&5
+  ($PKG_CONFIG --exists --print-errors "QtCore >= 4.2") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  pkg_cv_QTCORE_LIBS=`$PKG_CONFIG --libs --static "QtCore >= 4.2" 2>/dev/null`
+else
+  pkg_failed=yes
+fi
+    fi
+else
+       pkg_failed=untried
+fi
+fi
+
+if test -n "$PKG_CONFIG"; then
+    if test -n "$QTCORE_INCLUDEDIR"; then
+        pkg_cv_QTCORE_INCLUDEDIR="$QTCORE_INCLUDEDIR"
+    else
+        if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"QtCore >= 4.2\"") >&5
+  ($PKG_CONFIG --exists --print-errors "QtCore >= 4.2") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  pkg_cv_QTCORE_INCLUDEDIR=`$PKG_CONFIG --variable=includedir "QtCore >= 4.2" 2>/dev/null`
+else
+  pkg_failed=yes
+fi
+    fi
+else
+       pkg_failed=untried
+fi
+
+
+
+
+if test $pkg_failed = yes; then
+
+if $PKG_CONFIG --atleast-pkgconfig-version 0.20; then
+        _pkg_short_errors_supported=yes
+else
+        _pkg_short_errors_supported=no
+fi
+        if test $_pkg_short_errors_supported = yes; then
+               QTCORE_PKG_ERRORS=`$PKG_CONFIG --short-errors --errors-to-stdout --print-errors "QtCore >= 4.2"`
+        else
+               QTCORE_PKG_ERRORS=`$PKG_CONFIG --errors-to-stdout --print-errors "QtCore >= 4.2"`
+        fi
+       # Put the nasty error message in config.log where it belongs
+       echo "$QTCORE_PKG_ERRORS" >&5
+
+       { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+                passed=no; { $as_echo "$as_me:$LINENO: result: gr-qtgui requires libQtCore >= 4.2." >&5
+$as_echo "gr-qtgui requires libQtCore >= 4.2." >&6; }
+elif test $pkg_failed = untried; then
+       passed=no; { $as_echo "$as_me:$LINENO: result: gr-qtgui requires libQtCore >= 4.2." >&5
+$as_echo "gr-qtgui requires libQtCore >= 4.2." >&6; }
+else
+       QTCORE_CFLAGS=$pkg_cv_QTCORE_CFLAGS
+       QTCORE_LIBS=$pkg_cv_QTCORE_LIBS
+       QTCORE_INCLUDEDIR=$pkg_cv_QTCORE_INCLUDEDIR
+
+       QTCORE_CPPFLAGS=""
+       for flag in $QTCORE_CFLAGS; do
+         case $flag in
+          -I* | -D* | -U*) QTCORE_CPPFLAGS="$QTCORE_CPPFLAGS $flag" ;;
+          esac
+        done
+       pkg_cv_QTCORE_CPPFLAGS=$QTCORE_CPPFLAGS
+
+
+        { $as_echo "$as_me:$LINENO: result: yes" >&5
+$as_echo "yes" >&6; }
+       :
+fi
+
+
+
+pkg_failed=no
+{ $as_echo "$as_me:$LINENO: checking for QTGUI" >&5
+$as_echo_n "checking for QTGUI... " >&6; }
+
+if test -n "$PKG_CONFIG"; then
+    if test -n "$QTGUI_CFLAGS"; then
+        pkg_cv_QTGUI_CFLAGS="$QTGUI_CFLAGS"
+    else
+        if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"QtGui >= 4.2\"") >&5
+  ($PKG_CONFIG --exists --print-errors "QtGui >= 4.2") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  pkg_cv_QTGUI_CFLAGS=`$PKG_CONFIG --cflags "QtGui >= 4.2" 2>/dev/null`
+else
+  pkg_failed=yes
+fi
+    fi
+else
+       pkg_failed=untried
+fi
+
+if test x$cross_compiling = xyes
+then
+    if test -n "$PKG_CONFIG"; then
+    if test -n "$QTGUI_LIBS"; then
+        pkg_cv_QTGUI_LIBS="$QTGUI_LIBS"
+    else
+        if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"QtGui >= 4.2\"") >&5
+  ($PKG_CONFIG --exists --print-errors "QtGui >= 4.2") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  pkg_cv_QTGUI_LIBS=`$PKG_CONFIG --libs --static "QtGui >= 4.2" 2>/dev/null`
+else
+  pkg_failed=yes
+fi
+    fi
+else
+       pkg_failed=untried
+fi
+    _pkg_tmp=
+  for flag in $pkg_cv_QTGUI_LIBS; do
+    case $flag in
+    (-L/lib* | -L/usr/lib* )      ;; # ignore
+    (*) _pkg_tmp="$_pkg_tmp $flag" ;;
+    esac
+  done
+  pkg_cv_QTGUI_LIBS="$_pkg_tmp"
+else
+  if test -n "$PKG_CONFIG"; then
+    if test -n "$QTGUI_LIBS"; then
+        pkg_cv_QTGUI_LIBS="$QTGUI_LIBS"
+    else
+        if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"QtGui >= 4.2\"") >&5
+  ($PKG_CONFIG --exists --print-errors "QtGui >= 4.2") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  pkg_cv_QTGUI_LIBS=`$PKG_CONFIG --libs --static "QtGui >= 4.2" 2>/dev/null`
+else
+  pkg_failed=yes
+fi
+    fi
+else
+       pkg_failed=untried
+fi
+fi
+
+if test -n "$PKG_CONFIG"; then
+    if test -n "$QTGUI_INCLUDEDIR"; then
+        pkg_cv_QTGUI_INCLUDEDIR="$QTGUI_INCLUDEDIR"
+    else
+        if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"QtGui >= 4.2\"") >&5
+  ($PKG_CONFIG --exists --print-errors "QtGui >= 4.2") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  pkg_cv_QTGUI_INCLUDEDIR=`$PKG_CONFIG --variable=includedir "QtGui >= 4.2" 2>/dev/null`
+else
+  pkg_failed=yes
+fi
+    fi
+else
+       pkg_failed=untried
+fi
+
+
+
+
+if test $pkg_failed = yes; then
+
+if $PKG_CONFIG --atleast-pkgconfig-version 0.20; then
+        _pkg_short_errors_supported=yes
+else
+        _pkg_short_errors_supported=no
+fi
+        if test $_pkg_short_errors_supported = yes; then
+               QTGUI_PKG_ERRORS=`$PKG_CONFIG --short-errors --errors-to-stdout --print-errors "QtGui >= 4.2"`
+        else
+               QTGUI_PKG_ERRORS=`$PKG_CONFIG --errors-to-stdout --print-errors "QtGui >= 4.2"`
+        fi
+       # Put the nasty error message in config.log where it belongs
+       echo "$QTGUI_PKG_ERRORS" >&5
+
+       { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+                passed=no; { $as_echo "$as_me:$LINENO: result: gr-qtgui requires libQtGui >= 4.2." >&5
+$as_echo "gr-qtgui requires libQtGui >= 4.2." >&6; }
+elif test $pkg_failed = untried; then
+       passed=no; { $as_echo "$as_me:$LINENO: result: gr-qtgui requires libQtGui >= 4.2." >&5
+$as_echo "gr-qtgui requires libQtGui >= 4.2." >&6; }
+else
+       QTGUI_CFLAGS=$pkg_cv_QTGUI_CFLAGS
+       QTGUI_LIBS=$pkg_cv_QTGUI_LIBS
+       QTGUI_INCLUDEDIR=$pkg_cv_QTGUI_INCLUDEDIR
+
+       QTGUI_CPPFLAGS=""
+       for flag in $QTGUI_CFLAGS; do
+         case $flag in
+          -I* | -D* | -U*) QTGUI_CPPFLAGS="$QTGUI_CPPFLAGS $flag" ;;
+          esac
+        done
+       pkg_cv_QTGUI_CPPFLAGS=$QTGUI_CPPFLAGS
+
+
+        { $as_echo "$as_me:$LINENO: result: yes" >&5
+$as_echo "yes" >&6; }
+       :
+fi
+
+
+
+pkg_failed=no
+{ $as_echo "$as_me:$LINENO: checking for QTOPENGL" >&5
+$as_echo_n "checking for QTOPENGL... " >&6; }
+
+if test -n "$PKG_CONFIG"; then
+    if test -n "$QTOPENGL_CFLAGS"; then
+        pkg_cv_QTOPENGL_CFLAGS="$QTOPENGL_CFLAGS"
+    else
+        if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"QtOpenGL >= 4.2\"") >&5
+  ($PKG_CONFIG --exists --print-errors "QtOpenGL >= 4.2") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  pkg_cv_QTOPENGL_CFLAGS=`$PKG_CONFIG --cflags "QtOpenGL >= 4.2" 2>/dev/null`
+else
+  pkg_failed=yes
+fi
+    fi
+else
+       pkg_failed=untried
+fi
+
+if test x$cross_compiling = xyes
+then
+    if test -n "$PKG_CONFIG"; then
+    if test -n "$QTOPENGL_LIBS"; then
+        pkg_cv_QTOPENGL_LIBS="$QTOPENGL_LIBS"
+    else
+        if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"QtOpenGL >= 4.2\"") >&5
+  ($PKG_CONFIG --exists --print-errors "QtOpenGL >= 4.2") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  pkg_cv_QTOPENGL_LIBS=`$PKG_CONFIG --libs --static "QtOpenGL >= 4.2" 2>/dev/null`
+else
+  pkg_failed=yes
+fi
+    fi
+else
+       pkg_failed=untried
+fi
+    _pkg_tmp=
+  for flag in $pkg_cv_QTOPENGL_LIBS; do
+    case $flag in
+    (-L/lib* | -L/usr/lib* )      ;; # ignore
+    (*) _pkg_tmp="$_pkg_tmp $flag" ;;
+    esac
+  done
+  pkg_cv_QTOPENGL_LIBS="$_pkg_tmp"
+else
+  if test -n "$PKG_CONFIG"; then
+    if test -n "$QTOPENGL_LIBS"; then
+        pkg_cv_QTOPENGL_LIBS="$QTOPENGL_LIBS"
+    else
+        if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"QtOpenGL >= 4.2\"") >&5
+  ($PKG_CONFIG --exists --print-errors "QtOpenGL >= 4.2") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  pkg_cv_QTOPENGL_LIBS=`$PKG_CONFIG --libs --static "QtOpenGL >= 4.2" 2>/dev/null`
+else
+  pkg_failed=yes
+fi
+    fi
+else
+       pkg_failed=untried
+fi
+fi
+
+if test -n "$PKG_CONFIG"; then
+    if test -n "$QTOPENGL_INCLUDEDIR"; then
+        pkg_cv_QTOPENGL_INCLUDEDIR="$QTOPENGL_INCLUDEDIR"
+    else
+        if test -n "$PKG_CONFIG" && \
+    { ($as_echo "$as_me:$LINENO: \$PKG_CONFIG --exists --print-errors \"QtOpenGL >= 4.2\"") >&5
+  ($PKG_CONFIG --exists --print-errors "QtOpenGL >= 4.2") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  pkg_cv_QTOPENGL_INCLUDEDIR=`$PKG_CONFIG --variable=includedir "QtOpenGL >= 4.2" 2>/dev/null`
+else
+  pkg_failed=yes
+fi
+    fi
+else
+       pkg_failed=untried
+fi
+
+
+
+
+if test $pkg_failed = yes; then
+
+if $PKG_CONFIG --atleast-pkgconfig-version 0.20; then
+        _pkg_short_errors_supported=yes
+else
+        _pkg_short_errors_supported=no
+fi
+        if test $_pkg_short_errors_supported = yes; then
+               QTOPENGL_PKG_ERRORS=`$PKG_CONFIG --short-errors --errors-to-stdout --print-errors "QtOpenGL >= 4.2"`
+        else
+               QTOPENGL_PKG_ERRORS=`$PKG_CONFIG --errors-to-stdout --print-errors "QtOpenGL >= 4.2"`
+        fi
+       # Put the nasty error message in config.log where it belongs
+       echo "$QTOPENGL_PKG_ERRORS" >&5
+
+       { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+                passed=no; { $as_echo "$as_me:$LINENO: result: gr-qtgui requires libQtOpenGL >- 4.2." >&5
+$as_echo "gr-qtgui requires libQtOpenGL >- 4.2." >&6; }
+elif test $pkg_failed = untried; then
+       passed=no; { $as_echo "$as_me:$LINENO: result: gr-qtgui requires libQtOpenGL >- 4.2." >&5
+$as_echo "gr-qtgui requires libQtOpenGL >- 4.2." >&6; }
+else
+       QTOPENGL_CFLAGS=$pkg_cv_QTOPENGL_CFLAGS
+       QTOPENGL_LIBS=$pkg_cv_QTOPENGL_LIBS
+       QTOPENGL_INCLUDEDIR=$pkg_cv_QTOPENGL_INCLUDEDIR
+
+       QTOPENGL_CPPFLAGS=""
+       for flag in $QTOPENGL_CFLAGS; do
+         case $flag in
+          -I* | -D* | -U*) QTOPENGL_CPPFLAGS="$QTOPENGL_CPPFLAGS $flag" ;;
+          esac
+        done
+       pkg_cv_QTOPENGL_CPPFLAGS=$QTOPENGL_CPPFLAGS
+
+
+        { $as_echo "$as_me:$LINENO: result: yes" >&5
+$as_echo "yes" >&6; }
+       :
+fi
+
+
+        QWT_LIBRARY1=-lqwt-qt4
+    QWT_LIBRARY2=-lqwt
+
+        ac_ext=cpp
+ac_cpp='$CXXCPP $CPPFLAGS'
+ac_compile='$CXX -c $CXXFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CXX -o conftest$ac_exeext $CXXFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_cxx_compiler_gnu
+
+    qwt_save_CPPFLAGS="$CPPFLAGS"
+    qwt_save_LIBS="$LIBS"
+    libqwt_ok=yes
+
+
+# Check whether --with-qwt-libdir was given.
+if test "${with_qwt_libdir+set}" = set; then
+  withval=$with_qwt_libdir; qwt_libdir="$withval"
+else
+  qwt_libdir=""
+fi
+
+
+
+# Check whether --with-qwt-incdir was given.
+if test "${with_qwt_incdir+set}" = set; then
+  withval=$with_qwt_incdir; qwt_incdir="$withval"
+else
+  qwt_incdir=""
+fi
+
+
+# Check whether --with-qwt-lib was given.
+if test "${with_qwt_lib+set}" = set; then
+  withval=$with_qwt_lib; qwt_lib="$withval"
+else
+  qwt_lib=""
+fi
+
+
+                CPPFLAGS="$CPPFLAGS $QTCORE_CFLAGS"
+
+        if test "$qwt_incdir" = "" ; then
+                if test "${ac_cv_header_qwt_qwt_h+set}" = set; then
+  { $as_echo "$as_me:$LINENO: checking for qwt/qwt.h" >&5
+$as_echo_n "checking for qwt/qwt.h... " >&6; }
+if test "${ac_cv_header_qwt_qwt_h+set}" = set; then
+  $as_echo_n "(cached) " >&6
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_header_qwt_qwt_h" >&5
+$as_echo "$ac_cv_header_qwt_qwt_h" >&6; }
+else
+  # Is the header compilable?
+{ $as_echo "$as_me:$LINENO: checking qwt/qwt.h usability" >&5
+$as_echo_n "checking qwt/qwt.h usability... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+$ac_includes_default
+#include <qwt/qwt.h>
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_cxx_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_header_compiler=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_header_compiler=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_compiler" >&5
+$as_echo "$ac_header_compiler" >&6; }
+
+# Is the header present?
+{ $as_echo "$as_me:$LINENO: checking qwt/qwt.h presence" >&5
+$as_echo_n "checking qwt/qwt.h presence... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <qwt/qwt.h>
+_ACEOF
+if { (ac_try="$ac_cpp conftest.$ac_ext"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } >/dev/null && {
+        test -z "$ac_cxx_preproc_warn_flag$ac_cxx_werror_flag" ||
+        test ! -s conftest.err
+       }; then
+  ac_header_preproc=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+  ac_header_preproc=no
+fi
+
+rm -f conftest.err conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_preproc" >&5
+$as_echo "$ac_header_preproc" >&6; }
+
+# So?  What about this header?
+case $ac_header_compiler:$ac_header_preproc:$ac_cxx_preproc_warn_flag in
+  yes:no: )
+    { $as_echo "$as_me:$LINENO: WARNING: qwt/qwt.h: accepted by the compiler, rejected by the preprocessor!" >&5
+$as_echo "$as_me: WARNING: qwt/qwt.h: accepted by the compiler, rejected by the preprocessor!" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: qwt/qwt.h: proceeding with the compiler's result" >&5
+$as_echo "$as_me: WARNING: qwt/qwt.h: proceeding with the compiler's result" >&2;}
+    ac_header_preproc=yes
+    ;;
+  no:yes:* )
+    { $as_echo "$as_me:$LINENO: WARNING: qwt/qwt.h: present but cannot be compiled" >&5
+$as_echo "$as_me: WARNING: qwt/qwt.h: present but cannot be compiled" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: qwt/qwt.h:     check for missing prerequisite headers?" >&5
+$as_echo "$as_me: WARNING: qwt/qwt.h:     check for missing prerequisite headers?" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: qwt/qwt.h: see the Autoconf documentation" >&5
+$as_echo "$as_me: WARNING: qwt/qwt.h: see the Autoconf documentation" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: qwt/qwt.h:     section \"Present But Cannot Be Compiled\"" >&5
+$as_echo "$as_me: WARNING: qwt/qwt.h:     section \"Present But Cannot Be Compiled\"" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: qwt/qwt.h: proceeding with the preprocessor's result" >&5
+$as_echo "$as_me: WARNING: qwt/qwt.h: proceeding with the preprocessor's result" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: qwt/qwt.h: in the future, the compiler will take precedence" >&5
+$as_echo "$as_me: WARNING: qwt/qwt.h: in the future, the compiler will take precedence" >&2;}
+
+    ;;
+esac
+{ $as_echo "$as_me:$LINENO: checking for qwt/qwt.h" >&5
+$as_echo_n "checking for qwt/qwt.h... " >&6; }
+if test "${ac_cv_header_qwt_qwt_h+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_cv_header_qwt_qwt_h=$ac_header_preproc
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_header_qwt_qwt_h" >&5
+$as_echo "$ac_cv_header_qwt_qwt_h" >&6; }
+
+fi
+if test "x$ac_cv_header_qwt_qwt_h" = x""yes; then
+  qwt_qwt_h=yes
+else
+  qwt_qwt_h=no
+
+fi
+
+
+                if test "$qwt_qwt_h" = "yes" ; then
+            QWT_CFLAGS="$QWT_CFLAGS -I/usr/include/qwt"
+        else
+                        if test "${ac_cv_header_qwt_qt4_qwt_h+set}" = set; then
+  { $as_echo "$as_me:$LINENO: checking for qwt-qt4/qwt.h" >&5
+$as_echo_n "checking for qwt-qt4/qwt.h... " >&6; }
+if test "${ac_cv_header_qwt_qt4_qwt_h+set}" = set; then
+  $as_echo_n "(cached) " >&6
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_header_qwt_qt4_qwt_h" >&5
+$as_echo "$ac_cv_header_qwt_qt4_qwt_h" >&6; }
+else
+  # Is the header compilable?
+{ $as_echo "$as_me:$LINENO: checking qwt-qt4/qwt.h usability" >&5
+$as_echo_n "checking qwt-qt4/qwt.h usability... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+$ac_includes_default
+#include <qwt-qt4/qwt.h>
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_cxx_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_header_compiler=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_header_compiler=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_compiler" >&5
+$as_echo "$ac_header_compiler" >&6; }
+
+# Is the header present?
+{ $as_echo "$as_me:$LINENO: checking qwt-qt4/qwt.h presence" >&5
+$as_echo_n "checking qwt-qt4/qwt.h presence... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <qwt-qt4/qwt.h>
+_ACEOF
+if { (ac_try="$ac_cpp conftest.$ac_ext"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } >/dev/null && {
+        test -z "$ac_cxx_preproc_warn_flag$ac_cxx_werror_flag" ||
+        test ! -s conftest.err
+       }; then
+  ac_header_preproc=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+  ac_header_preproc=no
+fi
+
+rm -f conftest.err conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_preproc" >&5
+$as_echo "$ac_header_preproc" >&6; }
+
+# So?  What about this header?
+case $ac_header_compiler:$ac_header_preproc:$ac_cxx_preproc_warn_flag in
+  yes:no: )
+    { $as_echo "$as_me:$LINENO: WARNING: qwt-qt4/qwt.h: accepted by the compiler, rejected by the preprocessor!" >&5
+$as_echo "$as_me: WARNING: qwt-qt4/qwt.h: accepted by the compiler, rejected by the preprocessor!" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: qwt-qt4/qwt.h: proceeding with the compiler's result" >&5
+$as_echo "$as_me: WARNING: qwt-qt4/qwt.h: proceeding with the compiler's result" >&2;}
+    ac_header_preproc=yes
+    ;;
+  no:yes:* )
+    { $as_echo "$as_me:$LINENO: WARNING: qwt-qt4/qwt.h: present but cannot be compiled" >&5
+$as_echo "$as_me: WARNING: qwt-qt4/qwt.h: present but cannot be compiled" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: qwt-qt4/qwt.h:     check for missing prerequisite headers?" >&5
+$as_echo "$as_me: WARNING: qwt-qt4/qwt.h:     check for missing prerequisite headers?" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: qwt-qt4/qwt.h: see the Autoconf documentation" >&5
+$as_echo "$as_me: WARNING: qwt-qt4/qwt.h: see the Autoconf documentation" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: qwt-qt4/qwt.h:     section \"Present But Cannot Be Compiled\"" >&5
+$as_echo "$as_me: WARNING: qwt-qt4/qwt.h:     section \"Present But Cannot Be Compiled\"" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: qwt-qt4/qwt.h: proceeding with the preprocessor's result" >&5
+$as_echo "$as_me: WARNING: qwt-qt4/qwt.h: proceeding with the preprocessor's result" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: qwt-qt4/qwt.h: in the future, the compiler will take precedence" >&5
+$as_echo "$as_me: WARNING: qwt-qt4/qwt.h: in the future, the compiler will take precedence" >&2;}
+
+    ;;
+esac
+{ $as_echo "$as_me:$LINENO: checking for qwt-qt4/qwt.h" >&5
+$as_echo_n "checking for qwt-qt4/qwt.h... " >&6; }
+if test "${ac_cv_header_qwt_qt4_qwt_h+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_cv_header_qwt_qt4_qwt_h=$ac_header_preproc
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_header_qwt_qt4_qwt_h" >&5
+$as_echo "$ac_cv_header_qwt_qt4_qwt_h" >&6; }
+
+fi
+if test "x$ac_cv_header_qwt_qt4_qwt_h" = x""yes; then
+  qwt_qt4_qwt_h=yes
+else
+  qwt_qt4_qwt_h=no
+
+fi
+
+
+                        if test "$qwt_qt4_qwt_h" = "yes" ; then
+                QWT_CFLAGS="$QWT_CFLAGS -I/usr/include/qwt-qt4"
+            else
+                                libqwt_ok=no
+            fi
+        fi
+    else
+               QWT_CFLAGS="$QWT_CFLAGS -I$qwt_incdir"
+        as_ac_Header=`$as_echo "ac_cv_header_$qwt_incdir/qwt.h" | $as_tr_sh`
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  { $as_echo "$as_me:$LINENO: checking for $qwt_incdir/qwt.h" >&5
+$as_echo_n "checking for $qwt_incdir/qwt.h... " >&6; }
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+fi
+ac_res=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+else
+  # Is the header compilable?
+{ $as_echo "$as_me:$LINENO: checking $qwt_incdir/qwt.h usability" >&5
+$as_echo_n "checking $qwt_incdir/qwt.h usability... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+$ac_includes_default
+#include <$qwt_incdir/qwt.h>
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_cxx_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_header_compiler=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_header_compiler=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_compiler" >&5
+$as_echo "$ac_header_compiler" >&6; }
+
+# Is the header present?
+{ $as_echo "$as_me:$LINENO: checking $qwt_incdir/qwt.h presence" >&5
+$as_echo_n "checking $qwt_incdir/qwt.h presence... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <$qwt_incdir/qwt.h>
+_ACEOF
+if { (ac_try="$ac_cpp conftest.$ac_ext"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } >/dev/null && {
+        test -z "$ac_cxx_preproc_warn_flag$ac_cxx_werror_flag" ||
+        test ! -s conftest.err
+       }; then
+  ac_header_preproc=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+  ac_header_preproc=no
+fi
+
+rm -f conftest.err conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_preproc" >&5
+$as_echo "$ac_header_preproc" >&6; }
+
+# So?  What about this header?
+case $ac_header_compiler:$ac_header_preproc:$ac_cxx_preproc_warn_flag in
+  yes:no: )
+    { $as_echo "$as_me:$LINENO: WARNING: $qwt_incdir/qwt.h: accepted by the compiler, rejected by the preprocessor!" >&5
+$as_echo "$as_me: WARNING: $qwt_incdir/qwt.h: accepted by the compiler, rejected by the preprocessor!" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $qwt_incdir/qwt.h: proceeding with the compiler's result" >&5
+$as_echo "$as_me: WARNING: $qwt_incdir/qwt.h: proceeding with the compiler's result" >&2;}
+    ac_header_preproc=yes
+    ;;
+  no:yes:* )
+    { $as_echo "$as_me:$LINENO: WARNING: $qwt_incdir/qwt.h: present but cannot be compiled" >&5
+$as_echo "$as_me: WARNING: $qwt_incdir/qwt.h: present but cannot be compiled" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $qwt_incdir/qwt.h:     check for missing prerequisite headers?" >&5
+$as_echo "$as_me: WARNING: $qwt_incdir/qwt.h:     check for missing prerequisite headers?" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $qwt_incdir/qwt.h: see the Autoconf documentation" >&5
+$as_echo "$as_me: WARNING: $qwt_incdir/qwt.h: see the Autoconf documentation" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $qwt_incdir/qwt.h:     section \"Present But Cannot Be Compiled\"" >&5
+$as_echo "$as_me: WARNING: $qwt_incdir/qwt.h:     section \"Present But Cannot Be Compiled\"" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $qwt_incdir/qwt.h: proceeding with the preprocessor's result" >&5
+$as_echo "$as_me: WARNING: $qwt_incdir/qwt.h: proceeding with the preprocessor's result" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $qwt_incdir/qwt.h: in the future, the compiler will take precedence" >&5
+$as_echo "$as_me: WARNING: $qwt_incdir/qwt.h: in the future, the compiler will take precedence" >&2;}
+
+    ;;
+esac
+{ $as_echo "$as_me:$LINENO: checking for $qwt_incdir/qwt.h" >&5
+$as_echo_n "checking for $qwt_incdir/qwt.h... " >&6; }
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  eval "$as_ac_Header=\$ac_header_preproc"
+fi
+ac_res=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+
+fi
+as_val=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+   if test "x$as_val" = x""yes; then
+  :
+else
+  libqwt_ok=no
+fi
+
+
+    fi
+
+        if test "$libqwt_ok" = "yes" ; then
+
+
+                if test "$qwt_libdir" != "" ; then
+            QWT_LIBS="-L$qwt_libdir $QWT_LIBS"
+        fi
+
+                CPPFLAGS="$CPPFLAGS $QWT_CFLAGS"
+        LIBS="$qwt_save_LIBS $QT_LIBS $QWT_LIBS -lqwt"
+
+                if test "$qwt_lib" != "" ; then
+            as_ac_Lib=`$as_echo "ac_cv_lib_$qwt_lib''_main" | $as_tr_sh`
+{ $as_echo "$as_me:$LINENO: checking for main in -l$qwt_lib" >&5
+$as_echo_n "checking for main in -l$qwt_lib... " >&6; }
+if { as_var=$as_ac_Lib; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-l$qwt_lib  $LIBS"
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+
+int
+main ()
+{
+return main ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_cxx_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  eval "$as_ac_Lib=yes"
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       eval "$as_ac_Lib=no"
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+ac_res=`eval 'as_val=${'$as_ac_Lib'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+as_val=`eval 'as_val=${'$as_ac_Lib'}
+                $as_echo "$as_val"'`
+   if test "x$as_val" = x""yes; then
+  libqwt_ok=yes
+else
+  libqwt_ok=no
+fi
+
+
+        else
+                        { $as_echo "$as_me:$LINENO: checking for main in -lqwt" >&5
+$as_echo_n "checking for main in -lqwt... " >&6; }
+if test "${ac_cv_lib_qwt_main+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-lqwt  $LIBS"
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+
+int
+main ()
+{
+return main ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_cxx_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  ac_cv_lib_qwt_main=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_lib_qwt_main=no
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_lib_qwt_main" >&5
+$as_echo "$ac_cv_lib_qwt_main" >&6; }
+if test "x$ac_cv_lib_qwt_main" = x""yes; then
+  libqwt_ok=yes
+else
+  libqwt_ok=no
+fi
+
+
+                        if test "$libqwt_ok" = "yes" ; then
+                QWT_LIBS="$QWT_LIBS -lqwt"
+            else
+                                LIBS="$qwt_save_LIBS $QT_LIBS $QWT_LIBS -lqwt-qt4"
+                { $as_echo "$as_me:$LINENO: checking for main in -lqwt-qt4" >&5
+$as_echo_n "checking for main in -lqwt-qt4... " >&6; }
+if test "${ac_cv_lib_qwt_qt4_main+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-lqwt-qt4  $LIBS"
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+
+int
+main ()
+{
+return main ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_cxx_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  ac_cv_lib_qwt_qt4_main=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_lib_qwt_qt4_main=no
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_lib_qwt_qt4_main" >&5
+$as_echo "$ac_cv_lib_qwt_qt4_main" >&6; }
+if test "x$ac_cv_lib_qwt_qt4_main" = x""yes; then
+  libqwt_ok=yes
+else
+  libqwt_ok=no
+fi
+
+                if test "$libqwt_ok" = "yes" ; then
+                    QWT_LIBS="$QWT_LIBS -lqwt-qt4"
+                else
+                    { $as_echo "$as_me:$LINENO: result: Could not link to libqwt.so" >&5
+$as_echo "Could not link to libqwt.so" >&6; }
+                fi
+            fi
+        fi
+    else
+        { $as_echo "$as_me:$LINENO: result: Could not find qwt headers" >&5
+$as_echo "Could not find qwt headers" >&6; }
+    fi
+
+        LIBS="$qwt_save_LIBS"
+    CPPFLAGS="$qwt_save_CPPFLAGS"
+    ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+
+        if test "x$libqwt_ok" = "xyes" ; then
+       :
+    else
+       QWT_CFLAGS=""
+       QWT_LIBDIRS=""
+       passed=no
+    fi
+
+
+
+
+
+               if test "$passed" = "yes"; then
+
+
+        ac_ext=cpp
+ac_cpp='$CXXCPP $CPPFLAGS'
+ac_compile='$CXX -c $CXXFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CXX -o conftest$ac_exeext $CXXFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_cxx_compiler_gnu
+
+    qwtplot3d_save_CPPFLAGS="$CPPFLAGS"
+    qwtplot3d_save_LIBS="$LIBS"
+    libqwtplot3d_ok=yes
+
+
+# Check whether --with-qwtplot3d-libdir was given.
+if test "${with_qwtplot3d_libdir+set}" = set; then
+  withval=$with_qwtplot3d_libdir; qwtplot3d_libdir="$withval"
+else
+  qwtplot3d_libdir=""
+fi
+
+
+
+# Check whether --with-qwtplot3d-incdir was given.
+if test "${with_qwtplot3d_incdir+set}" = set; then
+  withval=$with_qwtplot3d_incdir; qwtplot3d_incdir="$withval"
+else
+  qwtplot3d_incdir=""
+fi
+
+
+
+# Check whether --with-qwtplot3d-lib was given.
+if test "${with_qwtplot3d_lib+set}" = set; then
+  withval=$with_qwtplot3d_lib; qwtplot3d_lib="$withval"
+else
+  qwtplot3d_lib=""
+fi
+
+
+
+                CPPFLAGS="$CPPFLAGS $QTCORE_CFLAGS $QTGUI_CFLAGS $QWT_CFLAGS"
+
+        if test "$qwtplot3d_incdir" = "" ; then
+                if test "${ac_cv_header_qwtplot3d_qwt3d_plot_h+set}" = set; then
+  { $as_echo "$as_me:$LINENO: checking for qwtplot3d/qwt3d_plot.h" >&5
+$as_echo_n "checking for qwtplot3d/qwt3d_plot.h... " >&6; }
+if test "${ac_cv_header_qwtplot3d_qwt3d_plot_h+set}" = set; then
+  $as_echo_n "(cached) " >&6
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_header_qwtplot3d_qwt3d_plot_h" >&5
+$as_echo "$ac_cv_header_qwtplot3d_qwt3d_plot_h" >&6; }
+else
+  # Is the header compilable?
+{ $as_echo "$as_me:$LINENO: checking qwtplot3d/qwt3d_plot.h usability" >&5
+$as_echo_n "checking qwtplot3d/qwt3d_plot.h usability... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+$ac_includes_default
+#include <qwtplot3d/qwt3d_plot.h>
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_cxx_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_header_compiler=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_header_compiler=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_compiler" >&5
+$as_echo "$ac_header_compiler" >&6; }
+
+# Is the header present?
+{ $as_echo "$as_me:$LINENO: checking qwtplot3d/qwt3d_plot.h presence" >&5
+$as_echo_n "checking qwtplot3d/qwt3d_plot.h presence... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <qwtplot3d/qwt3d_plot.h>
+_ACEOF
+if { (ac_try="$ac_cpp conftest.$ac_ext"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } >/dev/null && {
+        test -z "$ac_cxx_preproc_warn_flag$ac_cxx_werror_flag" ||
+        test ! -s conftest.err
+       }; then
+  ac_header_preproc=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+  ac_header_preproc=no
+fi
+
+rm -f conftest.err conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_preproc" >&5
+$as_echo "$ac_header_preproc" >&6; }
+
+# So?  What about this header?
+case $ac_header_compiler:$ac_header_preproc:$ac_cxx_preproc_warn_flag in
+  yes:no: )
+    { $as_echo "$as_me:$LINENO: WARNING: qwtplot3d/qwt3d_plot.h: accepted by the compiler, rejected by the preprocessor!" >&5
+$as_echo "$as_me: WARNING: qwtplot3d/qwt3d_plot.h: accepted by the compiler, rejected by the preprocessor!" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: qwtplot3d/qwt3d_plot.h: proceeding with the compiler's result" >&5
+$as_echo "$as_me: WARNING: qwtplot3d/qwt3d_plot.h: proceeding with the compiler's result" >&2;}
+    ac_header_preproc=yes
+    ;;
+  no:yes:* )
+    { $as_echo "$as_me:$LINENO: WARNING: qwtplot3d/qwt3d_plot.h: present but cannot be compiled" >&5
+$as_echo "$as_me: WARNING: qwtplot3d/qwt3d_plot.h: present but cannot be compiled" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: qwtplot3d/qwt3d_plot.h:     check for missing prerequisite headers?" >&5
+$as_echo "$as_me: WARNING: qwtplot3d/qwt3d_plot.h:     check for missing prerequisite headers?" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: qwtplot3d/qwt3d_plot.h: see the Autoconf documentation" >&5
+$as_echo "$as_me: WARNING: qwtplot3d/qwt3d_plot.h: see the Autoconf documentation" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: qwtplot3d/qwt3d_plot.h:     section \"Present But Cannot Be Compiled\"" >&5
+$as_echo "$as_me: WARNING: qwtplot3d/qwt3d_plot.h:     section \"Present But Cannot Be Compiled\"" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: qwtplot3d/qwt3d_plot.h: proceeding with the preprocessor's result" >&5
+$as_echo "$as_me: WARNING: qwtplot3d/qwt3d_plot.h: proceeding with the preprocessor's result" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: qwtplot3d/qwt3d_plot.h: in the future, the compiler will take precedence" >&5
+$as_echo "$as_me: WARNING: qwtplot3d/qwt3d_plot.h: in the future, the compiler will take precedence" >&2;}
+
+    ;;
+esac
+{ $as_echo "$as_me:$LINENO: checking for qwtplot3d/qwt3d_plot.h" >&5
+$as_echo_n "checking for qwtplot3d/qwt3d_plot.h... " >&6; }
+if test "${ac_cv_header_qwtplot3d_qwt3d_plot_h+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_cv_header_qwtplot3d_qwt3d_plot_h=$ac_header_preproc
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_header_qwtplot3d_qwt3d_plot_h" >&5
+$as_echo "$ac_cv_header_qwtplot3d_qwt3d_plot_h" >&6; }
+
+fi
+if test "x$ac_cv_header_qwtplot3d_qwt3d_plot_h" = x""yes; then
+  qwtplot3d_qwtplot3d_h=yes
+else
+  qwtplot3d_qwtplot3d_h=no
+
+fi
+
+
+                if test "$qwtplot3d_qwtplot3d_h" = "yes" ; then
+            QWTPLOT3D_CFLAGS="$QWTPLOT3D_CFLAGS -I/usr/include/qwtplot3d"
+        else
+                        if test "${ac_cv_header_qwtplot3d_qt4_qwt3d_plot_h+set}" = set; then
+  { $as_echo "$as_me:$LINENO: checking for qwtplot3d-qt4/qwt3d_plot.h" >&5
+$as_echo_n "checking for qwtplot3d-qt4/qwt3d_plot.h... " >&6; }
+if test "${ac_cv_header_qwtplot3d_qt4_qwt3d_plot_h+set}" = set; then
+  $as_echo_n "(cached) " >&6
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_header_qwtplot3d_qt4_qwt3d_plot_h" >&5
+$as_echo "$ac_cv_header_qwtplot3d_qt4_qwt3d_plot_h" >&6; }
+else
+  # Is the header compilable?
+{ $as_echo "$as_me:$LINENO: checking qwtplot3d-qt4/qwt3d_plot.h usability" >&5
+$as_echo_n "checking qwtplot3d-qt4/qwt3d_plot.h usability... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+$ac_includes_default
+#include <qwtplot3d-qt4/qwt3d_plot.h>
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_cxx_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_header_compiler=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_header_compiler=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_compiler" >&5
+$as_echo "$ac_header_compiler" >&6; }
+
+# Is the header present?
+{ $as_echo "$as_me:$LINENO: checking qwtplot3d-qt4/qwt3d_plot.h presence" >&5
+$as_echo_n "checking qwtplot3d-qt4/qwt3d_plot.h presence... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <qwtplot3d-qt4/qwt3d_plot.h>
+_ACEOF
+if { (ac_try="$ac_cpp conftest.$ac_ext"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } >/dev/null && {
+        test -z "$ac_cxx_preproc_warn_flag$ac_cxx_werror_flag" ||
+        test ! -s conftest.err
+       }; then
+  ac_header_preproc=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+  ac_header_preproc=no
+fi
+
+rm -f conftest.err conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_preproc" >&5
+$as_echo "$ac_header_preproc" >&6; }
+
+# So?  What about this header?
+case $ac_header_compiler:$ac_header_preproc:$ac_cxx_preproc_warn_flag in
+  yes:no: )
+    { $as_echo "$as_me:$LINENO: WARNING: qwtplot3d-qt4/qwt3d_plot.h: accepted by the compiler, rejected by the preprocessor!" >&5
+$as_echo "$as_me: WARNING: qwtplot3d-qt4/qwt3d_plot.h: accepted by the compiler, rejected by the preprocessor!" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: qwtplot3d-qt4/qwt3d_plot.h: proceeding with the compiler's result" >&5
+$as_echo "$as_me: WARNING: qwtplot3d-qt4/qwt3d_plot.h: proceeding with the compiler's result" >&2;}
+    ac_header_preproc=yes
+    ;;
+  no:yes:* )
+    { $as_echo "$as_me:$LINENO: WARNING: qwtplot3d-qt4/qwt3d_plot.h: present but cannot be compiled" >&5
+$as_echo "$as_me: WARNING: qwtplot3d-qt4/qwt3d_plot.h: present but cannot be compiled" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: qwtplot3d-qt4/qwt3d_plot.h:     check for missing prerequisite headers?" >&5
+$as_echo "$as_me: WARNING: qwtplot3d-qt4/qwt3d_plot.h:     check for missing prerequisite headers?" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: qwtplot3d-qt4/qwt3d_plot.h: see the Autoconf documentation" >&5
+$as_echo "$as_me: WARNING: qwtplot3d-qt4/qwt3d_plot.h: see the Autoconf documentation" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: qwtplot3d-qt4/qwt3d_plot.h:     section \"Present But Cannot Be Compiled\"" >&5
+$as_echo "$as_me: WARNING: qwtplot3d-qt4/qwt3d_plot.h:     section \"Present But Cannot Be Compiled\"" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: qwtplot3d-qt4/qwt3d_plot.h: proceeding with the preprocessor's result" >&5
+$as_echo "$as_me: WARNING: qwtplot3d-qt4/qwt3d_plot.h: proceeding with the preprocessor's result" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: qwtplot3d-qt4/qwt3d_plot.h: in the future, the compiler will take precedence" >&5
+$as_echo "$as_me: WARNING: qwtplot3d-qt4/qwt3d_plot.h: in the future, the compiler will take precedence" >&2;}
+
+    ;;
+esac
+{ $as_echo "$as_me:$LINENO: checking for qwtplot3d-qt4/qwt3d_plot.h" >&5
+$as_echo_n "checking for qwtplot3d-qt4/qwt3d_plot.h... " >&6; }
+if test "${ac_cv_header_qwtplot3d_qt4_qwt3d_plot_h+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_cv_header_qwtplot3d_qt4_qwt3d_plot_h=$ac_header_preproc
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_header_qwtplot3d_qt4_qwt3d_plot_h" >&5
+$as_echo "$ac_cv_header_qwtplot3d_qt4_qwt3d_plot_h" >&6; }
+
+fi
+if test "x$ac_cv_header_qwtplot3d_qt4_qwt3d_plot_h" = x""yes; then
+  qwtplot3d_qt4_qwtplot3d_h=yes
+else
+  qwtplot3d_qt4_qwtplot3d_h=no
+
+fi
+
+
+                        if test "$qwtplot3d_qt4_qwtplot3d_h" = "yes" ; then
+                QWTPLOT3D_CFLAGS="$QWTPLOT3D_CFLAGS -I/usr/include/qwtplot3d-qt4"
+            else
+                                libqwtplot3d_ok=no
+            fi
+        fi
+    else
+               QWTPLOT3D_CFLAGS="$QWTPLOT3D_CFLAGS -I$qwtplot3d_incdir"
+        as_ac_Header=`$as_echo "ac_cv_header_$qwtplot3d_incdir/qwt3d_plot.h" | $as_tr_sh`
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  { $as_echo "$as_me:$LINENO: checking for $qwtplot3d_incdir/qwt3d_plot.h" >&5
+$as_echo_n "checking for $qwtplot3d_incdir/qwt3d_plot.h... " >&6; }
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+fi
+ac_res=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+else
+  # Is the header compilable?
+{ $as_echo "$as_me:$LINENO: checking $qwtplot3d_incdir/qwt3d_plot.h usability" >&5
+$as_echo_n "checking $qwtplot3d_incdir/qwt3d_plot.h usability... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+$ac_includes_default
+#include <$qwtplot3d_incdir/qwt3d_plot.h>
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_cxx_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_header_compiler=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_header_compiler=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_compiler" >&5
+$as_echo "$ac_header_compiler" >&6; }
+
+# Is the header present?
+{ $as_echo "$as_me:$LINENO: checking $qwtplot3d_incdir/qwt3d_plot.h presence" >&5
+$as_echo_n "checking $qwtplot3d_incdir/qwt3d_plot.h presence... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <$qwtplot3d_incdir/qwt3d_plot.h>
+_ACEOF
+if { (ac_try="$ac_cpp conftest.$ac_ext"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } >/dev/null && {
+        test -z "$ac_cxx_preproc_warn_flag$ac_cxx_werror_flag" ||
+        test ! -s conftest.err
+       }; then
+  ac_header_preproc=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+  ac_header_preproc=no
+fi
+
+rm -f conftest.err conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_preproc" >&5
+$as_echo "$ac_header_preproc" >&6; }
+
+# So?  What about this header?
+case $ac_header_compiler:$ac_header_preproc:$ac_cxx_preproc_warn_flag in
+  yes:no: )
+    { $as_echo "$as_me:$LINENO: WARNING: $qwtplot3d_incdir/qwt3d_plot.h: accepted by the compiler, rejected by the preprocessor!" >&5
+$as_echo "$as_me: WARNING: $qwtplot3d_incdir/qwt3d_plot.h: accepted by the compiler, rejected by the preprocessor!" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $qwtplot3d_incdir/qwt3d_plot.h: proceeding with the compiler's result" >&5
+$as_echo "$as_me: WARNING: $qwtplot3d_incdir/qwt3d_plot.h: proceeding with the compiler's result" >&2;}
+    ac_header_preproc=yes
+    ;;
+  no:yes:* )
+    { $as_echo "$as_me:$LINENO: WARNING: $qwtplot3d_incdir/qwt3d_plot.h: present but cannot be compiled" >&5
+$as_echo "$as_me: WARNING: $qwtplot3d_incdir/qwt3d_plot.h: present but cannot be compiled" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $qwtplot3d_incdir/qwt3d_plot.h:     check for missing prerequisite headers?" >&5
+$as_echo "$as_me: WARNING: $qwtplot3d_incdir/qwt3d_plot.h:     check for missing prerequisite headers?" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $qwtplot3d_incdir/qwt3d_plot.h: see the Autoconf documentation" >&5
+$as_echo "$as_me: WARNING: $qwtplot3d_incdir/qwt3d_plot.h: see the Autoconf documentation" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $qwtplot3d_incdir/qwt3d_plot.h:     section \"Present But Cannot Be Compiled\"" >&5
+$as_echo "$as_me: WARNING: $qwtplot3d_incdir/qwt3d_plot.h:     section \"Present But Cannot Be Compiled\"" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $qwtplot3d_incdir/qwt3d_plot.h: proceeding with the preprocessor's result" >&5
+$as_echo "$as_me: WARNING: $qwtplot3d_incdir/qwt3d_plot.h: proceeding with the preprocessor's result" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $qwtplot3d_incdir/qwt3d_plot.h: in the future, the compiler will take precedence" >&5
+$as_echo "$as_me: WARNING: $qwtplot3d_incdir/qwt3d_plot.h: in the future, the compiler will take precedence" >&2;}
+
+    ;;
+esac
+{ $as_echo "$as_me:$LINENO: checking for $qwtplot3d_incdir/qwt3d_plot.h" >&5
+$as_echo_n "checking for $qwtplot3d_incdir/qwt3d_plot.h... " >&6; }
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  eval "$as_ac_Header=\$ac_header_preproc"
+fi
+ac_res=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+
+fi
+as_val=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+   if test "x$as_val" = x""yes; then
+  :
+else
+  libqwtplot3d_ok=no
+fi
+
+
+    fi
+
+        if test "$libqwtplot3d_ok" = "yes" ; then
+
+
+                if test "$qwtplot3d_libdir" != "" ; then
+            QWTPLOT3D_LIBS="-L$qwtplot3d_libdir $QWTPLOT3D_LIBS"
+        fi
+
+                CPPFLAGS="$CPPFLAGS $QWTPLOT3D_CFLAGS"
+        LIBS="$qwtplot3d_save_LIBS $QT_LIBS $QWTPLOT3D_LIBS -lqwtplot3d-qt4"
+
+                if test "$qwtplot3d_lib" != "" ; then
+            as_ac_Lib=`$as_echo "ac_cv_lib_$qwtplot3d_lib''_main" | $as_tr_sh`
+{ $as_echo "$as_me:$LINENO: checking for main in -l$qwtplot3d_lib" >&5
+$as_echo_n "checking for main in -l$qwtplot3d_lib... " >&6; }
+if { as_var=$as_ac_Lib; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-l$qwtplot3d_lib  $LIBS"
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+
+int
+main ()
+{
+return main ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_cxx_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  eval "$as_ac_Lib=yes"
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       eval "$as_ac_Lib=no"
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+ac_res=`eval 'as_val=${'$as_ac_Lib'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+as_val=`eval 'as_val=${'$as_ac_Lib'}
+                $as_echo "$as_val"'`
+   if test "x$as_val" = x""yes; then
+  libqwtplot3d_ok=yes
+else
+  libqwtplot3d_ok=no
+fi
+
+
+        else
+                        { $as_echo "$as_me:$LINENO: checking for main in -lqwtplot3d-qt4" >&5
+$as_echo_n "checking for main in -lqwtplot3d-qt4... " >&6; }
+if test "${ac_cv_lib_qwtplot3d_qt4_main+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-lqwtplot3d-qt4  $LIBS"
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+
+int
+main ()
+{
+return main ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_cxx_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  ac_cv_lib_qwtplot3d_qt4_main=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_lib_qwtplot3d_qt4_main=no
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_lib_qwtplot3d_qt4_main" >&5
+$as_echo "$ac_cv_lib_qwtplot3d_qt4_main" >&6; }
+if test "x$ac_cv_lib_qwtplot3d_qt4_main" = x""yes; then
+  libqwtplot3d_ok=yes
+else
+  libqwtplot3d_ok=no
+fi
+
+
+                        if test "$libqwtplot3d_ok" = "yes" ; then
+                QWTPLOT3D_LIBS="$QWTPLOT3D_LIBS -lqwtplot3d-qt4"
+            else
+                                LIBS="$qwtplot3d_save_LIBS $QT_LIBS $QWTPLOT3D_LIBS -lqwtplot3d"
+                { $as_echo "$as_me:$LINENO: checking for main in -lqwtplot3d" >&5
+$as_echo_n "checking for main in -lqwtplot3d... " >&6; }
+if test "${ac_cv_lib_qwtplot3d_main+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_check_lib_save_LIBS=$LIBS
+LIBS="-lqwtplot3d  $LIBS"
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+
+int
+main ()
+{
+return main ();
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_cxx_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest$ac_exeext && {
+        test "$cross_compiling" = yes ||
+        $as_test_x conftest$ac_exeext
+       }; then
+  ac_cv_lib_qwtplot3d_main=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_lib_qwtplot3d_main=no
+fi
+
+rm -rf conftest.dSYM
+rm -f core conftest.err conftest.$ac_objext conftest_ipa8_conftest.oo \
+      conftest$ac_exeext conftest.$ac_ext
+LIBS=$ac_check_lib_save_LIBS
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_lib_qwtplot3d_main" >&5
+$as_echo "$ac_cv_lib_qwtplot3d_main" >&6; }
+if test "x$ac_cv_lib_qwtplot3d_main" = x""yes; then
+  libqwtplot3d_ok=yes
+else
+  libqwtplot3d_ok=no
+fi
+
+                if test "$libqwtplot3d_ok" = "yes" ; then
+                    QWTPLOT3D_LIBS="$QWTPLOT3D_LIBS -lqwtplot3d"
+                else
+                    { $as_echo "$as_me:$LINENO: result: Could not link to libqwtplot3d.so" >&5
+$as_echo "Could not link to libqwtplot3d.so" >&6; }
+                fi
+            fi
+        fi
+    else
+        { $as_echo "$as_me:$LINENO: result: Could not find qwtplot3d headers" >&5
+$as_echo "Could not find qwtplot3d headers" >&6; }
+    fi
+
+        LIBS="$qwtplot3d_save_LIBS"
+    CPPFLAGS="$qwtplot3d_save_CPPFLAGS"
+    ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+
+        if test "x$libqwtplot3d_ok" = "xyes" ; then
+       :
+    else
+       QWTPLOT3D_CFLAGS=""
+       QWTPLOT3D_LIBDIRS=""
+       passed=no
+    fi
+
+
+
+
+        fi
+
+                       QT_INCLUDES="$QWT_CFLAGS $QWTPLOT3D_CFLAGS $QTCORE_CFLAGS $QTGUI_CFLAGS"
+       QT_LIBS="$QWT_LIBS $QWTPLOT3D_LIBS $QTOPENGL_LIBS"
+
+                                for i in $QWT_CFLAGS $QWTPLOT3D_CFLAGS; do
+            QMAKE_INCLUDES="$QMAKE_INCLUDES ${i##-I}"
+        done
+
+       QT_MOC_EXEC=`pkg-config --variable=moc_location QtCore`
+       QT_UIC_EXEC=`pkg-config --variable=uic_location QtCore`
+
+
+
+
+
+
+    fi
+
+    if test "$passed" = "no"; then
+         if false; then
+  BUILD_QT_TRUE=
+  BUILD_QT_FALSE='#'
+else
+  BUILD_QT_TRUE='#'
+  BUILD_QT_FALSE=
+fi
+
+    else
+        if true; then
+  BUILD_QT_TRUE=
+  BUILD_QT_FALSE='#'
+else
+  BUILD_QT_TRUE='#'
+  BUILD_QT_FALSE=
+fi
+
+    fi
+
+    ac_config_files="$ac_config_files gr-qtgui/Makefile gr-qtgui/src/Makefile gr-qtgui/src/lib/Makefile gr-qtgui/src/python/Makefile"
+
+
+
+
+    gr_qtgui_with=no
+    if test $passed = no; then
+       if test x$enable_gr_qtgui = xyes; then
+           { { $as_echo "$as_me:$LINENO: error: Component gr-qtgui has errors; stopping." >&5
+$as_echo "$as_me: error: Component gr-qtgui has errors; stopping." >&2;}
+   { (exit 1); exit 1; }; }
+       else
+           { $as_echo "$as_me:$LINENO: result: Not building component gr-qtgui." >&5
+$as_echo "Not building component gr-qtgui." >&6; }
+       fi
+    else
+        if test $passed = with; then
+           with_dirs="$with_dirs gr-qtgui"
+
+    if test "x${gr_qtgui_INCLUDES}" != "x"; then
+        if test "x$with_INCLUDES" = "x"; then
+            with_INCLUDES="${gr_qtgui_INCLUDES}"
+       else
+           with_INCLUDES="${gr_qtgui_INCLUDES}"" ""$with_INCLUDES"
+       fi
+       gr_qtgui_INCLUDES=
+    fi
+
+
+    if test "x${gr_qtgui_SWIG_INCLUDES}" != "x"; then
+        if test "x$with_SWIG_INCLUDES" = "x"; then
+            with_SWIG_INCLUDES="${gr_qtgui_SWIG_INCLUDES}"
+       else
+           with_SWIG_INCLUDES="${gr_qtgui_SWIG_INCLUDES}"" ""$with_SWIG_INCLUDES"
+       fi
+       gr_qtgui_SWIG_INCLUDES=
+    fi
+
+
+    if test "x${gr_qtgui_PYDIRPATH}" != "x"; then
+        if test "x$with_PYDIRPATH" = "x"; then
+            with_PYDIRPATH="${gr_qtgui_PYDIRPATH}"
+       else
+           with_PYDIRPATH="${gr_qtgui_PYDIRPATH}"":""$with_PYDIRPATH"
+       fi
+       gr_qtgui_PYDIRPATH=
+    fi
+
+
+    if test "x${gr_qtgui_SWIGDIRPATH}" != "x"; then
+        if test "x$with_SWIGDIRPATH" = "x"; then
+            with_SWIGDIRPATH="${gr_qtgui_SWIGDIRPATH}"
+       else
+           with_SWIGDIRPATH="${gr_qtgui_SWIGDIRPATH}"":""$with_SWIGDIRPATH"
+       fi
+       gr_qtgui_SWIGDIRPATH=
+    fi
+
+
+    if test "x${gr_qtgui_LIBDIRPATH}" != "x"; then
+        if test "x$with_LIBDIRPATH" = "x"; then
+            with_LIBDIRPATH="${gr_qtgui_LIBDIRPATH}"
+       else
+           with_LIBDIRPATH="${gr_qtgui_LIBDIRPATH}"":""$with_LIBDIRPATH"
+       fi
+       gr_qtgui_LIBDIRPATH=
+    fi
+
+           { $as_echo "$as_me:$LINENO: result: Component gr-qtgui will be included from a pre-installed library and includes." >&5
+$as_echo "Component gr-qtgui will be included from a pre-installed library and includes." >&6; }
+           gr_qtgui_with=yes
+       else
+           gr_qtgui_LDFLAG=
+            if test x$enable_gr_qtgui != xno; then
+               :
+               build_dirs="$build_dirs gr-qtgui"
+               { $as_echo "$as_me:$LINENO: result: Component gr-qtgui passed configuration checks; building." >&5
+$as_echo "Component gr-qtgui passed configuration checks; building." >&6; }
+            else
+               passed=no
+               { $as_echo "$as_me:$LINENO: result: Component gr-qtgui passed configuration checks; but not building." >&5
+$as_echo "Component gr-qtgui passed configuration checks; but not building." >&6; }
+           fi
+       fi
+    fi
+    if test $passed = no; then
+        skipped_dirs="$skipped_dirs gr-qtgui"
+        gr_qtgui_skipped=yes
+    else
+        gr_qtgui_skipped=no
+    fi
+
+
+
+
+
+
+
+
+
+
+
+    passed=yes
+    # Check whether --enable-gr-sounder was given.
+if test "${enable_gr_sounder+set}" = set; then
+  enableval=$enable_gr_sounder;
+else
+
+        enable_gr_sounder=$enable_all_components
+        if test x$enable_all_components = xno; then
+            passed=no
+        fi
+
+fi
+
+
+
+
+
+    if test $passed != no; then
+        if test $passed = yes; then
+                        if test x$usrp_skipped = xyes; then
+                { $as_echo "$as_me:$LINENO: result: Component gr-sounder requires usrp, which is not being built or specified via pre-installed files." >&5
+$as_echo "Component gr-sounder requires usrp, which is not being built or specified via pre-installed files." >&6; }
+                passed=no
+            fi
+        else
+                        if test x$usrp_with = xno; then
+                { { $as_echo "$as_me:$LINENO: error: Component gr-sounder requires usrp to be included as --with-usrp[=arg]" >&5
+$as_echo "$as_me: error: Component gr-sounder requires usrp to be included as --with-usrp[=arg]" >&2;}
+   { (exit 1); exit 1; }; }
+            fi
+        fi
+    fi
+
+
+    if test $passed != no; then
+        if test $passed = yes; then
+                        if test x$gnuradio_core_skipped = xyes; then
+                { $as_echo "$as_me:$LINENO: result: Component gr-sounder requires gnuradio-core, which is not being built or specified via pre-installed files." >&5
+$as_echo "Component gr-sounder requires gnuradio-core, which is not being built or specified via pre-installed files." >&6; }
+                passed=no
+            fi
+        else
+                        if test x$gnuradio_core_with = xno; then
+                { { $as_echo "$as_me:$LINENO: error: Component gr-sounder requires gnuradio-core to be included as --with-gnuradio-core[=arg]" >&5
+$as_echo "$as_me: error: Component gr-sounder requires gnuradio-core to be included as --with-gnuradio-core[=arg]" >&2;}
+   { (exit 1); exit 1; }; }
+            fi
+        fi
+    fi
+
+
+    ac_config_files="$ac_config_files gr-sounder/Makefile gr-sounder/doc/Makefile gr-sounder/src/Makefile gr-sounder/src/fpga/Makefile gr-sounder/src/fpga/top/Makefile gr-sounder/src/fpga/lib/Makefile gr-sounder/src/fpga/tb/Makefile gr-sounder/src/lib/Makefile gr-sounder/src/python/Makefile gr-sounder/src/python/run_tests"
+
+
+
+
+    gr_sounder_with=no
+    if test $passed = no; then
+       if test x$enable_gr_sounder = xyes; then
+           { { $as_echo "$as_me:$LINENO: error: Component gr-sounder has errors; stopping." >&5
+$as_echo "$as_me: error: Component gr-sounder has errors; stopping." >&2;}
+   { (exit 1); exit 1; }; }
+       else
+           { $as_echo "$as_me:$LINENO: result: Not building component gr-sounder." >&5
+$as_echo "Not building component gr-sounder." >&6; }
+       fi
+    else
+        if test $passed = with; then
+           with_dirs="$with_dirs gr-sounder"
+
+    if test "x${gr_sounder_INCLUDES}" != "x"; then
+        if test "x$with_INCLUDES" = "x"; then
+            with_INCLUDES="${gr_sounder_INCLUDES}"
+       else
+           with_INCLUDES="${gr_sounder_INCLUDES}"" ""$with_INCLUDES"
+       fi
+       gr_sounder_INCLUDES=
+    fi
+
+
+    if test "x${gr_sounder_SWIG_INCLUDES}" != "x"; then
+        if test "x$with_SWIG_INCLUDES" = "x"; then
+            with_SWIG_INCLUDES="${gr_sounder_SWIG_INCLUDES}"
+       else
+           with_SWIG_INCLUDES="${gr_sounder_SWIG_INCLUDES}"" ""$with_SWIG_INCLUDES"
+       fi
+       gr_sounder_SWIG_INCLUDES=
+    fi
+
+
+    if test "x${gr_sounder_PYDIRPATH}" != "x"; then
+        if test "x$with_PYDIRPATH" = "x"; then
+            with_PYDIRPATH="${gr_sounder_PYDIRPATH}"
+       else
+           with_PYDIRPATH="${gr_sounder_PYDIRPATH}"":""$with_PYDIRPATH"
+       fi
+       gr_sounder_PYDIRPATH=
+    fi
+
+
+    if test "x${gr_sounder_SWIGDIRPATH}" != "x"; then
+        if test "x$with_SWIGDIRPATH" = "x"; then
+            with_SWIGDIRPATH="${gr_sounder_SWIGDIRPATH}"
+       else
+           with_SWIGDIRPATH="${gr_sounder_SWIGDIRPATH}"":""$with_SWIGDIRPATH"
+       fi
+       gr_sounder_SWIGDIRPATH=
+    fi
+
+
+    if test "x${gr_sounder_LIBDIRPATH}" != "x"; then
+        if test "x$with_LIBDIRPATH" = "x"; then
+            with_LIBDIRPATH="${gr_sounder_LIBDIRPATH}"
+       else
+           with_LIBDIRPATH="${gr_sounder_LIBDIRPATH}"":""$with_LIBDIRPATH"
+       fi
+       gr_sounder_LIBDIRPATH=
+    fi
+
+           { $as_echo "$as_me:$LINENO: result: Component gr-sounder will be included from a pre-installed library and includes." >&5
+$as_echo "Component gr-sounder will be included from a pre-installed library and includes." >&6; }
+           gr_sounder_with=yes
+       else
+           gr_sounder_LDFLAG=
+            if test x$enable_gr_sounder != xno; then
+                       ac_config_commands="$ac_config_commands run_tests_sounder"
+
+
+               build_dirs="$build_dirs gr-sounder"
+               { $as_echo "$as_me:$LINENO: result: Component gr-sounder passed configuration checks; building." >&5
+$as_echo "Component gr-sounder passed configuration checks; building." >&6; }
+            else
+               passed=no
+               { $as_echo "$as_me:$LINENO: result: Component gr-sounder passed configuration checks; but not building." >&5
+$as_echo "Component gr-sounder passed configuration checks; but not building." >&6; }
+           fi
+       fi
+    fi
+    if test $passed = no; then
+        skipped_dirs="$skipped_dirs gr-sounder"
+        gr_sounder_skipped=yes
+    else
+        gr_sounder_skipped=no
+    fi
+
+
+
+
+
+
+
+
+
+
+    passed=yes
+    # Check whether --enable-gr-utils was given.
+if test "${enable_gr_utils+set}" = set; then
+  enableval=$enable_gr_utils;
+else
+
+        enable_gr_utils=$enable_all_components
+        if test x$enable_all_components = xno; then
+            passed=no
+        fi
+
+fi
+
+
+
+
+
+    if test $passed != no; then
+        if test $passed = yes; then
+                        if test x$gnuradio_core_skipped = xyes; then
+                { $as_echo "$as_me:$LINENO: result: Component gr-utils requires gnuradio-core, which is not being built or specified via pre-installed files." >&5
+$as_echo "Component gr-utils requires gnuradio-core, which is not being built or specified via pre-installed files." >&6; }
+                passed=no
+            fi
+        else
+                        if test x$gnuradio_core_with = xno; then
+                { { $as_echo "$as_me:$LINENO: error: Component gr-utils requires gnuradio-core to be included as --with-gnuradio-core[=arg]" >&5
+$as_echo "$as_me: error: Component gr-utils requires gnuradio-core to be included as --with-gnuradio-core[=arg]" >&2;}
+   { (exit 1); exit 1; }; }
+            fi
+        fi
+    fi
+
+
+    if test $passed != no; then
+        if test $passed = yes; then
+                        if test x$usrp_skipped = xyes; then
+                { $as_echo "$as_me:$LINENO: result: Component gr-utils requires usrp, which is not being built or specified via pre-installed files." >&5
+$as_echo "Component gr-utils requires usrp, which is not being built or specified via pre-installed files." >&6; }
+                passed=no
+            fi
+        else
+                        if test x$usrp_with = xno; then
+                { { $as_echo "$as_me:$LINENO: error: Component gr-utils requires usrp to be included as --with-usrp[=arg]" >&5
+$as_echo "$as_me: error: Component gr-utils requires usrp to be included as --with-usrp[=arg]" >&2;}
+   { (exit 1); exit 1; }; }
+            fi
+        fi
+    fi
+
+
+    if test $passed != no; then
+        if test $passed = yes; then
+                        if test x$gr_wxgui_skipped = xyes; then
+                { $as_echo "$as_me:$LINENO: result: Component gr-utils requires gr-wxgui, which is not being built or specified via pre-installed files." >&5
+$as_echo "Component gr-utils requires gr-wxgui, which is not being built or specified via pre-installed files." >&6; }
+                passed=no
+            fi
+        else
+                        if test x$gr_wxgui_with = xno; then
+                { { $as_echo "$as_me:$LINENO: error: Component gr-utils requires gr-wxgui to be included as --with-gr-wxgui[=arg]" >&5
+$as_echo "$as_me: error: Component gr-utils requires gr-wxgui to be included as --with-gr-wxgui[=arg]" >&2;}
+   { (exit 1); exit 1; }; }
+            fi
+        fi
+    fi
+
+
+    ac_config_files="$ac_config_files gr-utils/Makefile gr-utils/src/Makefile gr-utils/src/lib/Makefile gr-utils/src/python/Makefile"
+
+
+
+
+    gr_utils_with=no
+    if test $passed = no; then
+       if test x$enable_gr_utils = xyes; then
+           { { $as_echo "$as_me:$LINENO: error: Component gr-utils has errors; stopping." >&5
+$as_echo "$as_me: error: Component gr-utils has errors; stopping." >&2;}
+   { (exit 1); exit 1; }; }
+       else
+           { $as_echo "$as_me:$LINENO: result: Not building component gr-utils." >&5
+$as_echo "Not building component gr-utils." >&6; }
+       fi
+    else
+        if test $passed = with; then
+           with_dirs="$with_dirs gr-utils"
+
+    if test "x${gr_utils_INCLUDES}" != "x"; then
+        if test "x$with_INCLUDES" = "x"; then
+            with_INCLUDES="${gr_utils_INCLUDES}"
+       else
+           with_INCLUDES="${gr_utils_INCLUDES}"" ""$with_INCLUDES"
+       fi
+       gr_utils_INCLUDES=
+    fi
+
+
+    if test "x${gr_utils_SWIG_INCLUDES}" != "x"; then
+        if test "x$with_SWIG_INCLUDES" = "x"; then
+            with_SWIG_INCLUDES="${gr_utils_SWIG_INCLUDES}"
+       else
+           with_SWIG_INCLUDES="${gr_utils_SWIG_INCLUDES}"" ""$with_SWIG_INCLUDES"
+       fi
+       gr_utils_SWIG_INCLUDES=
+    fi
+
+
+    if test "x${gr_utils_PYDIRPATH}" != "x"; then
+        if test "x$with_PYDIRPATH" = "x"; then
+            with_PYDIRPATH="${gr_utils_PYDIRPATH}"
+       else
+           with_PYDIRPATH="${gr_utils_PYDIRPATH}"":""$with_PYDIRPATH"
+       fi
+       gr_utils_PYDIRPATH=
+    fi
+
+
+    if test "x${gr_utils_SWIGDIRPATH}" != "x"; then
+        if test "x$with_SWIGDIRPATH" = "x"; then
+            with_SWIGDIRPATH="${gr_utils_SWIGDIRPATH}"
+       else
+           with_SWIGDIRPATH="${gr_utils_SWIGDIRPATH}"":""$with_SWIGDIRPATH"
+       fi
+       gr_utils_SWIGDIRPATH=
+    fi
+
+
+    if test "x${gr_utils_LIBDIRPATH}" != "x"; then
+        if test "x$with_LIBDIRPATH" = "x"; then
+            with_LIBDIRPATH="${gr_utils_LIBDIRPATH}"
+       else
+           with_LIBDIRPATH="${gr_utils_LIBDIRPATH}"":""$with_LIBDIRPATH"
+       fi
+       gr_utils_LIBDIRPATH=
+    fi
+
+           { $as_echo "$as_me:$LINENO: result: Component gr-utils will be included from a pre-installed library and includes." >&5
+$as_echo "Component gr-utils will be included from a pre-installed library and includes." >&6; }
+           gr_utils_with=yes
+       else
+           gr_utils_LDFLAG=
+            if test x$enable_gr_utils != xno; then
+               :
+               build_dirs="$build_dirs gr-utils"
+               { $as_echo "$as_me:$LINENO: result: Component gr-utils passed configuration checks; building." >&5
+$as_echo "Component gr-utils passed configuration checks; building." >&6; }
+            else
+               passed=no
+               { $as_echo "$as_me:$LINENO: result: Component gr-utils passed configuration checks; but not building." >&5
+$as_echo "Component gr-utils passed configuration checks; but not building." >&6; }
+           fi
+       fi
+    fi
+    if test $passed = no; then
+        skipped_dirs="$skipped_dirs gr-utils"
+        gr_utils_skipped=yes
+    else
+        gr_utils_skipped=no
+    fi
+
+
+
+
+
+
+
+
+
+
+    passed=yes
+    # Check whether --enable-gnuradio-examples was given.
+if test "${enable_gnuradio_examples+set}" = set; then
+  enableval=$enable_gnuradio_examples;
+else
+
+        enable_gnuradio_examples=$enable_all_components
+        if test x$enable_all_components = xno; then
+            passed=no
+        fi
+
+fi
+
+
+
+
+
+    if test $passed != no; then
+        if test $passed = yes; then
+                        if test x$gnuradio_core_skipped = xyes; then
+                { $as_echo "$as_me:$LINENO: result: Component gnuradio-examples requires gnuradio-core, which is not being built or specified via pre-installed files." >&5
+$as_echo "Component gnuradio-examples requires gnuradio-core, which is not being built or specified via pre-installed files." >&6; }
+                passed=no
+            fi
+        else
+                        if test x$gnuradio_core_with = xno; then
+                { { $as_echo "$as_me:$LINENO: error: Component gnuradio-examples requires gnuradio-core to be included as --with-gnuradio-core[=arg]" >&5
+$as_echo "$as_me: error: Component gnuradio-examples requires gnuradio-core to be included as --with-gnuradio-core[=arg]" >&2;}
+   { (exit 1); exit 1; }; }
+            fi
+        fi
+    fi
+
+
+    ac_config_files="$ac_config_files gnuradio-examples/Makefile gnuradio-examples/c++/Makefile gnuradio-examples/python/Makefile gnuradio-examples/python/apps/hf_explorer/Makefile gnuradio-examples/python/apps/hf_radio/Makefile gnuradio-examples/python/apps/Makefile gnuradio-examples/python/audio/Makefile gnuradio-examples/python/digital/Makefile gnuradio-examples/python/digital_voice/Makefile gnuradio-examples/python/digital-bert/Makefile gnuradio-examples/python/mp-sched/Makefile gnuradio-examples/python/multi-antenna/Makefile gnuradio-examples/python/multi_usrp/Makefile gnuradio-examples/python/network/Makefile gnuradio-examples/python/ofdm/Makefile gnuradio-examples/python/usrp/Makefile gnuradio-examples/python/usrp2/Makefile"
+
+
+
+
+    gnuradio_examples_with=no
+    if test $passed = no; then
+       if test x$enable_gnuradio_examples = xyes; then
+           { { $as_echo "$as_me:$LINENO: error: Component gnuradio-examples has errors; stopping." >&5
+$as_echo "$as_me: error: Component gnuradio-examples has errors; stopping." >&2;}
+   { (exit 1); exit 1; }; }
+       else
+           { $as_echo "$as_me:$LINENO: result: Not building component gnuradio-examples." >&5
+$as_echo "Not building component gnuradio-examples." >&6; }
+       fi
+    else
+        if test $passed = with; then
+           with_dirs="$with_dirs gnuradio-examples"
+
+    if test "x${gnuradio_examples_INCLUDES}" != "x"; then
+        if test "x$with_INCLUDES" = "x"; then
+            with_INCLUDES="${gnuradio_examples_INCLUDES}"
+       else
+           with_INCLUDES="${gnuradio_examples_INCLUDES}"" ""$with_INCLUDES"
+       fi
+       gnuradio_examples_INCLUDES=
+    fi
+
+
+    if test "x${gnuradio_examples_SWIG_INCLUDES}" != "x"; then
+        if test "x$with_SWIG_INCLUDES" = "x"; then
+            with_SWIG_INCLUDES="${gnuradio_examples_SWIG_INCLUDES}"
+       else
+           with_SWIG_INCLUDES="${gnuradio_examples_SWIG_INCLUDES}"" ""$with_SWIG_INCLUDES"
+       fi
+       gnuradio_examples_SWIG_INCLUDES=
+    fi
+
+
+    if test "x${gnuradio_examples_PYDIRPATH}" != "x"; then
+        if test "x$with_PYDIRPATH" = "x"; then
+            with_PYDIRPATH="${gnuradio_examples_PYDIRPATH}"
+       else
+           with_PYDIRPATH="${gnuradio_examples_PYDIRPATH}"":""$with_PYDIRPATH"
+       fi
+       gnuradio_examples_PYDIRPATH=
+    fi
+
+
+    if test "x${gnuradio_examples_SWIGDIRPATH}" != "x"; then
+        if test "x$with_SWIGDIRPATH" = "x"; then
+            with_SWIGDIRPATH="${gnuradio_examples_SWIGDIRPATH}"
+       else
+           with_SWIGDIRPATH="${gnuradio_examples_SWIGDIRPATH}"":""$with_SWIGDIRPATH"
+       fi
+       gnuradio_examples_SWIGDIRPATH=
+    fi
+
+
+    if test "x${gnuradio_examples_LIBDIRPATH}" != "x"; then
+        if test "x$with_LIBDIRPATH" = "x"; then
+            with_LIBDIRPATH="${gnuradio_examples_LIBDIRPATH}"
+       else
+           with_LIBDIRPATH="${gnuradio_examples_LIBDIRPATH}"":""$with_LIBDIRPATH"
+       fi
+       gnuradio_examples_LIBDIRPATH=
+    fi
+
+           { $as_echo "$as_me:$LINENO: result: Component gnuradio-examples will be included from a pre-installed library and includes." >&5
+$as_echo "Component gnuradio-examples will be included from a pre-installed library and includes." >&6; }
+           gnuradio_examples_with=yes
+       else
+           gnuradio_examples_LDFLAG=
+            if test x$enable_gnuradio_examples != xno; then
+               :
+               build_dirs="$build_dirs gnuradio-examples"
+               { $as_echo "$as_me:$LINENO: result: Component gnuradio-examples passed configuration checks; building." >&5
+$as_echo "Component gnuradio-examples passed configuration checks; building." >&6; }
+            else
+               passed=no
+               { $as_echo "$as_me:$LINENO: result: Component gnuradio-examples passed configuration checks; but not building." >&5
+$as_echo "Component gnuradio-examples passed configuration checks; but not building." >&6; }
+           fi
+       fi
+    fi
+    if test $passed = no; then
+        skipped_dirs="$skipped_dirs gnuradio-examples"
+        gnuradio_examples_skipped=yes
+    else
+        gnuradio_examples_skipped=no
+    fi
+
+
+
+
+
+
+
+
+
+
+    passed=yes
+    # Check whether --enable-grc was given.
+if test "${enable_grc+set}" = set; then
+  enableval=$enable_grc;
+else
+
+        enable_grc=$enable_all_components
+        if test x$enable_all_components = xno; then
+            passed=no
+        fi
+
+fi
+
+
+
+
+
+    if test $passed != no; then
+        if test $passed = yes; then
+                        if test x$gr_wxgui_skipped = xyes; then
+                { $as_echo "$as_me:$LINENO: result: Component grc requires gr-wxgui, which is not being built or specified via pre-installed files." >&5
+$as_echo "Component grc requires gr-wxgui, which is not being built or specified via pre-installed files." >&6; }
+                passed=no
+            fi
+        else
+                        if test x$gr_wxgui_with = xno; then
+                { { $as_echo "$as_me:$LINENO: error: Component grc requires gr-wxgui to be included as --with-gr-wxgui[=arg]" >&5
+$as_echo "$as_me: error: Component grc requires gr-wxgui to be included as --with-gr-wxgui[=arg]" >&2;}
+   { (exit 1); exit 1; }; }
+            fi
+        fi
+    fi
+
+
+    # Extract the first word of "xdg-mime", so it can be a program name with args.
+set dummy xdg-mime; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_XDG_UTILS+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$XDG_UTILS"; then
+  ac_cv_prog_XDG_UTILS="$XDG_UTILS" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_XDG_UTILS="true"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+  test -z "$ac_cv_prog_XDG_UTILS" && ac_cv_prog_XDG_UTILS="false"
+fi
+fi
+XDG_UTILS=$ac_cv_prog_XDG_UTILS
+if test -n "$XDG_UTILS"; then
+  { $as_echo "$as_me:$LINENO: result: $XDG_UTILS" >&5
+$as_echo "$XDG_UTILS" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+     if $XDG_UTILS; then
+  XDG_UTILS_TRUE=
+  XDG_UTILS_FALSE='#'
+else
+  XDG_UTILS_TRUE='#'
+  XDG_UTILS_FALSE=
+fi
+
+
+                if test $passed = yes; then
+
+    { $as_echo "$as_me:$LINENO: checking for Python >= 2.5" >&5
+$as_echo_n "checking for Python >= 2.5... " >&6; }
+                if test "sys.version.split()[0] >= "2.5""; then
+        python_cmd='
+try:
+    import sys
+    assert sys.version.split()[0] >= "2.5"
+except: exit(1)'
+                else
+        python_cmd='
+try: import sys
+except: exit(1)'
+    fi
+    if ! $PYTHON -c "$python_cmd" 2> /dev/null; then
+        { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+        passed=no
+    else
+        { $as_echo "$as_me:$LINENO: result: yes" >&5
+$as_echo "yes" >&6; }
+
+    fi
+
+
+    { $as_echo "$as_me:$LINENO: checking for Python Cheetah templates >= 2.0.0" >&5
+$as_echo_n "checking for Python Cheetah templates >= 2.0.0... " >&6; }
+                if test "Cheetah.Version >= "2.0.0""; then
+        python_cmd='
+try:
+    import Cheetah
+    assert Cheetah.Version >= "2.0.0"
+except: exit(1)'
+                else
+        python_cmd='
+try: import Cheetah
+except: exit(1)'
+    fi
+    if ! $PYTHON -c "$python_cmd" 2> /dev/null; then
+        { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+        passed=no
+    else
+        { $as_echo "$as_me:$LINENO: result: yes" >&5
+$as_echo "yes" >&6; }
+
+    fi
+
+
+    { $as_echo "$as_me:$LINENO: checking for Python lxml wrappers >= 2.0.0" >&5
+$as_echo_n "checking for Python lxml wrappers >= 2.0.0... " >&6; }
+                if test "lxml.etree.LXML_VERSION >= (2, 0, 0, 0)"; then
+        python_cmd='
+try:
+    import lxml.etree
+    assert lxml.etree.LXML_VERSION >= (2, 0, 0, 0)
+except: exit(1)'
+                else
+        python_cmd='
+try: import lxml.etree
+except: exit(1)'
+    fi
+    if ! $PYTHON -c "$python_cmd" 2> /dev/null; then
+        { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+        passed=no
+    else
+        { $as_echo "$as_me:$LINENO: result: yes" >&5
+$as_echo "yes" >&6; }
+
+    fi
+
+
+    { $as_echo "$as_me:$LINENO: checking for Python gtk wrappers >= 2.10.0" >&5
+$as_echo_n "checking for Python gtk wrappers >= 2.10.0... " >&6; }
+                if test "gtk.pygtk_version >= (2, 10, 0)"; then
+        python_cmd='
+try:
+    import gtk
+    assert gtk.pygtk_version >= (2, 10, 0)
+except: exit(1)'
+                else
+        python_cmd='
+try: import gtk
+except: exit(1)'
+    fi
+    if ! $PYTHON -c "$python_cmd" 2> /dev/null; then
+        { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+        passed=no
+    else
+        { $as_echo "$as_me:$LINENO: result: yes" >&5
+$as_echo "yes" >&6; }
+
+    fi
+
+    fi
+
+                    if test `${PYTHON} -c "import sys; print sys.platform"` = 'darwin'; then
+        PYTHONW=pythonw
+    else
+        PYTHONW=python
+    fi
+
+
+    ac_config_files="$ac_config_files grc/Makefile grc/base/Makefile grc/blocks/Makefile grc/examples/Makefile grc/freedesktop/Makefile grc/grc_gnuradio/Makefile grc/gui/Makefile grc/python/Makefile grc/scripts/Makefile"
+
+
+
+
+    grc_with=no
+    if test $passed = no; then
+       if test x$enable_grc = xyes; then
+           { { $as_echo "$as_me:$LINENO: error: Component grc has errors; stopping." >&5
+$as_echo "$as_me: error: Component grc has errors; stopping." >&2;}
+   { (exit 1); exit 1; }; }
+       else
+           { $as_echo "$as_me:$LINENO: result: Not building component grc." >&5
+$as_echo "Not building component grc." >&6; }
+       fi
+    else
+        if test $passed = with; then
+           with_dirs="$with_dirs grc"
+
+    if test "x${grc_INCLUDES}" != "x"; then
+        if test "x$with_INCLUDES" = "x"; then
+            with_INCLUDES="${grc_INCLUDES}"
+       else
+           with_INCLUDES="${grc_INCLUDES}"" ""$with_INCLUDES"
+       fi
+       grc_INCLUDES=
+    fi
+
+
+    if test "x${grc_SWIG_INCLUDES}" != "x"; then
+        if test "x$with_SWIG_INCLUDES" = "x"; then
+            with_SWIG_INCLUDES="${grc_SWIG_INCLUDES}"
+       else
+           with_SWIG_INCLUDES="${grc_SWIG_INCLUDES}"" ""$with_SWIG_INCLUDES"
+       fi
+       grc_SWIG_INCLUDES=
+    fi
+
+
+    if test "x${grc_PYDIRPATH}" != "x"; then
+        if test "x$with_PYDIRPATH" = "x"; then
+            with_PYDIRPATH="${grc_PYDIRPATH}"
+       else
+           with_PYDIRPATH="${grc_PYDIRPATH}"":""$with_PYDIRPATH"
+       fi
+       grc_PYDIRPATH=
+    fi
+
+
+    if test "x${grc_SWIGDIRPATH}" != "x"; then
+        if test "x$with_SWIGDIRPATH" = "x"; then
+            with_SWIGDIRPATH="${grc_SWIGDIRPATH}"
+       else
+           with_SWIGDIRPATH="${grc_SWIGDIRPATH}"":""$with_SWIGDIRPATH"
+       fi
+       grc_SWIGDIRPATH=
+    fi
+
+
+    if test "x${grc_LIBDIRPATH}" != "x"; then
+        if test "x$with_LIBDIRPATH" = "x"; then
+            with_LIBDIRPATH="${grc_LIBDIRPATH}"
+       else
+           with_LIBDIRPATH="${grc_LIBDIRPATH}"":""$with_LIBDIRPATH"
+       fi
+       grc_LIBDIRPATH=
+    fi
+
+           { $as_echo "$as_me:$LINENO: result: Component grc will be included from a pre-installed library and includes." >&5
+$as_echo "Component grc will be included from a pre-installed library and includes." >&6; }
+           grc_with=yes
+       else
+           grc_LDFLAG=
+            if test x$enable_grc != xno; then
+               :
+               build_dirs="$build_dirs grc"
+               { $as_echo "$as_me:$LINENO: result: Component grc passed configuration checks; building." >&5
+$as_echo "Component grc passed configuration checks; building." >&6; }
+            else
+               passed=no
+               { $as_echo "$as_me:$LINENO: result: Component grc passed configuration checks; but not building." >&5
+$as_echo "Component grc passed configuration checks; but not building." >&6; }
+           fi
+       fi
+    fi
+    if test $passed = no; then
+        skipped_dirs="$skipped_dirs grc"
+        grc_skipped=yes
+    else
+        grc_skipped=no
+    fi
+
+
+
+
+
+
+
+
+
+
+
+    passed=yes
+    # Check whether --enable-docs was given.
+if test "${enable_docs+set}" = set; then
+  enableval=$enable_docs;
+else
+
+        enable_docs=$enable_all_components
+        if test x$enable_all_components = xno; then
+            passed=no
+        fi
+
+fi
+
+
+
+
+    ac_config_files="$ac_config_files docs/Makefile docs/doxygen/Doxyfile docs/doxygen/Makefile docs/doxygen/other/Makefile docs/doxygen/xml-swig/Makefile"
+
+
+
+
+    docs_with=no
+    if test $passed = no; then
+       if test x$enable_docs = xyes; then
+           { { $as_echo "$as_me:$LINENO: error: Component docs has errors; stopping." >&5
+$as_echo "$as_me: error: Component docs has errors; stopping." >&2;}
+   { (exit 1); exit 1; }; }
+       else
+           { $as_echo "$as_me:$LINENO: result: Not building component docs." >&5
+$as_echo "Not building component docs." >&6; }
+       fi
+    else
+        if test $passed = with; then
+           with_dirs="$with_dirs docs"
+
+    if test "x${docs_INCLUDES}" != "x"; then
+        if test "x$with_INCLUDES" = "x"; then
+            with_INCLUDES="${docs_INCLUDES}"
+       else
+           with_INCLUDES="${docs_INCLUDES}"" ""$with_INCLUDES"
+       fi
+       docs_INCLUDES=
+    fi
+
+
+    if test "x${docs_SWIG_INCLUDES}" != "x"; then
+        if test "x$with_SWIG_INCLUDES" = "x"; then
+            with_SWIG_INCLUDES="${docs_SWIG_INCLUDES}"
+       else
+           with_SWIG_INCLUDES="${docs_SWIG_INCLUDES}"" ""$with_SWIG_INCLUDES"
+       fi
+       docs_SWIG_INCLUDES=
+    fi
+
+
+    if test "x${docs_PYDIRPATH}" != "x"; then
+        if test "x$with_PYDIRPATH" = "x"; then
+            with_PYDIRPATH="${docs_PYDIRPATH}"
+       else
+           with_PYDIRPATH="${docs_PYDIRPATH}"":""$with_PYDIRPATH"
+       fi
+       docs_PYDIRPATH=
+    fi
+
+
+    if test "x${docs_SWIGDIRPATH}" != "x"; then
+        if test "x$with_SWIGDIRPATH" = "x"; then
+            with_SWIGDIRPATH="${docs_SWIGDIRPATH}"
+       else
+           with_SWIGDIRPATH="${docs_SWIGDIRPATH}"":""$with_SWIGDIRPATH"
+       fi
+       docs_SWIGDIRPATH=
+    fi
+
+
+    if test "x${docs_LIBDIRPATH}" != "x"; then
+        if test "x$with_LIBDIRPATH" = "x"; then
+            with_LIBDIRPATH="${docs_LIBDIRPATH}"
+       else
+           with_LIBDIRPATH="${docs_LIBDIRPATH}"":""$with_LIBDIRPATH"
+       fi
+       docs_LIBDIRPATH=
+    fi
+
+           { $as_echo "$as_me:$LINENO: result: Component docs will be included from a pre-installed library and includes." >&5
+$as_echo "Component docs will be included from a pre-installed library and includes." >&6; }
+           docs_with=yes
+       else
+           docs_LDFLAG=
+            if test x$enable_docs != xno; then
+               :
+               build_dirs="$build_dirs docs"
+               { $as_echo "$as_me:$LINENO: result: Component docs passed configuration checks; building." >&5
+$as_echo "Component docs passed configuration checks; building." >&6; }
+            else
+               passed=no
+               { $as_echo "$as_me:$LINENO: result: Component docs passed configuration checks; but not building." >&5
+$as_echo "Component docs passed configuration checks; but not building." >&6; }
+           fi
+       fi
+    fi
+    if test $passed = no; then
+        skipped_dirs="$skipped_dirs docs"
+        docs_skipped=yes
+    else
+        docs_skipped=no
+    fi
+
+
+
+
+
+
+
+
+
+# Each component is now either to be built, was skipped, will be
+# included from pre-installed libraries and includes, or failed
+# dependencies.
+build_dirs=$build_dirs
+
+skipped_dirs=$skipped_dirs
+
+with_dirs=$with_dirs
+
+
+# fix for older autotools that don't define these by default
+
+
+
+
+# 'with' variables - the pre-installed libraries, includes, and paths
+# - must always come last in the lists, so they require special
+# treatment.
+
+
+
+
+
+
+# Local files tweaked by AC
+ac_config_files="$ac_config_files Makefile run_tests.sh config/Makefile"
+
+
+ac_config_commands="$ac_config_commands run_tests_build"
+
+
+cat >confcache <<\_ACEOF
+# This file is a shell script that caches the results of configure
+# tests run on this system so they can be shared between configure
+# scripts and configure runs, see configure's option --config-cache.
+# It is not useful on other systems.  If it contains results you don't
+# want to keep, you may remove or edit it.
+#
+# config.status only pays attention to the cache file if you give it
+# the --recheck option to rerun configure.
+#
+# `ac_cv_env_foo' variables (set or unset) will be overridden when
+# loading this file, other *unset* `ac_cv_foo' will be assigned the
+# following values.
+
+_ACEOF
+
+# The following way of writing the cache mishandles newlines in values,
+# but we know of no workaround that is simple, portable, and efficient.
+# So, we kill variables containing newlines.
+# Ultrix sh set writes to stderr and can't be redirected directly,
+# and sets the high bit in the cache file unless we assign to the vars.
+(
+  for ac_var in `(set) 2>&1 | sed -n 's/^\([a-zA-Z_][a-zA-Z0-9_]*\)=.*/\1/p'`; do
+    eval ac_val=\$$ac_var
+    case $ac_val in #(
+    *${as_nl}*)
+      case $ac_var in #(
+      *_cv_*) { $as_echo "$as_me:$LINENO: WARNING: cache variable $ac_var contains a newline" >&5
+$as_echo "$as_me: WARNING: cache variable $ac_var contains a newline" >&2;} ;;
+      esac
+      case $ac_var in #(
+      _ | IFS | as_nl) ;; #(
+      BASH_ARGV | BASH_SOURCE) eval $ac_var= ;; #(
+      *) $as_unset $ac_var ;;
+      esac ;;
+    esac
+  done
+
+  (set) 2>&1 |
+    case $as_nl`(ac_space=' '; set) 2>&1` in #(
+    *${as_nl}ac_space=\ *)
+      # `set' does not quote correctly, so add quotes (double-quote
+      # substitution turns \\\\ into \\, and sed turns \\ into \).
+      sed -n \
+       "s/'/'\\\\''/g;
+         s/^\\([_$as_cr_alnum]*_cv_[_$as_cr_alnum]*\\)=\\(.*\\)/\\1='\\2'/p"
+      ;; #(
+    *)
+      # `set' quotes correctly as required by POSIX, so do not add quotes.
+      sed -n "/^[_$as_cr_alnum]*_cv_[_$as_cr_alnum]*=/p"
+      ;;
+    esac |
+    sort
+) |
+  sed '
+     /^ac_cv_env_/b end
+     t clear
+     :clear
+     s/^\([^=]*\)=\(.*[{}].*\)$/test "${\1+set}" = set || &/
+     t end
+     s/^\([^=]*\)=\(.*\)$/\1=${\1=\2}/
+     :end' >>confcache
+if diff "$cache_file" confcache >/dev/null 2>&1; then :; else
+  if test -w "$cache_file"; then
+    test "x$cache_file" != "x/dev/null" &&
+      { $as_echo "$as_me:$LINENO: updating cache $cache_file" >&5
+$as_echo "$as_me: updating cache $cache_file" >&6;}
+    cat confcache >$cache_file
+  else
+    { $as_echo "$as_me:$LINENO: not updating unwritable cache $cache_file" >&5
+$as_echo "$as_me: not updating unwritable cache $cache_file" >&6;}
+  fi
+fi
+rm -f confcache
+
+test "x$prefix" = xNONE && prefix=$ac_default_prefix
+# Let make expand exec_prefix.
+test "x$exec_prefix" = xNONE && exec_prefix='${prefix}'
+
+DEFS=-DHAVE_CONFIG_H
+
+ac_libobjs=
+ac_ltlibobjs=
+for ac_i in : $LIBOBJS; do test "x$ac_i" = x: && continue
+  # 1. Remove the extension, and $U if already installed.
+  ac_script='s/\$U\././;s/\.o$//;s/\.obj$//'
+  ac_i=`$as_echo "$ac_i" | sed "$ac_script"`
+  # 2. Prepend LIBOBJDIR.  When used with automake>=1.10 LIBOBJDIR
+  #    will be set to the directory where LIBOBJS objects are built.
+  ac_libobjs="$ac_libobjs \${LIBOBJDIR}$ac_i\$U.$ac_objext"
+  ac_ltlibobjs="$ac_ltlibobjs \${LIBOBJDIR}$ac_i"'$U.lo'
+done
+LIBOBJS=$ac_libobjs
+
+LTLIBOBJS=$ac_ltlibobjs
+
+
+if test -z "${AMDEP_TRUE}" && test -z "${AMDEP_FALSE}"; then
+  { { $as_echo "$as_me:$LINENO: error: conditional \"AMDEP\" was never defined.
+Usually this means the macro was only invoked conditionally." >&5
+$as_echo "$as_me: error: conditional \"AMDEP\" was never defined.
+Usually this means the macro was only invoked conditionally." >&2;}
+   { (exit 1); exit 1; }; }
+fi
+if test -z "${am__fastdepCC_TRUE}" && test -z "${am__fastdepCC_FALSE}"; then
+  { { $as_echo "$as_me:$LINENO: error: conditional \"am__fastdepCC\" was never defined.
+Usually this means the macro was only invoked conditionally." >&5
+$as_echo "$as_me: error: conditional \"am__fastdepCC\" was never defined.
+Usually this means the macro was only invoked conditionally." >&2;}
+   { (exit 1); exit 1; }; }
+fi
+if test -z "${am__fastdepCXX_TRUE}" && test -z "${am__fastdepCXX_FALSE}"; then
+  { { $as_echo "$as_me:$LINENO: error: conditional \"am__fastdepCXX\" was never defined.
+Usually this means the macro was only invoked conditionally." >&5
+$as_echo "$as_me: error: conditional \"am__fastdepCXX\" was never defined.
+Usually this means the macro was only invoked conditionally." >&2;}
+   { (exit 1); exit 1; }; }
+fi
+if test -z "${am__fastdepCCAS_TRUE}" && test -z "${am__fastdepCCAS_FALSE}"; then
+  { { $as_echo "$as_me:$LINENO: error: conditional \"am__fastdepCCAS\" was never defined.
+Usually this means the macro was only invoked conditionally." >&5
+$as_echo "$as_me: error: conditional \"am__fastdepCCAS\" was never defined.
+Usually this means the macro was only invoked conditionally." >&2;}
+   { (exit 1); exit 1; }; }
+fi
+if test -z "${am__fastdepCXX_TRUE}" && test -z "${am__fastdepCXX_FALSE}"; then
+  { { $as_echo "$as_me:$LINENO: error: conditional \"am__fastdepCXX\" was never defined.
+Usually this means the macro was only invoked conditionally." >&5
+$as_echo "$as_me: error: conditional \"am__fastdepCXX\" was never defined.
+Usually this means the macro was only invoked conditionally." >&2;}
+   { (exit 1); exit 1; }; }
+fi
+if test -z "${ENABLE_FORTRAN_TRUE}" && test -z "${ENABLE_FORTRAN_FALSE}"; then
+  { { $as_echo "$as_me:$LINENO: error: conditional \"ENABLE_FORTRAN\" was never defined.
+Usually this means the macro was only invoked conditionally." >&5
+$as_echo "$as_me: error: conditional \"ENABLE_FORTRAN\" was never defined.
+Usually this means the macro was only invoked conditionally." >&2;}
+   { (exit 1); exit 1; }; }
+fi
+if test -z "${HAS_XMLTO_TRUE}" && test -z "${HAS_XMLTO_FALSE}"; then
+  { { $as_echo "$as_me:$LINENO: error: conditional \"HAS_XMLTO\" was never defined.
+Usually this means the macro was only invoked conditionally." >&5
+$as_echo "$as_me: error: conditional \"HAS_XMLTO\" was never defined.
+Usually this means the macro was only invoked conditionally." >&2;}
+   { (exit 1); exit 1; }; }
+fi
+if test -z "${OMNITHREAD_POSIX_TRUE}" && test -z "${OMNITHREAD_POSIX_FALSE}"; then
+  { { $as_echo "$as_me:$LINENO: error: conditional \"OMNITHREAD_POSIX\" was never defined.
+Usually this means the macro was only invoked conditionally." >&5
+$as_echo "$as_me: error: conditional \"OMNITHREAD_POSIX\" was never defined.
+Usually this means the macro was only invoked conditionally." >&2;}
+   { (exit 1); exit 1; }; }
+fi
+if test -z "${OMNITHREAD_NT_TRUE}" && test -z "${OMNITHREAD_NT_FALSE}"; then
+  { { $as_echo "$as_me:$LINENO: error: conditional \"OMNITHREAD_NT\" was never defined.
+Usually this means the macro was only invoked conditionally." >&5
+$as_echo "$as_me: error: conditional \"OMNITHREAD_NT\" was never defined.
+Usually this means the macro was only invoked conditionally." >&2;}
+   { (exit 1); exit 1; }; }
+fi
+
+if test -z "${HAVE_CREATEFILEMAPPING_TRUE}" && test -z "${HAVE_CREATEFILEMAPPING_FALSE}"; then
+  { { $as_echo "$as_me:$LINENO: error: conditional \"HAVE_CREATEFILEMAPPING\" was never defined.
+Usually this means the macro was only invoked conditionally." >&5
+$as_echo "$as_me: error: conditional \"HAVE_CREATEFILEMAPPING\" was never defined.
+Usually this means the macro was only invoked conditionally." >&2;}
+   { (exit 1); exit 1; }; }
+fi
+if test -z "${DOC_TRUE}" && test -z "${DOC_FALSE}"; then
+  { { $as_echo "$as_me:$LINENO: error: conditional \"DOC\" was never defined.
+Usually this means the macro was only invoked conditionally." >&5
+$as_echo "$as_me: error: conditional \"DOC\" was never defined.
+Usually this means the macro was only invoked conditionally." >&2;}
+   { (exit 1); exit 1; }; }
+fi
+if test -z "${MD_CPU_x86_TRUE}" && test -z "${MD_CPU_x86_FALSE}"; then
+  { { $as_echo "$as_me:$LINENO: error: conditional \"MD_CPU_x86\" was never defined.
+Usually this means the macro was only invoked conditionally." >&5
+$as_echo "$as_me: error: conditional \"MD_CPU_x86\" was never defined.
+Usually this means the macro was only invoked conditionally." >&2;}
+   { (exit 1); exit 1; }; }
+fi
+if test -z "${MD_SUBCPU_x86_64_TRUE}" && test -z "${MD_SUBCPU_x86_64_FALSE}"; then
+  { { $as_echo "$as_me:$LINENO: error: conditional \"MD_SUBCPU_x86_64\" was never defined.
+Usually this means the macro was only invoked conditionally." >&5
+$as_echo "$as_me: error: conditional \"MD_SUBCPU_x86_64\" was never defined.
+Usually this means the macro was only invoked conditionally." >&2;}
+   { (exit 1); exit 1; }; }
+fi
+if test -z "${MD_CPU_powerpc_TRUE}" && test -z "${MD_CPU_powerpc_FALSE}"; then
+  { { $as_echo "$as_me:$LINENO: error: conditional \"MD_CPU_powerpc\" was never defined.
+Usually this means the macro was only invoked conditionally." >&5
+$as_echo "$as_me: error: conditional \"MD_CPU_powerpc\" was never defined.
+Usually this means the macro was only invoked conditionally." >&2;}
+   { (exit 1); exit 1; }; }
+fi
+if test -z "${MD_CPU_generic_TRUE}" && test -z "${MD_CPU_generic_FALSE}"; then
+  { { $as_echo "$as_me:$LINENO: error: conditional \"MD_CPU_generic\" was never defined.
+Usually this means the macro was only invoked conditionally." >&5
+$as_echo "$as_me: error: conditional \"MD_CPU_generic\" was never defined.
+Usually this means the macro was only invoked conditionally." >&2;}
+   { (exit 1); exit 1; }; }
+fi
+if test -z "${FUSB_TECH_darwin_TRUE}" && test -z "${FUSB_TECH_darwin_FALSE}"; then
+  { { $as_echo "$as_me:$LINENO: error: conditional \"FUSB_TECH_darwin\" was never defined.
+Usually this means the macro was only invoked conditionally." >&5
+$as_echo "$as_me: error: conditional \"FUSB_TECH_darwin\" was never defined.
+Usually this means the macro was only invoked conditionally." >&2;}
+   { (exit 1); exit 1; }; }
+fi
+if test -z "${FUSB_TECH_win32_TRUE}" && test -z "${FUSB_TECH_win32_FALSE}"; then
+  { { $as_echo "$as_me:$LINENO: error: conditional \"FUSB_TECH_win32\" was never defined.
+Usually this means the macro was only invoked conditionally." >&5
+$as_echo "$as_me: error: conditional \"FUSB_TECH_win32\" was never defined.
+Usually this means the macro was only invoked conditionally." >&2;}
+   { (exit 1); exit 1; }; }
+fi
+if test -z "${FUSB_TECH_generic_TRUE}" && test -z "${FUSB_TECH_generic_FALSE}"; then
+  { { $as_echo "$as_me:$LINENO: error: conditional \"FUSB_TECH_generic\" was never defined.
+Usually this means the macro was only invoked conditionally." >&5
+$as_echo "$as_me: error: conditional \"FUSB_TECH_generic\" was never defined.
+Usually this means the macro was only invoked conditionally." >&2;}
+   { (exit 1); exit 1; }; }
+fi
+if test -z "${FUSB_TECH_linux_TRUE}" && test -z "${FUSB_TECH_linux_FALSE}"; then
+  { { $as_echo "$as_me:$LINENO: error: conditional \"FUSB_TECH_linux\" was never defined.
+Usually this means the macro was only invoked conditionally." >&5
+$as_echo "$as_me: error: conditional \"FUSB_TECH_linux\" was never defined.
+Usually this means the macro was only invoked conditionally." >&2;}
+   { (exit 1); exit 1; }; }
+fi
+if test -z "${FUSB_TECH_ra_wb_TRUE}" && test -z "${FUSB_TECH_ra_wb_FALSE}"; then
+  { { $as_echo "$as_me:$LINENO: error: conditional \"FUSB_TECH_ra_wb\" was never defined.
+Usually this means the macro was only invoked conditionally." >&5
+$as_echo "$as_me: error: conditional \"FUSB_TECH_ra_wb\" was never defined.
+Usually this means the macro was only invoked conditionally." >&2;}
+   { (exit 1); exit 1; }; }
+fi
+
+if test -z "${BUILD_QT_TRUE}" && test -z "${BUILD_QT_FALSE}"; then
+  { { $as_echo "$as_me:$LINENO: error: conditional \"BUILD_QT\" was never defined.
+Usually this means the macro was only invoked conditionally." >&5
+$as_echo "$as_me: error: conditional \"BUILD_QT\" was never defined.
+Usually this means the macro was only invoked conditionally." >&2;}
+   { (exit 1); exit 1; }; }
+fi
+if test -z "${BUILD_QT_TRUE}" && test -z "${BUILD_QT_FALSE}"; then
+  { { $as_echo "$as_me:$LINENO: error: conditional \"BUILD_QT\" was never defined.
+Usually this means the macro was only invoked conditionally." >&5
+$as_echo "$as_me: error: conditional \"BUILD_QT\" was never defined.
+Usually this means the macro was only invoked conditionally." >&2;}
+   { (exit 1); exit 1; }; }
+fi
+if test -z "${XDG_UTILS_TRUE}" && test -z "${XDG_UTILS_FALSE}"; then
+  { { $as_echo "$as_me:$LINENO: error: conditional \"XDG_UTILS\" was never defined.
+Usually this means the macro was only invoked conditionally." >&5
+$as_echo "$as_me: error: conditional \"XDG_UTILS\" was never defined.
+Usually this means the macro was only invoked conditionally." >&2;}
+   { (exit 1); exit 1; }; }
+fi
+
+: ${CONFIG_STATUS=./config.status}
+ac_write_fail=0
+ac_clean_files_save=$ac_clean_files
+ac_clean_files="$ac_clean_files $CONFIG_STATUS"
+{ $as_echo "$as_me:$LINENO: creating $CONFIG_STATUS" >&5
+$as_echo "$as_me: creating $CONFIG_STATUS" >&6;}
+cat >$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
+#! $SHELL
+# Generated by $as_me.
+# Run this file to recreate the current configuration.
+# Compiler output produced by configure, useful for debugging
+# configure, is in config.log if it exists.
+
+debug=false
+ac_cs_recheck=false
+ac_cs_silent=false
+SHELL=\${CONFIG_SHELL-$SHELL}
+_ACEOF
+
+cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
+## --------------------- ##
+## M4sh Initialization.  ##
+## --------------------- ##
+
+# Be more Bourne compatible
+DUALCASE=1; export DUALCASE # for MKS sh
+if test -n "${ZSH_VERSION+set}" && (emulate sh) >/dev/null 2>&1; then
+  emulate sh
+  NULLCMD=:
+  # Pre-4.2 versions of Zsh do word splitting on ${1+"$@"}, which
+  # is contrary to our usage.  Disable this feature.
+  alias -g '${1+"$@"}'='"$@"'
+  setopt NO_GLOB_SUBST
+else
+  case `(set -o) 2>/dev/null` in
+  *posix*) set -o posix ;;
+esac
+
+fi
+
+
+
+
+# PATH needs CR
+# Avoid depending upon Character Ranges.
+as_cr_letters='abcdefghijklmnopqrstuvwxyz'
+as_cr_LETTERS='ABCDEFGHIJKLMNOPQRSTUVWXYZ'
+as_cr_Letters=$as_cr_letters$as_cr_LETTERS
+as_cr_digits='0123456789'
+as_cr_alnum=$as_cr_Letters$as_cr_digits
+
+as_nl='
+'
+export as_nl
+# Printing a long string crashes Solaris 7 /usr/bin/printf.
+as_echo='\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\'
+as_echo=$as_echo$as_echo$as_echo$as_echo$as_echo
+as_echo=$as_echo$as_echo$as_echo$as_echo$as_echo$as_echo
+if (test "X`printf %s $as_echo`" = "X$as_echo") 2>/dev/null; then
+  as_echo='printf %s\n'
+  as_echo_n='printf %s'
+else
+  if test "X`(/usr/ucb/echo -n -n $as_echo) 2>/dev/null`" = "X-n $as_echo"; then
+    as_echo_body='eval /usr/ucb/echo -n "$1$as_nl"'
+    as_echo_n='/usr/ucb/echo -n'
+  else
+    as_echo_body='eval expr "X$1" : "X\\(.*\\)"'
+    as_echo_n_body='eval
+      arg=$1;
+      case $arg in
+      *"$as_nl"*)
+       expr "X$arg" : "X\\(.*\\)$as_nl";
+       arg=`expr "X$arg" : ".*$as_nl\\(.*\\)"`;;
+      esac;
+      expr "X$arg" : "X\\(.*\\)" | tr -d "$as_nl"
+    '
+    export as_echo_n_body
+    as_echo_n='sh -c $as_echo_n_body as_echo'
+  fi
+  export as_echo_body
+  as_echo='sh -c $as_echo_body as_echo'
+fi
+
+# The user is always right.
+if test "${PATH_SEPARATOR+set}" != set; then
+  PATH_SEPARATOR=:
+  (PATH='/bin;/bin'; FPATH=$PATH; sh -c :) >/dev/null 2>&1 && {
+    (PATH='/bin:/bin'; FPATH=$PATH; sh -c :) >/dev/null 2>&1 ||
+      PATH_SEPARATOR=';'
+  }
+fi
+
+# Support unset when possible.
+if ( (MAIL=60; unset MAIL) || exit) >/dev/null 2>&1; then
+  as_unset=unset
+else
+  as_unset=false
+fi
+
+
+# IFS
+# We need space, tab and new line, in precisely that order.  Quoting is
+# there to prevent editors from complaining about space-tab.
+# (If _AS_PATH_WALK were called with IFS unset, it would disable word
+# splitting by setting IFS to empty value.)
+IFS=" ""       $as_nl"
+
+# Find who we are.  Look in the path if we contain no directory separator.
+case $0 in
+  *[\\/]* ) as_myself=$0 ;;
+  *) as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  test -r "$as_dir/$0" && as_myself=$as_dir/$0 && break
+done
+IFS=$as_save_IFS
+
+     ;;
+esac
+# We did not find ourselves, most probably we were run as `sh COMMAND'
+# in which case we are not to be found in the path.
+if test "x$as_myself" = x; then
+  as_myself=$0
+fi
+if test ! -f "$as_myself"; then
+  $as_echo "$as_myself: error: cannot find myself; rerun with an absolute file name" >&2
+  { (exit 1); exit 1; }
+fi
+
+# Work around bugs in pre-3.0 UWIN ksh.
+for as_var in ENV MAIL MAILPATH
+do ($as_unset $as_var) >/dev/null 2>&1 && $as_unset $as_var
+done
+PS1='$ '
+PS2='> '
+PS4='+ '
+
+# NLS nuisances.
+LC_ALL=C
+export LC_ALL
+LANGUAGE=C
+export LANGUAGE
+
+# Required to use basename.
+if expr a : '\(a\)' >/dev/null 2>&1 &&
+   test "X`expr 00001 : '.*\(...\)'`" = X001; then
+  as_expr=expr
+else
+  as_expr=false
+fi
+
+if (basename -- /) >/dev/null 2>&1 && test "X`basename -- / 2>&1`" = "X/"; then
+  as_basename=basename
+else
+  as_basename=false
+fi
+
+
+# Name of the executable.
+as_me=`$as_basename -- "$0" ||
+$as_expr X/"$0" : '.*/\([^/][^/]*\)/*$' \| \
+        X"$0" : 'X\(//\)$' \| \
+        X"$0" : 'X\(/\)' \| . 2>/dev/null ||
+$as_echo X/"$0" |
+    sed '/^.*\/\([^/][^/]*\)\/*$/{
+           s//\1/
+           q
+         }
+         /^X\/\(\/\/\)$/{
+           s//\1/
+           q
+         }
+         /^X\/\(\/\).*/{
+           s//\1/
+           q
+         }
+         s/.*/./; q'`
+
+# CDPATH.
+$as_unset CDPATH
+
+
+
+  as_lineno_1=$LINENO
+  as_lineno_2=$LINENO
+  test "x$as_lineno_1" != "x$as_lineno_2" &&
+  test "x`expr $as_lineno_1 + 1`" = "x$as_lineno_2" || {
+
+  # Create $as_me.lineno as a copy of $as_myself, but with $LINENO
+  # uniformly replaced by the line number.  The first 'sed' inserts a
+  # line-number line after each line using $LINENO; the second 'sed'
+  # does the real work.  The second script uses 'N' to pair each
+  # line-number line with the line containing $LINENO, and appends
+  # trailing '-' during substitution so that $LINENO is not a special
+  # case at line end.
+  # (Raja R Harinath suggested sed '=', and Paul Eggert wrote the
+  # scripts with optimization help from Paolo Bonzini.  Blame Lee
+  # E. McMahon (1931-1989) for sed's syntax.  :-)
+  sed -n '
+    p
+    /[$]LINENO/=
+  ' <$as_myself |
+    sed '
+      s/[$]LINENO.*/&-/
+      t lineno
+      b
+      :lineno
+      N
+      :loop
+      s/[$]LINENO\([^'$as_cr_alnum'_].*\n\)\(.*\)/\2\1\2/
+      t loop
+      s/-\n.*//
+    ' >$as_me.lineno &&
+  chmod +x "$as_me.lineno" ||
+    { $as_echo "$as_me: error: cannot create $as_me.lineno; rerun with a POSIX shell" >&2
+   { (exit 1); exit 1; }; }
+
+  # Don't try to exec as it changes $[0], causing all sort of problems
+  # (the dirname of $[0] is not the place where we might find the
+  # original and so on.  Autoconf is especially sensitive to this).
+  . "./$as_me.lineno"
+  # Exit status is that of the last command.
+  exit
+}
+
+
+if (as_dir=`dirname -- /` && test "X$as_dir" = X/) >/dev/null 2>&1; then
+  as_dirname=dirname
+else
+  as_dirname=false
+fi
+
+ECHO_C= ECHO_N= ECHO_T=
+case `echo -n x` in
+-n*)
+  case `echo 'x\c'` in
+  *c*) ECHO_T='        ';;     # ECHO_T is single tab character.
+  *)   ECHO_C='\c';;
+  esac;;
+*)
+  ECHO_N='-n';;
+esac
+if expr a : '\(a\)' >/dev/null 2>&1 &&
+   test "X`expr 00001 : '.*\(...\)'`" = X001; then
+  as_expr=expr
+else
+  as_expr=false
+fi
+
+rm -f conf$$ conf$$.exe conf$$.file
+if test -d conf$$.dir; then
+  rm -f conf$$.dir/conf$$.file
+else
+  rm -f conf$$.dir
+  mkdir conf$$.dir 2>/dev/null
+fi
+if (echo >conf$$.file) 2>/dev/null; then
+  if ln -s conf$$.file conf$$ 2>/dev/null; then
+    as_ln_s='ln -s'
+    # ... but there are two gotchas:
+    # 1) On MSYS, both `ln -s file dir' and `ln file dir' fail.
+    # 2) DJGPP < 2.04 has no symlinks; `ln -s' creates a wrapper executable.
+    # In both cases, we have to default to `cp -p'.
+    ln -s conf$$.file conf$$.dir 2>/dev/null && test ! -f conf$$.exe ||
+      as_ln_s='cp -p'
+  elif ln conf$$.file conf$$ 2>/dev/null; then
+    as_ln_s=ln
+  else
+    as_ln_s='cp -p'
+  fi
+else
+  as_ln_s='cp -p'
+fi
+rm -f conf$$ conf$$.exe conf$$.dir/conf$$.file conf$$.file
+rmdir conf$$.dir 2>/dev/null
+
+if mkdir -p . 2>/dev/null; then
+  as_mkdir_p=:
+else
+  test -d ./-p && rmdir ./-p
+  as_mkdir_p=false
+fi
+
+if test -x / >/dev/null 2>&1; then
+  as_test_x='test -x'
+else
+  if ls -dL / >/dev/null 2>&1; then
+    as_ls_L_option=L
+  else
+    as_ls_L_option=
+  fi
+  as_test_x='
+    eval sh -c '\''
+      if test -d "$1"; then
+       test -d "$1/.";
+      else
+       case $1 in
+       -*)set "./$1";;
+       esac;
+       case `ls -ld'$as_ls_L_option' "$1" 2>/dev/null` in
+       ???[sx]*):;;*)false;;esac;fi
+    '\'' sh
+  '
+fi
+as_executable_p=$as_test_x
+
+# Sed expression to map a string onto a valid CPP name.
+as_tr_cpp="eval sed 'y%*$as_cr_letters%P$as_cr_LETTERS%;s%[^_$as_cr_alnum]%_%g'"
+
+# Sed expression to map a string onto a valid variable name.
+as_tr_sh="eval sed 'y%*+%pp%;s%[^_$as_cr_alnum]%_%g'"
+
+
+exec 6>&1
+
+# Save the log message, to keep $[0] and so on meaningful, and to
+# report actual input values of CONFIG_FILES etc. instead of their
+# values after options handling.
+ac_log="
+This file was extended by $as_me, which was
+generated by GNU Autoconf 2.63.  Invocation command line was
+
+  CONFIG_FILES    = $CONFIG_FILES
+  CONFIG_HEADERS  = $CONFIG_HEADERS
+  CONFIG_LINKS    = $CONFIG_LINKS
+  CONFIG_COMMANDS = $CONFIG_COMMANDS
+  $ $0 $@
+
+on `(hostname || uname -n) 2>/dev/null | sed 1q`
+"
+
+_ACEOF
+
+case $ac_config_files in *"
+"*) set x $ac_config_files; shift; ac_config_files=$*;;
+esac
+
+case $ac_config_headers in *"
+"*) set x $ac_config_headers; shift; ac_config_headers=$*;;
+esac
+
+
+cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
+# Files that config.status was made for.
+config_files="$ac_config_files"
+config_headers="$ac_config_headers"
+config_commands="$ac_config_commands"
+
+_ACEOF
+
+cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
+ac_cs_usage="\
+\`$as_me' instantiates files from templates according to the
+current configuration.
+
+Usage: $0 [OPTION]... [FILE]...
+
+  -h, --help       print this help, then exit
+  -V, --version    print version number and configuration settings, then exit
+  -q, --quiet, --silent
+                   do not print progress messages
+  -d, --debug      don't remove temporary files
+      --recheck    update $as_me by reconfiguring in the same conditions
+      --file=FILE[:TEMPLATE]
+                   instantiate the configuration file FILE
+      --header=FILE[:TEMPLATE]
+                   instantiate the configuration header FILE
+
+Configuration files:
+$config_files
+
+Configuration headers:
+$config_headers
+
+Configuration commands:
+$config_commands
+
+Report bugs to <bug-autoconf@gnu.org>."
+
+_ACEOF
+cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
+ac_cs_version="\\
+config.status
+configured by $0, generated by GNU Autoconf 2.63,
+  with options \\"`$as_echo "$ac_configure_args" | sed 's/^ //; s/[\\""\`\$]/\\\\&/g'`\\"
+
+Copyright (C) 2008 Free Software Foundation, Inc.
+This config.status script is free software; the Free Software Foundation
+gives unlimited permission to copy, distribute and modify it."
+
+ac_pwd='$ac_pwd'
+srcdir='$srcdir'
+INSTALL='$INSTALL'
+MKDIR_P='$MKDIR_P'
+AWK='$AWK'
+test -n "\$AWK" || AWK=awk
+_ACEOF
+
+cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
+# The default lists apply if the user does not specify any file.
+ac_need_defaults=:
+while test $# != 0
+do
+  case $1 in
+  --*=*)
+    ac_option=`expr "X$1" : 'X\([^=]*\)='`
+    ac_optarg=`expr "X$1" : 'X[^=]*=\(.*\)'`
+    ac_shift=:
+    ;;
+  *)
+    ac_option=$1
+    ac_optarg=$2
+    ac_shift=shift
+    ;;
+  esac
+
+  case $ac_option in
+  # Handling of the options.
+  -recheck | --recheck | --rechec | --reche | --rech | --rec | --re | --r)
+    ac_cs_recheck=: ;;
+  --version | --versio | --versi | --vers | --ver | --ve | --v | -V )
+    $as_echo "$ac_cs_version"; exit ;;
+  --debug | --debu | --deb | --de | --d | -d )
+    debug=: ;;
+  --file | --fil | --fi | --f )
+    $ac_shift
+    case $ac_optarg in
+    *\'*) ac_optarg=`$as_echo "$ac_optarg" | sed "s/'/'\\\\\\\\''/g"` ;;
+    esac
+    CONFIG_FILES="$CONFIG_FILES '$ac_optarg'"
+    ac_need_defaults=false;;
+  --header | --heade | --head | --hea )
+    $ac_shift
+    case $ac_optarg in
+    *\'*) ac_optarg=`$as_echo "$ac_optarg" | sed "s/'/'\\\\\\\\''/g"` ;;
+    esac
+    CONFIG_HEADERS="$CONFIG_HEADERS '$ac_optarg'"
+    ac_need_defaults=false;;
+  --he | --h)
+    # Conflict between --help and --header
+    { $as_echo "$as_me: error: ambiguous option: $1
+Try \`$0 --help' for more information." >&2
+   { (exit 1); exit 1; }; };;
+  --help | --hel | -h )
+    $as_echo "$ac_cs_usage"; exit ;;
+  -q | -quiet | --quiet | --quie | --qui | --qu | --q \
+  | -silent | --silent | --silen | --sile | --sil | --si | --s)
+    ac_cs_silent=: ;;
+
+  # This is an error.
+  -*) { $as_echo "$as_me: error: unrecognized option: $1
+Try \`$0 --help' for more information." >&2
+   { (exit 1); exit 1; }; } ;;
+
+  *) ac_config_targets="$ac_config_targets $1"
+     ac_need_defaults=false ;;
+
+  esac
+  shift
+done
+
+ac_configure_extra_args=
+
+if $ac_cs_silent; then
+  exec 6>/dev/null
+  ac_configure_extra_args="$ac_configure_extra_args --silent"
+fi
+
+_ACEOF
+cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
+if \$ac_cs_recheck; then
+  set X '$SHELL' '$0' $ac_configure_args \$ac_configure_extra_args --no-create --no-recursion
+  shift
+  \$as_echo "running CONFIG_SHELL=$SHELL \$*" >&6
+  CONFIG_SHELL='$SHELL'
+  export CONFIG_SHELL
+  exec "\$@"
+fi
+
+_ACEOF
+cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
+exec 5>>config.log
+{
+  echo
+  sed 'h;s/./-/g;s/^.../## /;s/...$/ ##/;p;x;p;x' <<_ASBOX
+## Running $as_me. ##
+_ASBOX
+  $as_echo "$ac_log"
+} >&5
+
+_ACEOF
+cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
+#
+# INIT-COMMANDS
+#
+AMDEP_TRUE="$AMDEP_TRUE" ac_aux_dir="$ac_aux_dir"
+
+
+# The HP-UX ksh and POSIX shell print the target directory to stdout
+# if CDPATH is set.
+(unset CDPATH) >/dev/null 2>&1 && unset CDPATH
+
+sed_quote_subst='$sed_quote_subst'
+double_quote_subst='$double_quote_subst'
+delay_variable_subst='$delay_variable_subst'
+AS='`$ECHO "X$AS" | $Xsed -e "$delay_single_quote_subst"`'
+DLLTOOL='`$ECHO "X$DLLTOOL" | $Xsed -e "$delay_single_quote_subst"`'
+OBJDUMP='`$ECHO "X$OBJDUMP" | $Xsed -e "$delay_single_quote_subst"`'
+enable_shared='`$ECHO "X$enable_shared" | $Xsed -e "$delay_single_quote_subst"`'
+enable_static='`$ECHO "X$enable_static" | $Xsed -e "$delay_single_quote_subst"`'
+macro_version='`$ECHO "X$macro_version" | $Xsed -e "$delay_single_quote_subst"`'
+macro_revision='`$ECHO "X$macro_revision" | $Xsed -e "$delay_single_quote_subst"`'
+pic_mode='`$ECHO "X$pic_mode" | $Xsed -e "$delay_single_quote_subst"`'
+enable_fast_install='`$ECHO "X$enable_fast_install" | $Xsed -e "$delay_single_quote_subst"`'
+host_alias='`$ECHO "X$host_alias" | $Xsed -e "$delay_single_quote_subst"`'
+host='`$ECHO "X$host" | $Xsed -e "$delay_single_quote_subst"`'
+host_os='`$ECHO "X$host_os" | $Xsed -e "$delay_single_quote_subst"`'
+build_alias='`$ECHO "X$build_alias" | $Xsed -e "$delay_single_quote_subst"`'
+build='`$ECHO "X$build" | $Xsed -e "$delay_single_quote_subst"`'
+build_os='`$ECHO "X$build_os" | $Xsed -e "$delay_single_quote_subst"`'
+SED='`$ECHO "X$SED" | $Xsed -e "$delay_single_quote_subst"`'
+Xsed='`$ECHO "X$Xsed" | $Xsed -e "$delay_single_quote_subst"`'
+GREP='`$ECHO "X$GREP" | $Xsed -e "$delay_single_quote_subst"`'
+EGREP='`$ECHO "X$EGREP" | $Xsed -e "$delay_single_quote_subst"`'
+FGREP='`$ECHO "X$FGREP" | $Xsed -e "$delay_single_quote_subst"`'
+LD='`$ECHO "X$LD" | $Xsed -e "$delay_single_quote_subst"`'
+NM='`$ECHO "X$NM" | $Xsed -e "$delay_single_quote_subst"`'
+LN_S='`$ECHO "X$LN_S" | $Xsed -e "$delay_single_quote_subst"`'
+max_cmd_len='`$ECHO "X$max_cmd_len" | $Xsed -e "$delay_single_quote_subst"`'
+ac_objext='`$ECHO "X$ac_objext" | $Xsed -e "$delay_single_quote_subst"`'
+exeext='`$ECHO "X$exeext" | $Xsed -e "$delay_single_quote_subst"`'
+lt_unset='`$ECHO "X$lt_unset" | $Xsed -e "$delay_single_quote_subst"`'
+lt_SP2NL='`$ECHO "X$lt_SP2NL" | $Xsed -e "$delay_single_quote_subst"`'
+lt_NL2SP='`$ECHO "X$lt_NL2SP" | $Xsed -e "$delay_single_quote_subst"`'
+reload_flag='`$ECHO "X$reload_flag" | $Xsed -e "$delay_single_quote_subst"`'
+reload_cmds='`$ECHO "X$reload_cmds" | $Xsed -e "$delay_single_quote_subst"`'
+deplibs_check_method='`$ECHO "X$deplibs_check_method" | $Xsed -e "$delay_single_quote_subst"`'
+file_magic_cmd='`$ECHO "X$file_magic_cmd" | $Xsed -e "$delay_single_quote_subst"`'
+AR='`$ECHO "X$AR" | $Xsed -e "$delay_single_quote_subst"`'
+AR_FLAGS='`$ECHO "X$AR_FLAGS" | $Xsed -e "$delay_single_quote_subst"`'
+STRIP='`$ECHO "X$STRIP" | $Xsed -e "$delay_single_quote_subst"`'
+RANLIB='`$ECHO "X$RANLIB" | $Xsed -e "$delay_single_quote_subst"`'
+old_postinstall_cmds='`$ECHO "X$old_postinstall_cmds" | $Xsed -e "$delay_single_quote_subst"`'
+old_postuninstall_cmds='`$ECHO "X$old_postuninstall_cmds" | $Xsed -e "$delay_single_quote_subst"`'
+old_archive_cmds='`$ECHO "X$old_archive_cmds" | $Xsed -e "$delay_single_quote_subst"`'
+CC='`$ECHO "X$CC" | $Xsed -e "$delay_single_quote_subst"`'
+CFLAGS='`$ECHO "X$CFLAGS" | $Xsed -e "$delay_single_quote_subst"`'
+compiler='`$ECHO "X$compiler" | $Xsed -e "$delay_single_quote_subst"`'
+GCC='`$ECHO "X$GCC" | $Xsed -e "$delay_single_quote_subst"`'
+lt_cv_sys_global_symbol_pipe='`$ECHO "X$lt_cv_sys_global_symbol_pipe" | $Xsed -e "$delay_single_quote_subst"`'
+lt_cv_sys_global_symbol_to_cdecl='`$ECHO "X$lt_cv_sys_global_symbol_to_cdecl" | $Xsed -e "$delay_single_quote_subst"`'
+lt_cv_sys_global_symbol_to_c_name_address='`$ECHO "X$lt_cv_sys_global_symbol_to_c_name_address" | $Xsed -e "$delay_single_quote_subst"`'
+lt_cv_sys_global_symbol_to_c_name_address_lib_prefix='`$ECHO "X$lt_cv_sys_global_symbol_to_c_name_address_lib_prefix" | $Xsed -e "$delay_single_quote_subst"`'
+objdir='`$ECHO "X$objdir" | $Xsed -e "$delay_single_quote_subst"`'
+SHELL='`$ECHO "X$SHELL" | $Xsed -e "$delay_single_quote_subst"`'
+ECHO='`$ECHO "X$ECHO" | $Xsed -e "$delay_single_quote_subst"`'
+MAGIC_CMD='`$ECHO "X$MAGIC_CMD" | $Xsed -e "$delay_single_quote_subst"`'
+lt_prog_compiler_no_builtin_flag='`$ECHO "X$lt_prog_compiler_no_builtin_flag" | $Xsed -e "$delay_single_quote_subst"`'
+lt_prog_compiler_wl='`$ECHO "X$lt_prog_compiler_wl" | $Xsed -e "$delay_single_quote_subst"`'
+lt_prog_compiler_pic='`$ECHO "X$lt_prog_compiler_pic" | $Xsed -e "$delay_single_quote_subst"`'
+lt_prog_compiler_static='`$ECHO "X$lt_prog_compiler_static" | $Xsed -e "$delay_single_quote_subst"`'
+lt_cv_prog_compiler_c_o='`$ECHO "X$lt_cv_prog_compiler_c_o" | $Xsed -e "$delay_single_quote_subst"`'
+need_locks='`$ECHO "X$need_locks" | $Xsed -e "$delay_single_quote_subst"`'
+DSYMUTIL='`$ECHO "X$DSYMUTIL" | $Xsed -e "$delay_single_quote_subst"`'
+NMEDIT='`$ECHO "X$NMEDIT" | $Xsed -e "$delay_single_quote_subst"`'
+LIPO='`$ECHO "X$LIPO" | $Xsed -e "$delay_single_quote_subst"`'
+OTOOL='`$ECHO "X$OTOOL" | $Xsed -e "$delay_single_quote_subst"`'
+OTOOL64='`$ECHO "X$OTOOL64" | $Xsed -e "$delay_single_quote_subst"`'
+libext='`$ECHO "X$libext" | $Xsed -e "$delay_single_quote_subst"`'
+shrext_cmds='`$ECHO "X$shrext_cmds" | $Xsed -e "$delay_single_quote_subst"`'
+extract_expsyms_cmds='`$ECHO "X$extract_expsyms_cmds" | $Xsed -e "$delay_single_quote_subst"`'
+archive_cmds_need_lc='`$ECHO "X$archive_cmds_need_lc" | $Xsed -e "$delay_single_quote_subst"`'
+enable_shared_with_static_runtimes='`$ECHO "X$enable_shared_with_static_runtimes" | $Xsed -e "$delay_single_quote_subst"`'
+export_dynamic_flag_spec='`$ECHO "X$export_dynamic_flag_spec" | $Xsed -e "$delay_single_quote_subst"`'
+whole_archive_flag_spec='`$ECHO "X$whole_archive_flag_spec" | $Xsed -e "$delay_single_quote_subst"`'
+compiler_needs_object='`$ECHO "X$compiler_needs_object" | $Xsed -e "$delay_single_quote_subst"`'
+old_archive_from_new_cmds='`$ECHO "X$old_archive_from_new_cmds" | $Xsed -e "$delay_single_quote_subst"`'
+old_archive_from_expsyms_cmds='`$ECHO "X$old_archive_from_expsyms_cmds" | $Xsed -e "$delay_single_quote_subst"`'
+archive_cmds='`$ECHO "X$archive_cmds" | $Xsed -e "$delay_single_quote_subst"`'
+archive_expsym_cmds='`$ECHO "X$archive_expsym_cmds" | $Xsed -e "$delay_single_quote_subst"`'
+module_cmds='`$ECHO "X$module_cmds" | $Xsed -e "$delay_single_quote_subst"`'
+module_expsym_cmds='`$ECHO "X$module_expsym_cmds" | $Xsed -e "$delay_single_quote_subst"`'
+with_gnu_ld='`$ECHO "X$with_gnu_ld" | $Xsed -e "$delay_single_quote_subst"`'
+allow_undefined_flag='`$ECHO "X$allow_undefined_flag" | $Xsed -e "$delay_single_quote_subst"`'
+no_undefined_flag='`$ECHO "X$no_undefined_flag" | $Xsed -e "$delay_single_quote_subst"`'
+hardcode_libdir_flag_spec='`$ECHO "X$hardcode_libdir_flag_spec" | $Xsed -e "$delay_single_quote_subst"`'
+hardcode_libdir_flag_spec_ld='`$ECHO "X$hardcode_libdir_flag_spec_ld" | $Xsed -e "$delay_single_quote_subst"`'
+hardcode_libdir_separator='`$ECHO "X$hardcode_libdir_separator" | $Xsed -e "$delay_single_quote_subst"`'
+hardcode_direct='`$ECHO "X$hardcode_direct" | $Xsed -e "$delay_single_quote_subst"`'
+hardcode_direct_absolute='`$ECHO "X$hardcode_direct_absolute" | $Xsed -e "$delay_single_quote_subst"`'
+hardcode_minus_L='`$ECHO "X$hardcode_minus_L" | $Xsed -e "$delay_single_quote_subst"`'
+hardcode_shlibpath_var='`$ECHO "X$hardcode_shlibpath_var" | $Xsed -e "$delay_single_quote_subst"`'
+hardcode_automatic='`$ECHO "X$hardcode_automatic" | $Xsed -e "$delay_single_quote_subst"`'
+inherit_rpath='`$ECHO "X$inherit_rpath" | $Xsed -e "$delay_single_quote_subst"`'
+link_all_deplibs='`$ECHO "X$link_all_deplibs" | $Xsed -e "$delay_single_quote_subst"`'
+fix_srcfile_path='`$ECHO "X$fix_srcfile_path" | $Xsed -e "$delay_single_quote_subst"`'
+always_export_symbols='`$ECHO "X$always_export_symbols" | $Xsed -e "$delay_single_quote_subst"`'
+export_symbols_cmds='`$ECHO "X$export_symbols_cmds" | $Xsed -e "$delay_single_quote_subst"`'
+exclude_expsyms='`$ECHO "X$exclude_expsyms" | $Xsed -e "$delay_single_quote_subst"`'
+include_expsyms='`$ECHO "X$include_expsyms" | $Xsed -e "$delay_single_quote_subst"`'
+prelink_cmds='`$ECHO "X$prelink_cmds" | $Xsed -e "$delay_single_quote_subst"`'
+file_list_spec='`$ECHO "X$file_list_spec" | $Xsed -e "$delay_single_quote_subst"`'
+variables_saved_for_relink='`$ECHO "X$variables_saved_for_relink" | $Xsed -e "$delay_single_quote_subst"`'
+need_lib_prefix='`$ECHO "X$need_lib_prefix" | $Xsed -e "$delay_single_quote_subst"`'
+need_version='`$ECHO "X$need_version" | $Xsed -e "$delay_single_quote_subst"`'
+version_type='`$ECHO "X$version_type" | $Xsed -e "$delay_single_quote_subst"`'
+runpath_var='`$ECHO "X$runpath_var" | $Xsed -e "$delay_single_quote_subst"`'
+shlibpath_var='`$ECHO "X$shlibpath_var" | $Xsed -e "$delay_single_quote_subst"`'
+shlibpath_overrides_runpath='`$ECHO "X$shlibpath_overrides_runpath" | $Xsed -e "$delay_single_quote_subst"`'
+libname_spec='`$ECHO "X$libname_spec" | $Xsed -e "$delay_single_quote_subst"`'
+library_names_spec='`$ECHO "X$library_names_spec" | $Xsed -e "$delay_single_quote_subst"`'
+soname_spec='`$ECHO "X$soname_spec" | $Xsed -e "$delay_single_quote_subst"`'
+postinstall_cmds='`$ECHO "X$postinstall_cmds" | $Xsed -e "$delay_single_quote_subst"`'
+postuninstall_cmds='`$ECHO "X$postuninstall_cmds" | $Xsed -e "$delay_single_quote_subst"`'
+finish_cmds='`$ECHO "X$finish_cmds" | $Xsed -e "$delay_single_quote_subst"`'
+finish_eval='`$ECHO "X$finish_eval" | $Xsed -e "$delay_single_quote_subst"`'
+hardcode_into_libs='`$ECHO "X$hardcode_into_libs" | $Xsed -e "$delay_single_quote_subst"`'
+sys_lib_search_path_spec='`$ECHO "X$sys_lib_search_path_spec" | $Xsed -e "$delay_single_quote_subst"`'
+sys_lib_dlsearch_path_spec='`$ECHO "X$sys_lib_dlsearch_path_spec" | $Xsed -e "$delay_single_quote_subst"`'
+hardcode_action='`$ECHO "X$hardcode_action" | $Xsed -e "$delay_single_quote_subst"`'
+enable_dlopen='`$ECHO "X$enable_dlopen" | $Xsed -e "$delay_single_quote_subst"`'
+enable_dlopen_self='`$ECHO "X$enable_dlopen_self" | $Xsed -e "$delay_single_quote_subst"`'
+enable_dlopen_self_static='`$ECHO "X$enable_dlopen_self_static" | $Xsed -e "$delay_single_quote_subst"`'
+old_striplib='`$ECHO "X$old_striplib" | $Xsed -e "$delay_single_quote_subst"`'
+striplib='`$ECHO "X$striplib" | $Xsed -e "$delay_single_quote_subst"`'
+compiler_lib_search_dirs='`$ECHO "X$compiler_lib_search_dirs" | $Xsed -e "$delay_single_quote_subst"`'
+predep_objects='`$ECHO "X$predep_objects" | $Xsed -e "$delay_single_quote_subst"`'
+postdep_objects='`$ECHO "X$postdep_objects" | $Xsed -e "$delay_single_quote_subst"`'
+predeps='`$ECHO "X$predeps" | $Xsed -e "$delay_single_quote_subst"`'
+postdeps='`$ECHO "X$postdeps" | $Xsed -e "$delay_single_quote_subst"`'
+compiler_lib_search_path='`$ECHO "X$compiler_lib_search_path" | $Xsed -e "$delay_single_quote_subst"`'
+LD_CXX='`$ECHO "X$LD_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+LD_F77='`$ECHO "X$LD_F77" | $Xsed -e "$delay_single_quote_subst"`'
+old_archive_cmds_CXX='`$ECHO "X$old_archive_cmds_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+old_archive_cmds_F77='`$ECHO "X$old_archive_cmds_F77" | $Xsed -e "$delay_single_quote_subst"`'
+compiler_CXX='`$ECHO "X$compiler_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+compiler_F77='`$ECHO "X$compiler_F77" | $Xsed -e "$delay_single_quote_subst"`'
+GCC_CXX='`$ECHO "X$GCC_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+GCC_F77='`$ECHO "X$GCC_F77" | $Xsed -e "$delay_single_quote_subst"`'
+lt_prog_compiler_no_builtin_flag_CXX='`$ECHO "X$lt_prog_compiler_no_builtin_flag_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+lt_prog_compiler_no_builtin_flag_F77='`$ECHO "X$lt_prog_compiler_no_builtin_flag_F77" | $Xsed -e "$delay_single_quote_subst"`'
+lt_prog_compiler_wl_CXX='`$ECHO "X$lt_prog_compiler_wl_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+lt_prog_compiler_wl_F77='`$ECHO "X$lt_prog_compiler_wl_F77" | $Xsed -e "$delay_single_quote_subst"`'
+lt_prog_compiler_pic_CXX='`$ECHO "X$lt_prog_compiler_pic_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+lt_prog_compiler_pic_F77='`$ECHO "X$lt_prog_compiler_pic_F77" | $Xsed -e "$delay_single_quote_subst"`'
+lt_prog_compiler_static_CXX='`$ECHO "X$lt_prog_compiler_static_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+lt_prog_compiler_static_F77='`$ECHO "X$lt_prog_compiler_static_F77" | $Xsed -e "$delay_single_quote_subst"`'
+lt_cv_prog_compiler_c_o_CXX='`$ECHO "X$lt_cv_prog_compiler_c_o_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+lt_cv_prog_compiler_c_o_F77='`$ECHO "X$lt_cv_prog_compiler_c_o_F77" | $Xsed -e "$delay_single_quote_subst"`'
+archive_cmds_need_lc_CXX='`$ECHO "X$archive_cmds_need_lc_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+archive_cmds_need_lc_F77='`$ECHO "X$archive_cmds_need_lc_F77" | $Xsed -e "$delay_single_quote_subst"`'
+enable_shared_with_static_runtimes_CXX='`$ECHO "X$enable_shared_with_static_runtimes_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+enable_shared_with_static_runtimes_F77='`$ECHO "X$enable_shared_with_static_runtimes_F77" | $Xsed -e "$delay_single_quote_subst"`'
+export_dynamic_flag_spec_CXX='`$ECHO "X$export_dynamic_flag_spec_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+export_dynamic_flag_spec_F77='`$ECHO "X$export_dynamic_flag_spec_F77" | $Xsed -e "$delay_single_quote_subst"`'
+whole_archive_flag_spec_CXX='`$ECHO "X$whole_archive_flag_spec_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+whole_archive_flag_spec_F77='`$ECHO "X$whole_archive_flag_spec_F77" | $Xsed -e "$delay_single_quote_subst"`'
+compiler_needs_object_CXX='`$ECHO "X$compiler_needs_object_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+compiler_needs_object_F77='`$ECHO "X$compiler_needs_object_F77" | $Xsed -e "$delay_single_quote_subst"`'
+old_archive_from_new_cmds_CXX='`$ECHO "X$old_archive_from_new_cmds_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+old_archive_from_new_cmds_F77='`$ECHO "X$old_archive_from_new_cmds_F77" | $Xsed -e "$delay_single_quote_subst"`'
+old_archive_from_expsyms_cmds_CXX='`$ECHO "X$old_archive_from_expsyms_cmds_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+old_archive_from_expsyms_cmds_F77='`$ECHO "X$old_archive_from_expsyms_cmds_F77" | $Xsed -e "$delay_single_quote_subst"`'
+archive_cmds_CXX='`$ECHO "X$archive_cmds_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+archive_cmds_F77='`$ECHO "X$archive_cmds_F77" | $Xsed -e "$delay_single_quote_subst"`'
+archive_expsym_cmds_CXX='`$ECHO "X$archive_expsym_cmds_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+archive_expsym_cmds_F77='`$ECHO "X$archive_expsym_cmds_F77" | $Xsed -e "$delay_single_quote_subst"`'
+module_cmds_CXX='`$ECHO "X$module_cmds_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+module_cmds_F77='`$ECHO "X$module_cmds_F77" | $Xsed -e "$delay_single_quote_subst"`'
+module_expsym_cmds_CXX='`$ECHO "X$module_expsym_cmds_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+module_expsym_cmds_F77='`$ECHO "X$module_expsym_cmds_F77" | $Xsed -e "$delay_single_quote_subst"`'
+with_gnu_ld_CXX='`$ECHO "X$with_gnu_ld_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+with_gnu_ld_F77='`$ECHO "X$with_gnu_ld_F77" | $Xsed -e "$delay_single_quote_subst"`'
+allow_undefined_flag_CXX='`$ECHO "X$allow_undefined_flag_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+allow_undefined_flag_F77='`$ECHO "X$allow_undefined_flag_F77" | $Xsed -e "$delay_single_quote_subst"`'
+no_undefined_flag_CXX='`$ECHO "X$no_undefined_flag_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+no_undefined_flag_F77='`$ECHO "X$no_undefined_flag_F77" | $Xsed -e "$delay_single_quote_subst"`'
+hardcode_libdir_flag_spec_CXX='`$ECHO "X$hardcode_libdir_flag_spec_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+hardcode_libdir_flag_spec_F77='`$ECHO "X$hardcode_libdir_flag_spec_F77" | $Xsed -e "$delay_single_quote_subst"`'
+hardcode_libdir_flag_spec_ld_CXX='`$ECHO "X$hardcode_libdir_flag_spec_ld_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+hardcode_libdir_flag_spec_ld_F77='`$ECHO "X$hardcode_libdir_flag_spec_ld_F77" | $Xsed -e "$delay_single_quote_subst"`'
+hardcode_libdir_separator_CXX='`$ECHO "X$hardcode_libdir_separator_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+hardcode_libdir_separator_F77='`$ECHO "X$hardcode_libdir_separator_F77" | $Xsed -e "$delay_single_quote_subst"`'
+hardcode_direct_CXX='`$ECHO "X$hardcode_direct_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+hardcode_direct_F77='`$ECHO "X$hardcode_direct_F77" | $Xsed -e "$delay_single_quote_subst"`'
+hardcode_direct_absolute_CXX='`$ECHO "X$hardcode_direct_absolute_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+hardcode_direct_absolute_F77='`$ECHO "X$hardcode_direct_absolute_F77" | $Xsed -e "$delay_single_quote_subst"`'
+hardcode_minus_L_CXX='`$ECHO "X$hardcode_minus_L_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+hardcode_minus_L_F77='`$ECHO "X$hardcode_minus_L_F77" | $Xsed -e "$delay_single_quote_subst"`'
+hardcode_shlibpath_var_CXX='`$ECHO "X$hardcode_shlibpath_var_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+hardcode_shlibpath_var_F77='`$ECHO "X$hardcode_shlibpath_var_F77" | $Xsed -e "$delay_single_quote_subst"`'
+hardcode_automatic_CXX='`$ECHO "X$hardcode_automatic_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+hardcode_automatic_F77='`$ECHO "X$hardcode_automatic_F77" | $Xsed -e "$delay_single_quote_subst"`'
+inherit_rpath_CXX='`$ECHO "X$inherit_rpath_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+inherit_rpath_F77='`$ECHO "X$inherit_rpath_F77" | $Xsed -e "$delay_single_quote_subst"`'
+link_all_deplibs_CXX='`$ECHO "X$link_all_deplibs_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+link_all_deplibs_F77='`$ECHO "X$link_all_deplibs_F77" | $Xsed -e "$delay_single_quote_subst"`'
+fix_srcfile_path_CXX='`$ECHO "X$fix_srcfile_path_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+fix_srcfile_path_F77='`$ECHO "X$fix_srcfile_path_F77" | $Xsed -e "$delay_single_quote_subst"`'
+always_export_symbols_CXX='`$ECHO "X$always_export_symbols_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+always_export_symbols_F77='`$ECHO "X$always_export_symbols_F77" | $Xsed -e "$delay_single_quote_subst"`'
+export_symbols_cmds_CXX='`$ECHO "X$export_symbols_cmds_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+export_symbols_cmds_F77='`$ECHO "X$export_symbols_cmds_F77" | $Xsed -e "$delay_single_quote_subst"`'
+exclude_expsyms_CXX='`$ECHO "X$exclude_expsyms_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+exclude_expsyms_F77='`$ECHO "X$exclude_expsyms_F77" | $Xsed -e "$delay_single_quote_subst"`'
+include_expsyms_CXX='`$ECHO "X$include_expsyms_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+include_expsyms_F77='`$ECHO "X$include_expsyms_F77" | $Xsed -e "$delay_single_quote_subst"`'
+prelink_cmds_CXX='`$ECHO "X$prelink_cmds_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+prelink_cmds_F77='`$ECHO "X$prelink_cmds_F77" | $Xsed -e "$delay_single_quote_subst"`'
+file_list_spec_CXX='`$ECHO "X$file_list_spec_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+file_list_spec_F77='`$ECHO "X$file_list_spec_F77" | $Xsed -e "$delay_single_quote_subst"`'
+hardcode_action_CXX='`$ECHO "X$hardcode_action_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+hardcode_action_F77='`$ECHO "X$hardcode_action_F77" | $Xsed -e "$delay_single_quote_subst"`'
+compiler_lib_search_dirs_CXX='`$ECHO "X$compiler_lib_search_dirs_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+compiler_lib_search_dirs_F77='`$ECHO "X$compiler_lib_search_dirs_F77" | $Xsed -e "$delay_single_quote_subst"`'
+predep_objects_CXX='`$ECHO "X$predep_objects_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+predep_objects_F77='`$ECHO "X$predep_objects_F77" | $Xsed -e "$delay_single_quote_subst"`'
+postdep_objects_CXX='`$ECHO "X$postdep_objects_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+postdep_objects_F77='`$ECHO "X$postdep_objects_F77" | $Xsed -e "$delay_single_quote_subst"`'
+predeps_CXX='`$ECHO "X$predeps_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+predeps_F77='`$ECHO "X$predeps_F77" | $Xsed -e "$delay_single_quote_subst"`'
+postdeps_CXX='`$ECHO "X$postdeps_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+postdeps_F77='`$ECHO "X$postdeps_F77" | $Xsed -e "$delay_single_quote_subst"`'
+compiler_lib_search_path_CXX='`$ECHO "X$compiler_lib_search_path_CXX" | $Xsed -e "$delay_single_quote_subst"`'
+compiler_lib_search_path_F77='`$ECHO "X$compiler_lib_search_path_F77" | $Xsed -e "$delay_single_quote_subst"`'
+
+LTCC='$LTCC'
+LTCFLAGS='$LTCFLAGS'
+compiler='$compiler_DEFAULT'
+
+# Quote evaled strings.
+for var in SED \
+GREP \
+EGREP \
+FGREP \
+LD \
+NM \
+LN_S \
+lt_SP2NL \
+lt_NL2SP \
+reload_flag \
+deplibs_check_method \
+file_magic_cmd \
+AR \
+AR_FLAGS \
+STRIP \
+RANLIB \
+CC \
+CFLAGS \
+compiler \
+lt_cv_sys_global_symbol_pipe \
+lt_cv_sys_global_symbol_to_cdecl \
+lt_cv_sys_global_symbol_to_c_name_address \
+lt_cv_sys_global_symbol_to_c_name_address_lib_prefix \
+SHELL \
+ECHO \
+lt_prog_compiler_no_builtin_flag \
+lt_prog_compiler_wl \
+lt_prog_compiler_pic \
+lt_prog_compiler_static \
+lt_cv_prog_compiler_c_o \
+need_locks \
+DSYMUTIL \
+NMEDIT \
+LIPO \
+OTOOL \
+OTOOL64 \
+shrext_cmds \
+export_dynamic_flag_spec \
+whole_archive_flag_spec \
+compiler_needs_object \
+with_gnu_ld \
+allow_undefined_flag \
+no_undefined_flag \
+hardcode_libdir_flag_spec \
+hardcode_libdir_flag_spec_ld \
+hardcode_libdir_separator \
+fix_srcfile_path \
+exclude_expsyms \
+include_expsyms \
+file_list_spec \
+variables_saved_for_relink \
+libname_spec \
+library_names_spec \
+soname_spec \
+finish_eval \
+old_striplib \
+striplib \
+compiler_lib_search_dirs \
+predep_objects \
+postdep_objects \
+predeps \
+postdeps \
+compiler_lib_search_path \
+LD_CXX \
+LD_F77 \
+compiler_CXX \
+compiler_F77 \
+lt_prog_compiler_no_builtin_flag_CXX \
+lt_prog_compiler_no_builtin_flag_F77 \
+lt_prog_compiler_wl_CXX \
+lt_prog_compiler_wl_F77 \
+lt_prog_compiler_pic_CXX \
+lt_prog_compiler_pic_F77 \
+lt_prog_compiler_static_CXX \
+lt_prog_compiler_static_F77 \
+lt_cv_prog_compiler_c_o_CXX \
+lt_cv_prog_compiler_c_o_F77 \
+export_dynamic_flag_spec_CXX \
+export_dynamic_flag_spec_F77 \
+whole_archive_flag_spec_CXX \
+whole_archive_flag_spec_F77 \
+compiler_needs_object_CXX \
+compiler_needs_object_F77 \
+with_gnu_ld_CXX \
+with_gnu_ld_F77 \
+allow_undefined_flag_CXX \
+allow_undefined_flag_F77 \
+no_undefined_flag_CXX \
+no_undefined_flag_F77 \
+hardcode_libdir_flag_spec_CXX \
+hardcode_libdir_flag_spec_F77 \
+hardcode_libdir_flag_spec_ld_CXX \
+hardcode_libdir_flag_spec_ld_F77 \
+hardcode_libdir_separator_CXX \
+hardcode_libdir_separator_F77 \
+fix_srcfile_path_CXX \
+fix_srcfile_path_F77 \
+exclude_expsyms_CXX \
+exclude_expsyms_F77 \
+include_expsyms_CXX \
+include_expsyms_F77 \
+file_list_spec_CXX \
+file_list_spec_F77 \
+compiler_lib_search_dirs_CXX \
+compiler_lib_search_dirs_F77 \
+predep_objects_CXX \
+predep_objects_F77 \
+postdep_objects_CXX \
+postdep_objects_F77 \
+predeps_CXX \
+predeps_F77 \
+postdeps_CXX \
+postdeps_F77 \
+compiler_lib_search_path_CXX \
+compiler_lib_search_path_F77; do
+    case \`eval \\\\\$ECHO "X\\\\\$\$var"\` in
+    *[\\\\\\\`\\"\\\$]*)
+      eval "lt_\$var=\\\\\\"\\\`\\\$ECHO \\"X\\\$\$var\\" | \\\$Xsed -e \\"\\\$sed_quote_subst\\"\\\`\\\\\\""
+      ;;
+    *)
+      eval "lt_\$var=\\\\\\"\\\$\$var\\\\\\""
+      ;;
+    esac
+done
+
+# Double-quote double-evaled strings.
+for var in reload_cmds \
+old_postinstall_cmds \
+old_postuninstall_cmds \
+old_archive_cmds \
+extract_expsyms_cmds \
+old_archive_from_new_cmds \
+old_archive_from_expsyms_cmds \
+archive_cmds \
+archive_expsym_cmds \
+module_cmds \
+module_expsym_cmds \
+export_symbols_cmds \
+prelink_cmds \
+postinstall_cmds \
+postuninstall_cmds \
+finish_cmds \
+sys_lib_search_path_spec \
+sys_lib_dlsearch_path_spec \
+old_archive_cmds_CXX \
+old_archive_cmds_F77 \
+old_archive_from_new_cmds_CXX \
+old_archive_from_new_cmds_F77 \
+old_archive_from_expsyms_cmds_CXX \
+old_archive_from_expsyms_cmds_F77 \
+archive_cmds_CXX \
+archive_cmds_F77 \
+archive_expsym_cmds_CXX \
+archive_expsym_cmds_F77 \
+module_cmds_CXX \
+module_cmds_F77 \
+module_expsym_cmds_CXX \
+module_expsym_cmds_F77 \
+export_symbols_cmds_CXX \
+export_symbols_cmds_F77 \
+prelink_cmds_CXX \
+prelink_cmds_F77; do
+    case \`eval \\\\\$ECHO "X\\\\\$\$var"\` in
+    *[\\\\\\\`\\"\\\$]*)
+      eval "lt_\$var=\\\\\\"\\\`\\\$ECHO \\"X\\\$\$var\\" | \\\$Xsed -e \\"\\\$double_quote_subst\\" -e \\"\\\$sed_quote_subst\\" -e \\"\\\$delay_variable_subst\\"\\\`\\\\\\""
+      ;;
+    *)
+      eval "lt_\$var=\\\\\\"\\\$\$var\\\\\\""
+      ;;
+    esac
+done
+
+# Fix-up fallback echo if it was mangled by the above quoting rules.
+case \$lt_ECHO in
+*'\\\$0 --fallback-echo"')  lt_ECHO=\`\$ECHO "X\$lt_ECHO" | \$Xsed -e 's/\\\\\\\\\\\\\\\$0 --fallback-echo"\$/\$0 --fallback-echo"/'\`
+  ;;
+esac
+
+ac_aux_dir='$ac_aux_dir'
+xsi_shell='$xsi_shell'
+lt_shell_append='$lt_shell_append'
+
+# See if we are running on zsh, and set the options which allow our
+# commands through without removal of \ escapes INIT.
+if test -n "\${ZSH_VERSION+set}" ; then
+   setopt NO_GLOB_SUBST
+fi
+
+
+    PACKAGE='$PACKAGE'
+    VERSION='$VERSION'
+    TIMESTAMP='$TIMESTAMP'
+    RM='$RM'
+    ofile='$ofile'
+
+
+
+
+
+
+
+
+_ACEOF
+
+cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
+
+# Handling of arguments.
+for ac_config_target in $ac_config_targets
+do
+  case $ac_config_target in
+    "config.h") CONFIG_HEADERS="$CONFIG_HEADERS config.h" ;;
+    "depfiles") CONFIG_COMMANDS="$CONFIG_COMMANDS depfiles" ;;
+    "libtool") CONFIG_COMMANDS="$CONFIG_COMMANDS libtool" ;;
+    "gruel/Makefile") CONFIG_FILES="$CONFIG_FILES gruel/Makefile" ;;
+    "gruel/gruel.pc") CONFIG_FILES="$CONFIG_FILES gruel/gruel.pc" ;;
+    "gruel/src/Makefile") CONFIG_FILES="$CONFIG_FILES gruel/src/Makefile" ;;
+    "gruel/src/include/Makefile") CONFIG_FILES="$CONFIG_FILES gruel/src/include/Makefile" ;;
+    "gruel/src/include/gruel/Makefile") CONFIG_FILES="$CONFIG_FILES gruel/src/include/gruel/Makefile" ;;
+    "gruel/src/include/gruel/inet.h") CONFIG_FILES="$CONFIG_FILES gruel/src/include/gruel/inet.h" ;;
+    "gruel/src/lib/Makefile") CONFIG_FILES="$CONFIG_FILES gruel/src/lib/Makefile" ;;
+    "omnithread/Makefile") CONFIG_FILES="$CONFIG_FILES omnithread/Makefile" ;;
+    "omnithread/gnuradio/Makefile") CONFIG_FILES="$CONFIG_FILES omnithread/gnuradio/Makefile" ;;
+    "omnithread/gnuradio-omnithread.pc") CONFIG_FILES="$CONFIG_FILES omnithread/gnuradio-omnithread.pc" ;;
+    "gcell/Makefile") CONFIG_FILES="$CONFIG_FILES gcell/Makefile" ;;
+    "gcell/gcell.pc") CONFIG_FILES="$CONFIG_FILES gcell/gcell.pc" ;;
+    "gcell/gcell_spu.pc") CONFIG_FILES="$CONFIG_FILES gcell/gcell_spu.pc" ;;
+    "gcell/include/Makefile") CONFIG_FILES="$CONFIG_FILES gcell/include/Makefile" ;;
+    "gcell/include/gcell/Makefile") CONFIG_FILES="$CONFIG_FILES gcell/include/gcell/Makefile" ;;
+    "gcell/include/gcell/spu/Makefile") CONFIG_FILES="$CONFIG_FILES gcell/include/gcell/spu/Makefile" ;;
+    "gcell/lib/Makefile") CONFIG_FILES="$CONFIG_FILES gcell/lib/Makefile" ;;
+    "gcell/lib/spu/Makefile") CONFIG_FILES="$CONFIG_FILES gcell/lib/spu/Makefile" ;;
+    "gcell/lib/general/Makefile") CONFIG_FILES="$CONFIG_FILES gcell/lib/general/Makefile" ;;
+    "gcell/lib/wrapper/Makefile") CONFIG_FILES="$CONFIG_FILES gcell/lib/wrapper/Makefile" ;;
+    "gcell/lib/runtime/Makefile") CONFIG_FILES="$CONFIG_FILES gcell/lib/runtime/Makefile" ;;
+    "gcell/apps/Makefile") CONFIG_FILES="$CONFIG_FILES gcell/apps/Makefile" ;;
+    "gcell/apps/spu/Makefile") CONFIG_FILES="$CONFIG_FILES gcell/apps/spu/Makefile" ;;
+    "gcell/ibm/Makefile") CONFIG_FILES="$CONFIG_FILES gcell/ibm/Makefile" ;;
+    "gnuradio-core/Makefile") CONFIG_FILES="$CONFIG_FILES gnuradio-core/Makefile" ;;
+    "gnuradio-core/gnuradio-core.pc") CONFIG_FILES="$CONFIG_FILES gnuradio-core/gnuradio-core.pc" ;;
+    "gnuradio-core/src/Makefile") CONFIG_FILES="$CONFIG_FILES gnuradio-core/src/Makefile" ;;
+    "gnuradio-core/src/gen_interpolator_taps/Makefile") CONFIG_FILES="$CONFIG_FILES gnuradio-core/src/gen_interpolator_taps/Makefile" ;;
+    "gnuradio-core/src/lib/Makefile") CONFIG_FILES="$CONFIG_FILES gnuradio-core/src/lib/Makefile" ;;
+    "gnuradio-core/src/lib/filter/Makefile") CONFIG_FILES="$CONFIG_FILES gnuradio-core/src/lib/filter/Makefile" ;;
+    "gnuradio-core/src/lib/g72x/Makefile") CONFIG_FILES="$CONFIG_FILES gnuradio-core/src/lib/g72x/Makefile" ;;
+    "gnuradio-core/src/lib/general/Makefile") CONFIG_FILES="$CONFIG_FILES gnuradio-core/src/lib/general/Makefile" ;;
+    "gnuradio-core/src/lib/general/gr_prefix.cc") CONFIG_FILES="$CONFIG_FILES gnuradio-core/src/lib/general/gr_prefix.cc" ;;
+    "gnuradio-core/src/lib/gengen/Makefile") CONFIG_FILES="$CONFIG_FILES gnuradio-core/src/lib/gengen/Makefile" ;;
+    "gnuradio-core/src/lib/io/Makefile") CONFIG_FILES="$CONFIG_FILES gnuradio-core/src/lib/io/Makefile" ;;
+    "gnuradio-core/src/lib/missing/Makefile") CONFIG_FILES="$CONFIG_FILES gnuradio-core/src/lib/missing/Makefile" ;;
+    "gnuradio-core/src/lib/reed-solomon/Makefile") CONFIG_FILES="$CONFIG_FILES gnuradio-core/src/lib/reed-solomon/Makefile" ;;
+    "gnuradio-core/src/lib/viterbi/Makefile") CONFIG_FILES="$CONFIG_FILES gnuradio-core/src/lib/viterbi/Makefile" ;;
+    "gnuradio-core/src/lib/runtime/Makefile") CONFIG_FILES="$CONFIG_FILES gnuradio-core/src/lib/runtime/Makefile" ;;
+    "gnuradio-core/src/lib/hier/Makefile") CONFIG_FILES="$CONFIG_FILES gnuradio-core/src/lib/hier/Makefile" ;;
+    "gnuradio-core/src/lib/swig/Makefile") CONFIG_FILES="$CONFIG_FILES gnuradio-core/src/lib/swig/Makefile" ;;
+    "gnuradio-core/src/python/Makefile") CONFIG_FILES="$CONFIG_FILES gnuradio-core/src/python/Makefile" ;;
+    "gnuradio-core/src/python/bin/Makefile") CONFIG_FILES="$CONFIG_FILES gnuradio-core/src/python/bin/Makefile" ;;
+    "gnuradio-core/src/python/gnuradio/Makefile") CONFIG_FILES="$CONFIG_FILES gnuradio-core/src/python/gnuradio/Makefile" ;;
+    "gnuradio-core/src/python/gnuradio/blks2/Makefile") CONFIG_FILES="$CONFIG_FILES gnuradio-core/src/python/gnuradio/blks2/Makefile" ;;
+    "gnuradio-core/src/python/gnuradio/blks2impl/Makefile") CONFIG_FILES="$CONFIG_FILES gnuradio-core/src/python/gnuradio/blks2impl/Makefile" ;;
+    "gnuradio-core/src/python/gnuradio/gr/Makefile") CONFIG_FILES="$CONFIG_FILES gnuradio-core/src/python/gnuradio/gr/Makefile" ;;
+    "gnuradio-core/src/python/gnuradio/gr/run_tests") CONFIG_FILES="$CONFIG_FILES gnuradio-core/src/python/gnuradio/gr/run_tests" ;;
+    "gnuradio-core/src/python/gnuradio/gru/Makefile") CONFIG_FILES="$CONFIG_FILES gnuradio-core/src/python/gnuradio/gru/Makefile" ;;
+    "gnuradio-core/src/python/gnuradio/gruimpl/Makefile") CONFIG_FILES="$CONFIG_FILES gnuradio-core/src/python/gnuradio/gruimpl/Makefile" ;;
+    "gnuradio-core/src/python/gnuradio/vocoder/Makefile") CONFIG_FILES="$CONFIG_FILES gnuradio-core/src/python/gnuradio/vocoder/Makefile" ;;
+    "gnuradio-core/src/tests/Makefile") CONFIG_FILES="$CONFIG_FILES gnuradio-core/src/tests/Makefile" ;;
+    "gnuradio-core/src/utils/Makefile") CONFIG_FILES="$CONFIG_FILES gnuradio-core/src/utils/Makefile" ;;
+    "run_tests_core") CONFIG_COMMANDS="$CONFIG_COMMANDS run_tests_core" ;;
+    "pmt/Makefile") CONFIG_FILES="$CONFIG_FILES pmt/Makefile" ;;
+    "pmt/pmt.pc") CONFIG_FILES="$CONFIG_FILES pmt/pmt.pc" ;;
+    "pmt/doc/Makefile") CONFIG_FILES="$CONFIG_FILES pmt/doc/Makefile" ;;
+    "pmt/src/Makefile") CONFIG_FILES="$CONFIG_FILES pmt/src/Makefile" ;;
+    "pmt/src/lib/Makefile") CONFIG_FILES="$CONFIG_FILES pmt/src/lib/Makefile" ;;
+    "pmt/src/scheme/Makefile") CONFIG_FILES="$CONFIG_FILES pmt/src/scheme/Makefile" ;;
+    "pmt/src/scheme/gnuradio/Makefile") CONFIG_FILES="$CONFIG_FILES pmt/src/scheme/gnuradio/Makefile" ;;
+    "mblock/Makefile") CONFIG_FILES="$CONFIG_FILES mblock/Makefile" ;;
+    "mblock/mblock.pc") CONFIG_FILES="$CONFIG_FILES mblock/mblock.pc" ;;
+    "mblock/doc/Makefile") CONFIG_FILES="$CONFIG_FILES mblock/doc/Makefile" ;;
+    "mblock/src/Makefile") CONFIG_FILES="$CONFIG_FILES mblock/src/Makefile" ;;
+    "mblock/src/include/Makefile") CONFIG_FILES="$CONFIG_FILES mblock/src/include/Makefile" ;;
+    "mblock/src/include/mblock/Makefile") CONFIG_FILES="$CONFIG_FILES mblock/src/include/mblock/Makefile" ;;
+    "mblock/src/lib/Makefile") CONFIG_FILES="$CONFIG_FILES mblock/src/lib/Makefile" ;;
+    "mblock/src/scheme/Makefile") CONFIG_FILES="$CONFIG_FILES mblock/src/scheme/Makefile" ;;
+    "mblock/src/scheme/gnuradio/Makefile") CONFIG_FILES="$CONFIG_FILES mblock/src/scheme/gnuradio/Makefile" ;;
+    "usrp/Makefile") CONFIG_FILES="$CONFIG_FILES usrp/Makefile" ;;
+    "usrp/usrp.pc") CONFIG_FILES="$CONFIG_FILES usrp/usrp.pc" ;;
+    "usrp/usrp.iss") CONFIG_FILES="$CONFIG_FILES usrp/usrp.iss" ;;
+    "usrp/doc/Doxyfile") CONFIG_FILES="$CONFIG_FILES usrp/doc/Doxyfile" ;;
+    "usrp/doc/Makefile") CONFIG_FILES="$CONFIG_FILES usrp/doc/Makefile" ;;
+    "usrp/doc/other/Makefile") CONFIG_FILES="$CONFIG_FILES usrp/doc/other/Makefile" ;;
+    "usrp/host/Makefile") CONFIG_FILES="$CONFIG_FILES usrp/host/Makefile" ;;
+    "usrp/host/misc/Makefile") CONFIG_FILES="$CONFIG_FILES usrp/host/misc/Makefile" ;;
+    "usrp/host/lib/Makefile") CONFIG_FILES="$CONFIG_FILES usrp/host/lib/Makefile" ;;
+    "usrp/host/lib/legacy/Makefile") CONFIG_FILES="$CONFIG_FILES usrp/host/lib/legacy/Makefile" ;;
+    "usrp/host/lib/legacy/std_paths.h") CONFIG_FILES="$CONFIG_FILES usrp/host/lib/legacy/std_paths.h" ;;
+    "usrp/host/swig/Makefile") CONFIG_FILES="$CONFIG_FILES usrp/host/swig/Makefile" ;;
+    "usrp/host/apps/Makefile") CONFIG_FILES="$CONFIG_FILES usrp/host/apps/Makefile" ;;
+    "usrp/firmware/Makefile") CONFIG_FILES="$CONFIG_FILES usrp/firmware/Makefile" ;;
+    "usrp/firmware/include/Makefile") CONFIG_FILES="$CONFIG_FILES usrp/firmware/include/Makefile" ;;
+    "usrp/firmware/lib/Makefile") CONFIG_FILES="$CONFIG_FILES usrp/firmware/lib/Makefile" ;;
+    "usrp/firmware/src/Makefile") CONFIG_FILES="$CONFIG_FILES usrp/firmware/src/Makefile" ;;
+    "usrp/firmware/src/common/Makefile") CONFIG_FILES="$CONFIG_FILES usrp/firmware/src/common/Makefile" ;;
+    "usrp/firmware/src/usrp2/Makefile") CONFIG_FILES="$CONFIG_FILES usrp/firmware/src/usrp2/Makefile" ;;
+    "usrp/fpga/Makefile") CONFIG_FILES="$CONFIG_FILES usrp/fpga/Makefile" ;;
+    "usrp/fpga/rbf/Makefile") CONFIG_FILES="$CONFIG_FILES usrp/fpga/rbf/Makefile" ;;
+    "usrp/fpga/rbf/rev2/Makefile") CONFIG_FILES="$CONFIG_FILES usrp/fpga/rbf/rev2/Makefile" ;;
+    "usrp/fpga/rbf/rev4/Makefile") CONFIG_FILES="$CONFIG_FILES usrp/fpga/rbf/rev4/Makefile" ;;
+    "usrp2/Makefile") CONFIG_FILES="$CONFIG_FILES usrp2/Makefile" ;;
+    "usrp2/host/Makefile") CONFIG_FILES="$CONFIG_FILES usrp2/host/Makefile" ;;
+    "usrp2/host/usrp2.pc") CONFIG_FILES="$CONFIG_FILES usrp2/host/usrp2.pc" ;;
+    "usrp2/host/include/Makefile") CONFIG_FILES="$CONFIG_FILES usrp2/host/include/Makefile" ;;
+    "usrp2/host/include/usrp2/Makefile") CONFIG_FILES="$CONFIG_FILES usrp2/host/include/usrp2/Makefile" ;;
+    "usrp2/host/lib/Makefile") CONFIG_FILES="$CONFIG_FILES usrp2/host/lib/Makefile" ;;
+    "usrp2/host/apps/Makefile") CONFIG_FILES="$CONFIG_FILES usrp2/host/apps/Makefile" ;;
+    "gr-usrp/Makefile") CONFIG_FILES="$CONFIG_FILES gr-usrp/Makefile" ;;
+    "gr-usrp/gnuradio-usrp.pc") CONFIG_FILES="$CONFIG_FILES gr-usrp/gnuradio-usrp.pc" ;;
+    "gr-usrp/src/Makefile") CONFIG_FILES="$CONFIG_FILES gr-usrp/src/Makefile" ;;
+    "gr-usrp/src/run_tests") CONFIG_FILES="$CONFIG_FILES gr-usrp/src/run_tests" ;;
+    "gr-usrp/apps/Makefile") CONFIG_FILES="$CONFIG_FILES gr-usrp/apps/Makefile" ;;
+    "run_tests_usrp") CONFIG_COMMANDS="$CONFIG_COMMANDS run_tests_usrp" ;;
+    "gr-usrp2/Makefile") CONFIG_FILES="$CONFIG_FILES gr-usrp2/Makefile" ;;
+    "gr-usrp2/gnuradio-usrp2.pc") CONFIG_FILES="$CONFIG_FILES gr-usrp2/gnuradio-usrp2.pc" ;;
+    "gr-usrp2/src/Makefile") CONFIG_FILES="$CONFIG_FILES gr-usrp2/src/Makefile" ;;
+    "gr-usrp2/src/run_tests") CONFIG_FILES="$CONFIG_FILES gr-usrp2/src/run_tests" ;;
+    "run_tests_usrp2") CONFIG_COMMANDS="$CONFIG_COMMANDS run_tests_usrp2" ;;
+    "gr-gcell/Makefile") CONFIG_FILES="$CONFIG_FILES gr-gcell/Makefile" ;;
+    "gr-gcell/src/Makefile") CONFIG_FILES="$CONFIG_FILES gr-gcell/src/Makefile" ;;
+    "gr-gcell/src/examples/Makefile") CONFIG_FILES="$CONFIG_FILES gr-gcell/src/examples/Makefile" ;;
+    "gr-gcell/src/run_tests") CONFIG_FILES="$CONFIG_FILES gr-gcell/src/run_tests" ;;
+    "run_tests_gcell") CONFIG_COMMANDS="$CONFIG_COMMANDS run_tests_gcell" ;;
+    "gr-audio-alsa/Makefile") CONFIG_FILES="$CONFIG_FILES gr-audio-alsa/Makefile" ;;
+    "gr-audio-alsa/gr-audio-alsa.pc") CONFIG_FILES="$CONFIG_FILES gr-audio-alsa/gr-audio-alsa.pc" ;;
+    "gr-audio-alsa/src/Makefile") CONFIG_FILES="$CONFIG_FILES gr-audio-alsa/src/Makefile" ;;
+    "gr-audio-alsa/src/run_tests") CONFIG_FILES="$CONFIG_FILES gr-audio-alsa/src/run_tests" ;;
+    "run_tests_alsa") CONFIG_COMMANDS="$CONFIG_COMMANDS run_tests_alsa" ;;
+    "gr-audio-jack/Makefile") CONFIG_FILES="$CONFIG_FILES gr-audio-jack/Makefile" ;;
+    "gr-audio-jack/src/Makefile") CONFIG_FILES="$CONFIG_FILES gr-audio-jack/src/Makefile" ;;
+    "gr-audio-jack/src/run_tests") CONFIG_FILES="$CONFIG_FILES gr-audio-jack/src/run_tests" ;;
+    "run_tests_jack") CONFIG_COMMANDS="$CONFIG_COMMANDS run_tests_jack" ;;
+    "gr-audio-oss/Makefile") CONFIG_FILES="$CONFIG_FILES gr-audio-oss/Makefile" ;;
+    "gr-audio-oss/src/Makefile") CONFIG_FILES="$CONFIG_FILES gr-audio-oss/src/Makefile" ;;
+    "gr-audio-oss/src/run_tests") CONFIG_FILES="$CONFIG_FILES gr-audio-oss/src/run_tests" ;;
+    "run_tests_oss") CONFIG_COMMANDS="$CONFIG_COMMANDS run_tests_oss" ;;
+    "gr-audio-osx/Makefile") CONFIG_FILES="$CONFIG_FILES gr-audio-osx/Makefile" ;;
+    "gr-audio-osx/src/Makefile") CONFIG_FILES="$CONFIG_FILES gr-audio-osx/src/Makefile" ;;
+    "gr-audio-osx/src/run_tests") CONFIG_FILES="$CONFIG_FILES gr-audio-osx/src/run_tests" ;;
+    "run_tests_osx") CONFIG_COMMANDS="$CONFIG_COMMANDS run_tests_osx" ;;
+    "gr-audio-portaudio/Makefile") CONFIG_FILES="$CONFIG_FILES gr-audio-portaudio/Makefile" ;;
+    "gr-audio-portaudio/src/Makefile") CONFIG_FILES="$CONFIG_FILES gr-audio-portaudio/src/Makefile" ;;
+    "gr-audio-portaudio/src/run_tests") CONFIG_FILES="$CONFIG_FILES gr-audio-portaudio/src/run_tests" ;;
+    "run_tests_portaudio") CONFIG_COMMANDS="$CONFIG_COMMANDS run_tests_portaudio" ;;
+    "gr-audio-windows/Makefile") CONFIG_FILES="$CONFIG_FILES gr-audio-windows/Makefile" ;;
+    "gr-audio-windows/src/Makefile") CONFIG_FILES="$CONFIG_FILES gr-audio-windows/src/Makefile" ;;
+    "gr-audio-windows/src/run_tests") CONFIG_FILES="$CONFIG_FILES gr-audio-windows/src/run_tests" ;;
+    "run_tests_audio_windows") CONFIG_COMMANDS="$CONFIG_COMMANDS run_tests_audio_windows" ;;
+    "gr-cvsd-vocoder/Makefile") CONFIG_FILES="$CONFIG_FILES gr-cvsd-vocoder/Makefile" ;;
+    "gr-cvsd-vocoder/src/Makefile") CONFIG_FILES="$CONFIG_FILES gr-cvsd-vocoder/src/Makefile" ;;
+    "gr-cvsd-vocoder/src/lib/Makefile") CONFIG_FILES="$CONFIG_FILES gr-cvsd-vocoder/src/lib/Makefile" ;;
+    "gr-cvsd-vocoder/src/python/Makefile") CONFIG_FILES="$CONFIG_FILES gr-cvsd-vocoder/src/python/Makefile" ;;
+    "gr-cvsd-vocoder/src/python/run_tests") CONFIG_FILES="$CONFIG_FILES gr-cvsd-vocoder/src/python/run_tests" ;;
+    "run_tests_cvsd") CONFIG_COMMANDS="$CONFIG_COMMANDS run_tests_cvsd" ;;
+    "gr-gpio/Makefile") CONFIG_FILES="$CONFIG_FILES gr-gpio/Makefile" ;;
+    "gr-gpio/src/Makefile") CONFIG_FILES="$CONFIG_FILES gr-gpio/src/Makefile" ;;
+    "gr-gpio/src/fpga/Makefile") CONFIG_FILES="$CONFIG_FILES gr-gpio/src/fpga/Makefile" ;;
+    "gr-gpio/src/fpga/include/Makefile") CONFIG_FILES="$CONFIG_FILES gr-gpio/src/fpga/include/Makefile" ;;
+    "gr-gpio/src/fpga/top/Makefile") CONFIG_FILES="$CONFIG_FILES gr-gpio/src/fpga/top/Makefile" ;;
+    "gr-gpio/src/fpga/lib/Makefile") CONFIG_FILES="$CONFIG_FILES gr-gpio/src/fpga/lib/Makefile" ;;
+    "gr-gpio/src/fpga/rbf/Makefile") CONFIG_FILES="$CONFIG_FILES gr-gpio/src/fpga/rbf/Makefile" ;;
+    "gr-gpio/src/python/Makefile") CONFIG_FILES="$CONFIG_FILES gr-gpio/src/python/Makefile" ;;
+    "gr-gsm-fr-vocoder/Makefile") CONFIG_FILES="$CONFIG_FILES gr-gsm-fr-vocoder/Makefile" ;;
+    "gr-gsm-fr-vocoder/src/Makefile") CONFIG_FILES="$CONFIG_FILES gr-gsm-fr-vocoder/src/Makefile" ;;
+    "gr-gsm-fr-vocoder/src/lib/Makefile") CONFIG_FILES="$CONFIG_FILES gr-gsm-fr-vocoder/src/lib/Makefile" ;;
+    "gr-gsm-fr-vocoder/src/lib/gsm/Makefile") CONFIG_FILES="$CONFIG_FILES gr-gsm-fr-vocoder/src/lib/gsm/Makefile" ;;
+    "gr-gsm-fr-vocoder/src/python/Makefile") CONFIG_FILES="$CONFIG_FILES gr-gsm-fr-vocoder/src/python/Makefile" ;;
+    "gr-gsm-fr-vocoder/src/python/run_tests") CONFIG_FILES="$CONFIG_FILES gr-gsm-fr-vocoder/src/python/run_tests" ;;
+    "run_tests_gsm") CONFIG_COMMANDS="$CONFIG_COMMANDS run_tests_gsm" ;;
+    "gr-pager/Makefile") CONFIG_FILES="$CONFIG_FILES gr-pager/Makefile" ;;
+    "gr-pager/src/Makefile") CONFIG_FILES="$CONFIG_FILES gr-pager/src/Makefile" ;;
+    "gr-pager/src/run_tests") CONFIG_FILES="$CONFIG_FILES gr-pager/src/run_tests" ;;
+    "run_tests_pager") CONFIG_COMMANDS="$CONFIG_COMMANDS run_tests_pager" ;;
+    "gr-radar-mono/Makefile") CONFIG_FILES="$CONFIG_FILES gr-radar-mono/Makefile" ;;
+    "gr-radar-mono/doc/Makefile") CONFIG_FILES="$CONFIG_FILES gr-radar-mono/doc/Makefile" ;;
+    "gr-radar-mono/src/Makefile") CONFIG_FILES="$CONFIG_FILES gr-radar-mono/src/Makefile" ;;
+    "gr-radar-mono/src/fpga/Makefile") CONFIG_FILES="$CONFIG_FILES gr-radar-mono/src/fpga/Makefile" ;;
+    "gr-radar-mono/src/fpga/top/Makefile") CONFIG_FILES="$CONFIG_FILES gr-radar-mono/src/fpga/top/Makefile" ;;
+    "gr-radar-mono/src/fpga/lib/Makefile") CONFIG_FILES="$CONFIG_FILES gr-radar-mono/src/fpga/lib/Makefile" ;;
+    "gr-radar-mono/src/fpga/models/Makefile") CONFIG_FILES="$CONFIG_FILES gr-radar-mono/src/fpga/models/Makefile" ;;
+    "gr-radar-mono/src/fpga/tb/Makefile") CONFIG_FILES="$CONFIG_FILES gr-radar-mono/src/fpga/tb/Makefile" ;;
+    "gr-radar-mono/src/lib/Makefile") CONFIG_FILES="$CONFIG_FILES gr-radar-mono/src/lib/Makefile" ;;
+    "gr-radar-mono/src/python/Makefile") CONFIG_FILES="$CONFIG_FILES gr-radar-mono/src/python/Makefile" ;;
+    "gr-radar-mono/src/python/run_tests") CONFIG_FILES="$CONFIG_FILES gr-radar-mono/src/python/run_tests" ;;
+    "run_tests_radar_mono") CONFIG_COMMANDS="$CONFIG_COMMANDS run_tests_radar_mono" ;;
+    "gr-radio-astronomy/Makefile") CONFIG_FILES="$CONFIG_FILES gr-radio-astronomy/Makefile" ;;
+    "gr-radio-astronomy/src/Makefile") CONFIG_FILES="$CONFIG_FILES gr-radio-astronomy/src/Makefile" ;;
+    "gr-radio-astronomy/src/lib/Makefile") CONFIG_FILES="$CONFIG_FILES gr-radio-astronomy/src/lib/Makefile" ;;
+    "gr-radio-astronomy/src/python/Makefile") CONFIG_FILES="$CONFIG_FILES gr-radio-astronomy/src/python/Makefile" ;;
+    "gr-radio-astronomy/src/python/run_tests") CONFIG_FILES="$CONFIG_FILES gr-radio-astronomy/src/python/run_tests" ;;
+    "run_tests_astronomy") CONFIG_COMMANDS="$CONFIG_COMMANDS run_tests_astronomy" ;;
+    "gr-trellis/Makefile") CONFIG_FILES="$CONFIG_FILES gr-trellis/Makefile" ;;
+    "gr-trellis/doc/Makefile") CONFIG_FILES="$CONFIG_FILES gr-trellis/doc/Makefile" ;;
+    "gr-trellis/src/Makefile") CONFIG_FILES="$CONFIG_FILES gr-trellis/src/Makefile" ;;
+    "gr-trellis/src/lib/Makefile") CONFIG_FILES="$CONFIG_FILES gr-trellis/src/lib/Makefile" ;;
+    "gr-trellis/src/python/Makefile") CONFIG_FILES="$CONFIG_FILES gr-trellis/src/python/Makefile" ;;
+    "gr-trellis/src/python/run_tests") CONFIG_FILES="$CONFIG_FILES gr-trellis/src/python/run_tests" ;;
+    "gr-trellis/src/examples/Makefile") CONFIG_FILES="$CONFIG_FILES gr-trellis/src/examples/Makefile" ;;
+    "gr-trellis/src/examples/fsm_files/Makefile") CONFIG_FILES="$CONFIG_FILES gr-trellis/src/examples/fsm_files/Makefile" ;;
+    "run_tests_gr_trellis") CONFIG_COMMANDS="$CONFIG_COMMANDS run_tests_gr_trellis" ;;
+    "gr-video-sdl/Makefile") CONFIG_FILES="$CONFIG_FILES gr-video-sdl/Makefile" ;;
+    "gr-video-sdl/src/Makefile") CONFIG_FILES="$CONFIG_FILES gr-video-sdl/src/Makefile" ;;
+    "gr-video-sdl/src/run_tests") CONFIG_FILES="$CONFIG_FILES gr-video-sdl/src/run_tests" ;;
+    "run_tests_sdl") CONFIG_COMMANDS="$CONFIG_COMMANDS run_tests_sdl" ;;
+    "gr-wxgui/Makefile") CONFIG_FILES="$CONFIG_FILES gr-wxgui/Makefile" ;;
+    "gr-wxgui/gr-wxgui.pc") CONFIG_FILES="$CONFIG_FILES gr-wxgui/gr-wxgui.pc" ;;
+    "gr-wxgui/src/Makefile") CONFIG_FILES="$CONFIG_FILES gr-wxgui/src/Makefile" ;;
+    "gr-wxgui/src/python/Makefile") CONFIG_FILES="$CONFIG_FILES gr-wxgui/src/python/Makefile" ;;
+    "gr-wxgui/src/python/plotter/Makefile") CONFIG_FILES="$CONFIG_FILES gr-wxgui/src/python/plotter/Makefile" ;;
+    "gr-qtgui/Makefile") CONFIG_FILES="$CONFIG_FILES gr-qtgui/Makefile" ;;
+    "gr-qtgui/src/Makefile") CONFIG_FILES="$CONFIG_FILES gr-qtgui/src/Makefile" ;;
+    "gr-qtgui/src/lib/Makefile") CONFIG_FILES="$CONFIG_FILES gr-qtgui/src/lib/Makefile" ;;
+    "gr-qtgui/src/python/Makefile") CONFIG_FILES="$CONFIG_FILES gr-qtgui/src/python/Makefile" ;;
+    "gr-sounder/Makefile") CONFIG_FILES="$CONFIG_FILES gr-sounder/Makefile" ;;
+    "gr-sounder/doc/Makefile") CONFIG_FILES="$CONFIG_FILES gr-sounder/doc/Makefile" ;;
+    "gr-sounder/src/Makefile") CONFIG_FILES="$CONFIG_FILES gr-sounder/src/Makefile" ;;
+    "gr-sounder/src/fpga/Makefile") CONFIG_FILES="$CONFIG_FILES gr-sounder/src/fpga/Makefile" ;;
+    "gr-sounder/src/fpga/top/Makefile") CONFIG_FILES="$CONFIG_FILES gr-sounder/src/fpga/top/Makefile" ;;
+    "gr-sounder/src/fpga/lib/Makefile") CONFIG_FILES="$CONFIG_FILES gr-sounder/src/fpga/lib/Makefile" ;;
+    "gr-sounder/src/fpga/tb/Makefile") CONFIG_FILES="$CONFIG_FILES gr-sounder/src/fpga/tb/Makefile" ;;
+    "gr-sounder/src/lib/Makefile") CONFIG_FILES="$CONFIG_FILES gr-sounder/src/lib/Makefile" ;;
+    "gr-sounder/src/python/Makefile") CONFIG_FILES="$CONFIG_FILES gr-sounder/src/python/Makefile" ;;
+    "gr-sounder/src/python/run_tests") CONFIG_FILES="$CONFIG_FILES gr-sounder/src/python/run_tests" ;;
+    "run_tests_sounder") CONFIG_COMMANDS="$CONFIG_COMMANDS run_tests_sounder" ;;
+    "gr-utils/Makefile") CONFIG_FILES="$CONFIG_FILES gr-utils/Makefile" ;;
+    "gr-utils/src/Makefile") CONFIG_FILES="$CONFIG_FILES gr-utils/src/Makefile" ;;
+    "gr-utils/src/lib/Makefile") CONFIG_FILES="$CONFIG_FILES gr-utils/src/lib/Makefile" ;;
+    "gr-utils/src/python/Makefile") CONFIG_FILES="$CONFIG_FILES gr-utils/src/python/Makefile" ;;
+    "gnuradio-examples/Makefile") CONFIG_FILES="$CONFIG_FILES gnuradio-examples/Makefile" ;;
+    "gnuradio-examples/c++/Makefile") CONFIG_FILES="$CONFIG_FILES gnuradio-examples/c++/Makefile" ;;
+    "gnuradio-examples/python/Makefile") CONFIG_FILES="$CONFIG_FILES gnuradio-examples/python/Makefile" ;;
+    "gnuradio-examples/python/apps/hf_explorer/Makefile") CONFIG_FILES="$CONFIG_FILES gnuradio-examples/python/apps/hf_explorer/Makefile" ;;
+    "gnuradio-examples/python/apps/hf_radio/Makefile") CONFIG_FILES="$CONFIG_FILES gnuradio-examples/python/apps/hf_radio/Makefile" ;;
+    "gnuradio-examples/python/apps/Makefile") CONFIG_FILES="$CONFIG_FILES gnuradio-examples/python/apps/Makefile" ;;
+    "gnuradio-examples/python/audio/Makefile") CONFIG_FILES="$CONFIG_FILES gnuradio-examples/python/audio/Makefile" ;;
+    "gnuradio-examples/python/digital/Makefile") CONFIG_FILES="$CONFIG_FILES gnuradio-examples/python/digital/Makefile" ;;
+    "gnuradio-examples/python/digital_voice/Makefile") CONFIG_FILES="$CONFIG_FILES gnuradio-examples/python/digital_voice/Makefile" ;;
+    "gnuradio-examples/python/digital-bert/Makefile") CONFIG_FILES="$CONFIG_FILES gnuradio-examples/python/digital-bert/Makefile" ;;
+    "gnuradio-examples/python/mp-sched/Makefile") CONFIG_FILES="$CONFIG_FILES gnuradio-examples/python/mp-sched/Makefile" ;;
+    "gnuradio-examples/python/multi-antenna/Makefile") CONFIG_FILES="$CONFIG_FILES gnuradio-examples/python/multi-antenna/Makefile" ;;
+    "gnuradio-examples/python/multi_usrp/Makefile") CONFIG_FILES="$CONFIG_FILES gnuradio-examples/python/multi_usrp/Makefile" ;;
+    "gnuradio-examples/python/network/Makefile") CONFIG_FILES="$CONFIG_FILES gnuradio-examples/python/network/Makefile" ;;
+    "gnuradio-examples/python/ofdm/Makefile") CONFIG_FILES="$CONFIG_FILES gnuradio-examples/python/ofdm/Makefile" ;;
+    "gnuradio-examples/python/usrp/Makefile") CONFIG_FILES="$CONFIG_FILES gnuradio-examples/python/usrp/Makefile" ;;
+    "gnuradio-examples/python/usrp2/Makefile") CONFIG_FILES="$CONFIG_FILES gnuradio-examples/python/usrp2/Makefile" ;;
+    "grc/Makefile") CONFIG_FILES="$CONFIG_FILES grc/Makefile" ;;
+    "grc/base/Makefile") CONFIG_FILES="$CONFIG_FILES grc/base/Makefile" ;;
+    "grc/blocks/Makefile") CONFIG_FILES="$CONFIG_FILES grc/blocks/Makefile" ;;
+    "grc/examples/Makefile") CONFIG_FILES="$CONFIG_FILES grc/examples/Makefile" ;;
+    "grc/freedesktop/Makefile") CONFIG_FILES="$CONFIG_FILES grc/freedesktop/Makefile" ;;
+    "grc/grc_gnuradio/Makefile") CONFIG_FILES="$CONFIG_FILES grc/grc_gnuradio/Makefile" ;;
+    "grc/gui/Makefile") CONFIG_FILES="$CONFIG_FILES grc/gui/Makefile" ;;
+    "grc/python/Makefile") CONFIG_FILES="$CONFIG_FILES grc/python/Makefile" ;;
+    "grc/scripts/Makefile") CONFIG_FILES="$CONFIG_FILES grc/scripts/Makefile" ;;
+    "docs/Makefile") CONFIG_FILES="$CONFIG_FILES docs/Makefile" ;;
+    "docs/doxygen/Doxyfile") CONFIG_FILES="$CONFIG_FILES docs/doxygen/Doxyfile" ;;
+    "docs/doxygen/Makefile") CONFIG_FILES="$CONFIG_FILES docs/doxygen/Makefile" ;;
+    "docs/doxygen/other/Makefile") CONFIG_FILES="$CONFIG_FILES docs/doxygen/other/Makefile" ;;
+    "docs/doxygen/xml-swig/Makefile") CONFIG_FILES="$CONFIG_FILES docs/doxygen/xml-swig/Makefile" ;;
+    "Makefile") CONFIG_FILES="$CONFIG_FILES Makefile" ;;
+    "run_tests.sh") CONFIG_FILES="$CONFIG_FILES run_tests.sh" ;;
+    "config/Makefile") CONFIG_FILES="$CONFIG_FILES config/Makefile" ;;
+    "run_tests_build") CONFIG_COMMANDS="$CONFIG_COMMANDS run_tests_build" ;;
+
+  *) { { $as_echo "$as_me:$LINENO: error: invalid argument: $ac_config_target" >&5
+$as_echo "$as_me: error: invalid argument: $ac_config_target" >&2;}
+   { (exit 1); exit 1; }; };;
+  esac
+done
+
+
+# If the user did not use the arguments to specify the items to instantiate,
+# then the envvar interface is used.  Set only those that are not.
+# We use the long form for the default assignment because of an extremely
+# bizarre bug on SunOS 4.1.3.
+if $ac_need_defaults; then
+  test "${CONFIG_FILES+set}" = set || CONFIG_FILES=$config_files
+  test "${CONFIG_HEADERS+set}" = set || CONFIG_HEADERS=$config_headers
+  test "${CONFIG_COMMANDS+set}" = set || CONFIG_COMMANDS=$config_commands
+fi
+
+# Have a temporary directory for convenience.  Make it in the build tree
+# simply because there is no reason against having it here, and in addition,
+# creating and moving files from /tmp can sometimes cause problems.
+# Hook for its removal unless debugging.
+# Note that there is a small window in which the directory will not be cleaned:
+# after its creation but before its name has been assigned to `$tmp'.
+$debug ||
+{
+  tmp=
+  trap 'exit_status=$?
+  { test -z "$tmp" || test ! -d "$tmp" || rm -fr "$tmp"; } && exit $exit_status
+' 0
+  trap '{ (exit 1); exit 1; }' 1 2 13 15
+}
+# Create a (secure) tmp directory for tmp files.
+
+{
+  tmp=`(umask 077 && mktemp -d "./confXXXXXX") 2>/dev/null` &&
+  test -n "$tmp" && test -d "$tmp"
+}  ||
+{
+  tmp=./conf$$-$RANDOM
+  (umask 077 && mkdir "$tmp")
+} ||
+{
+   $as_echo "$as_me: cannot create a temporary directory in ." >&2
+   { (exit 1); exit 1; }
+}
+
+# Set up the scripts for CONFIG_FILES section.
+# No need to generate them if there are no CONFIG_FILES.
+# This happens for instance with `./config.status config.h'.
+if test -n "$CONFIG_FILES"; then
+
+
+ac_cr='\r'
+ac_cs_awk_cr=`$AWK 'BEGIN { print "a\rb" }' </dev/null 2>/dev/null`
+if test "$ac_cs_awk_cr" = "a${ac_cr}b"; then
+  ac_cs_awk_cr='\\r'
+else
+  ac_cs_awk_cr=$ac_cr
+fi
+
+echo 'BEGIN {' >"$tmp/subs1.awk" &&
+_ACEOF
+
+
+{
+  echo "cat >conf$$subs.awk <<_ACEOF" &&
+  echo "$ac_subst_vars" | sed 's/.*/&!$&$ac_delim/' &&
+  echo "_ACEOF"
+} >conf$$subs.sh ||
+  { { $as_echo "$as_me:$LINENO: error: could not make $CONFIG_STATUS" >&5
+$as_echo "$as_me: error: could not make $CONFIG_STATUS" >&2;}
+   { (exit 1); exit 1; }; }
+ac_delim_num=`echo "$ac_subst_vars" | grep -c '$'`
+ac_delim='%!_!# '
+for ac_last_try in false false false false false :; do
+  . ./conf$$subs.sh ||
+    { { $as_echo "$as_me:$LINENO: error: could not make $CONFIG_STATUS" >&5
+$as_echo "$as_me: error: could not make $CONFIG_STATUS" >&2;}
+   { (exit 1); exit 1; }; }
+
+  ac_delim_n=`sed -n "s/.*$ac_delim\$/X/p" conf$$subs.awk | grep -c X`
+  if test $ac_delim_n = $ac_delim_num; then
+    break
+  elif $ac_last_try; then
+    { { $as_echo "$as_me:$LINENO: error: could not make $CONFIG_STATUS" >&5
+$as_echo "$as_me: error: could not make $CONFIG_STATUS" >&2;}
+   { (exit 1); exit 1; }; }
+  else
+    ac_delim="$ac_delim!$ac_delim _$ac_delim!! "
+  fi
+done
+rm -f conf$$subs.sh
+
+cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
+cat >>"\$tmp/subs1.awk" <<\\_ACAWK &&
+_ACEOF
+sed -n '
+h
+s/^/S["/; s/!.*/"]=/
+p
+g
+s/^[^!]*!//
+:repl
+t repl
+s/'"$ac_delim"'$//
+t delim
+:nl
+h
+s/\(.\{148\}\).*/\1/
+t more1
+s/["\\]/\\&/g; s/^/"/; s/$/\\n"\\/
+p
+n
+b repl
+:more1
+s/["\\]/\\&/g; s/^/"/; s/$/"\\/
+p
+g
+s/.\{148\}//
+t nl
+:delim
+h
+s/\(.\{148\}\).*/\1/
+t more2
+s/["\\]/\\&/g; s/^/"/; s/$/"/
+p
+b
+:more2
+s/["\\]/\\&/g; s/^/"/; s/$/"\\/
+p
+g
+s/.\{148\}//
+t delim
+' <conf$$subs.awk | sed '
+/^[^""]/{
+  N
+  s/\n//
+}
+' >>$CONFIG_STATUS || ac_write_fail=1
+rm -f conf$$subs.awk
+cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
+_ACAWK
+cat >>"\$tmp/subs1.awk" <<_ACAWK &&
+  for (key in S) S_is_set[key] = 1
+  FS = "\a"
+
+}
+{
+  line = $ 0
+  nfields = split(line, field, "@")
+  substed = 0
+  len = length(field[1])
+  for (i = 2; i < nfields; i++) {
+    key = field[i]
+    keylen = length(key)
+    if (S_is_set[key]) {
+      value = S[key]
+      line = substr(line, 1, len) "" value "" substr(line, len + keylen + 3)
+      len += length(value) + length(field[++i])
+      substed = 1
+    } else
+      len += 1 + keylen
+  }
+
+  print line
+}
+
+_ACAWK
+_ACEOF
+cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
+if sed "s/$ac_cr//" < /dev/null > /dev/null 2>&1; then
+  sed "s/$ac_cr\$//; s/$ac_cr/$ac_cs_awk_cr/g"
+else
+  cat
+fi < "$tmp/subs1.awk" > "$tmp/subs.awk" \
+  || { { $as_echo "$as_me:$LINENO: error: could not setup config files machinery" >&5
+$as_echo "$as_me: error: could not setup config files machinery" >&2;}
+   { (exit 1); exit 1; }; }
+_ACEOF
+
+# VPATH may cause trouble with some makes, so we remove $(srcdir),
+# ${srcdir} and @srcdir@ from VPATH if srcdir is ".", strip leading and
+# trailing colons and then remove the whole line if VPATH becomes empty
+# (actually we leave an empty line to preserve line numbers).
+if test "x$srcdir" = x.; then
+  ac_vpsub='/^[         ]*VPATH[        ]*=/{
+s/:*\$(srcdir):*/:/
+s/:*\${srcdir}:*/:/
+s/:*@srcdir@:*/:/
+s/^\([^=]*=[    ]*\):*/\1/
+s/:*$//
+s/^[^=]*=[      ]*$//
+}'
+fi
+
+cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
+fi # test -n "$CONFIG_FILES"
+
+# Set up the scripts for CONFIG_HEADERS section.
+# No need to generate them if there are no CONFIG_HEADERS.
+# This happens for instance with `./config.status Makefile'.
+if test -n "$CONFIG_HEADERS"; then
+cat >"$tmp/defines.awk" <<\_ACAWK ||
+BEGIN {
+_ACEOF
+
+# Transform confdefs.h into an awk script `defines.awk', embedded as
+# here-document in config.status, that substitutes the proper values into
+# config.h.in to produce config.h.
+
+# Create a delimiter string that does not exist in confdefs.h, to ease
+# handling of long lines.
+ac_delim='%!_!# '
+for ac_last_try in false false :; do
+  ac_t=`sed -n "/$ac_delim/p" confdefs.h`
+  if test -z "$ac_t"; then
+    break
+  elif $ac_last_try; then
+    { { $as_echo "$as_me:$LINENO: error: could not make $CONFIG_HEADERS" >&5
+$as_echo "$as_me: error: could not make $CONFIG_HEADERS" >&2;}
+   { (exit 1); exit 1; }; }
+  else
+    ac_delim="$ac_delim!$ac_delim _$ac_delim!! "
+  fi
+done
+
+# For the awk script, D is an array of macro values keyed by name,
+# likewise P contains macro parameters if any.  Preserve backslash
+# newline sequences.
+
+ac_word_re=[_$as_cr_Letters][_$as_cr_alnum]*
+sed -n '
+s/.\{148\}/&'"$ac_delim"'/g
+t rset
+:rset
+s/^[    ]*#[    ]*define[       ][      ]*/ /
+t def
+d
+:def
+s/\\$//
+t bsnl
+s/["\\]/\\&/g
+s/^ \('"$ac_word_re"'\)\(([^()]*)\)[    ]*\(.*\)/P["\1"]="\2"\
+D["\1"]=" \3"/p
+s/^ \('"$ac_word_re"'\)[        ]*\(.*\)/D["\1"]=" \2"/p
+d
+:bsnl
+s/["\\]/\\&/g
+s/^ \('"$ac_word_re"'\)\(([^()]*)\)[    ]*\(.*\)/P["\1"]="\2"\
+D["\1"]=" \3\\\\\\n"\\/p
+t cont
+s/^ \('"$ac_word_re"'\)[        ]*\(.*\)/D["\1"]=" \2\\\\\\n"\\/p
+t cont
+d
+:cont
+n
+s/.\{148\}/&'"$ac_delim"'/g
+t clear
+:clear
+s/\\$//
+t bsnlc
+s/["\\]/\\&/g; s/^/"/; s/$/"/p
+d
+:bsnlc
+s/["\\]/\\&/g; s/^/"/; s/$/\\\\\\n"\\/p
+b cont
+' <confdefs.h | sed '
+s/'"$ac_delim"'/"\\\
+"/g' >>$CONFIG_STATUS || ac_write_fail=1
+
+cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
+  for (key in D) D_is_set[key] = 1
+  FS = "\a"
+}
+/^[\t ]*#[\t ]*(define|undef)[\t ]+$ac_word_re([\t (]|\$)/ {
+  line = \$ 0
+  split(line, arg, " ")
+  if (arg[1] == "#") {
+    defundef = arg[2]
+    mac1 = arg[3]
+  } else {
+    defundef = substr(arg[1], 2)
+    mac1 = arg[2]
+  }
+  split(mac1, mac2, "(") #)
+  macro = mac2[1]
+  prefix = substr(line, 1, index(line, defundef) - 1)
+  if (D_is_set[macro]) {
+    # Preserve the white space surrounding the "#".
+    print prefix "define", macro P[macro] D[macro]
+    next
+  } else {
+    # Replace #undef with comments.  This is necessary, for example,
+    # in the case of _POSIX_SOURCE, which is predefined and required
+    # on some systems where configure will not decide to define it.
+    if (defundef == "undef") {
+      print "/*", prefix defundef, macro, "*/"
+      next
+    }
+  }
+}
+{ print }
+_ACAWK
+_ACEOF
+cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
+  { { $as_echo "$as_me:$LINENO: error: could not setup config headers machinery" >&5
+$as_echo "$as_me: error: could not setup config headers machinery" >&2;}
+   { (exit 1); exit 1; }; }
+fi # test -n "$CONFIG_HEADERS"
+
+
+eval set X "  :F $CONFIG_FILES  :H $CONFIG_HEADERS    :C $CONFIG_COMMANDS"
+shift
+for ac_tag
+do
+  case $ac_tag in
+  :[FHLC]) ac_mode=$ac_tag; continue;;
+  esac
+  case $ac_mode$ac_tag in
+  :[FHL]*:*);;
+  :L* | :C*:*) { { $as_echo "$as_me:$LINENO: error: invalid tag $ac_tag" >&5
+$as_echo "$as_me: error: invalid tag $ac_tag" >&2;}
+   { (exit 1); exit 1; }; };;
+  :[FH]-) ac_tag=-:-;;
+  :[FH]*) ac_tag=$ac_tag:$ac_tag.in;;
+  esac
+  ac_save_IFS=$IFS
+  IFS=:
+  set x $ac_tag
+  IFS=$ac_save_IFS
+  shift
+  ac_file=$1
+  shift
+
+  case $ac_mode in
+  :L) ac_source=$1;;
+  :[FH])
+    ac_file_inputs=
+    for ac_f
+    do
+      case $ac_f in
+      -) ac_f="$tmp/stdin";;
+      *) # Look for the file first in the build tree, then in the source tree
+        # (if the path is not absolute).  The absolute path cannot be DOS-style,
+        # because $ac_f cannot contain `:'.
+        test -f "$ac_f" ||
+          case $ac_f in
+          [\\/$]*) false;;
+          *) test -f "$srcdir/$ac_f" && ac_f="$srcdir/$ac_f";;
+          esac ||
+          { { $as_echo "$as_me:$LINENO: error: cannot find input file: $ac_f" >&5
+$as_echo "$as_me: error: cannot find input file: $ac_f" >&2;}
+   { (exit 1); exit 1; }; };;
+      esac
+      case $ac_f in *\'*) ac_f=`$as_echo "$ac_f" | sed "s/'/'\\\\\\\\''/g"`;; esac
+      ac_file_inputs="$ac_file_inputs '$ac_f'"
+    done
+
+    # Let's still pretend it is `configure' which instantiates (i.e., don't
+    # use $as_me), people would be surprised to read:
+    #    /* config.h.  Generated by config.status.  */
+    configure_input='Generated from '`
+         $as_echo "$*" | sed 's|^[^:]*/||;s|:[^:]*/|, |g'
+       `' by configure.'
+    if test x"$ac_file" != x-; then
+      configure_input="$ac_file.  $configure_input"
+      { $as_echo "$as_me:$LINENO: creating $ac_file" >&5
+$as_echo "$as_me: creating $ac_file" >&6;}
+    fi
+    # Neutralize special characters interpreted by sed in replacement strings.
+    case $configure_input in #(
+    *\&* | *\|* | *\\* )
+       ac_sed_conf_input=`$as_echo "$configure_input" |
+       sed 's/[\\\\&|]/\\\\&/g'`;; #(
+    *) ac_sed_conf_input=$configure_input;;
+    esac
+
+    case $ac_tag in
+    *:-:* | *:-) cat >"$tmp/stdin" \
+      || { { $as_echo "$as_me:$LINENO: error: could not create $ac_file" >&5
+$as_echo "$as_me: error: could not create $ac_file" >&2;}
+   { (exit 1); exit 1; }; } ;;
+    esac
+    ;;
+  esac
+
+  ac_dir=`$as_dirname -- "$ac_file" ||
+$as_expr X"$ac_file" : 'X\(.*[^/]\)//*[^/][^/]*/*$' \| \
+        X"$ac_file" : 'X\(//\)[^/]' \| \
+        X"$ac_file" : 'X\(//\)$' \| \
+        X"$ac_file" : 'X\(/\)' \| . 2>/dev/null ||
+$as_echo X"$ac_file" |
+    sed '/^X\(.*[^/]\)\/\/*[^/][^/]*\/*$/{
+           s//\1/
+           q
+         }
+         /^X\(\/\/\)[^/].*/{
+           s//\1/
+           q
+         }
+         /^X\(\/\/\)$/{
+           s//\1/
+           q
+         }
+         /^X\(\/\).*/{
+           s//\1/
+           q
+         }
+         s/.*/./; q'`
+  { as_dir="$ac_dir"
+  case $as_dir in #(
+  -*) as_dir=./$as_dir;;
+  esac
+  test -d "$as_dir" || { $as_mkdir_p && mkdir -p "$as_dir"; } || {
+    as_dirs=
+    while :; do
+      case $as_dir in #(
+      *\'*) as_qdir=`$as_echo "$as_dir" | sed "s/'/'\\\\\\\\''/g"`;; #'(
+      *) as_qdir=$as_dir;;
+      esac
+      as_dirs="'$as_qdir' $as_dirs"
+      as_dir=`$as_dirname -- "$as_dir" ||
+$as_expr X"$as_dir" : 'X\(.*[^/]\)//*[^/][^/]*/*$' \| \
+        X"$as_dir" : 'X\(//\)[^/]' \| \
+        X"$as_dir" : 'X\(//\)$' \| \
+        X"$as_dir" : 'X\(/\)' \| . 2>/dev/null ||
+$as_echo X"$as_dir" |
+    sed '/^X\(.*[^/]\)\/\/*[^/][^/]*\/*$/{
+           s//\1/
+           q
+         }
+         /^X\(\/\/\)[^/].*/{
+           s//\1/
+           q
+         }
+         /^X\(\/\/\)$/{
+           s//\1/
+           q
+         }
+         /^X\(\/\).*/{
+           s//\1/
+           q
+         }
+         s/.*/./; q'`
+      test -d "$as_dir" && break
+    done
+    test -z "$as_dirs" || eval "mkdir $as_dirs"
+  } || test -d "$as_dir" || { { $as_echo "$as_me:$LINENO: error: cannot create directory $as_dir" >&5
+$as_echo "$as_me: error: cannot create directory $as_dir" >&2;}
+   { (exit 1); exit 1; }; }; }
+  ac_builddir=.
+
+case "$ac_dir" in
+.) ac_dir_suffix= ac_top_builddir_sub=. ac_top_build_prefix= ;;
+*)
+  ac_dir_suffix=/`$as_echo "$ac_dir" | sed 's|^\.[\\/]||'`
+  # A ".." for each directory in $ac_dir_suffix.
+  ac_top_builddir_sub=`$as_echo "$ac_dir_suffix" | sed 's|/[^\\/]*|/..|g;s|/||'`
+  case $ac_top_builddir_sub in
+  "") ac_top_builddir_sub=. ac_top_build_prefix= ;;
+  *)  ac_top_build_prefix=$ac_top_builddir_sub/ ;;
+  esac ;;
+esac
+ac_abs_top_builddir=$ac_pwd
+ac_abs_builddir=$ac_pwd$ac_dir_suffix
+# for backward compatibility:
+ac_top_builddir=$ac_top_build_prefix
+
+case $srcdir in
+  .)  # We are building in place.
+    ac_srcdir=.
+    ac_top_srcdir=$ac_top_builddir_sub
+    ac_abs_top_srcdir=$ac_pwd ;;
+  [\\/]* | ?:[\\/]* )  # Absolute name.
+    ac_srcdir=$srcdir$ac_dir_suffix;
+    ac_top_srcdir=$srcdir
+    ac_abs_top_srcdir=$srcdir ;;
+  *) # Relative name.
+    ac_srcdir=$ac_top_build_prefix$srcdir$ac_dir_suffix
+    ac_top_srcdir=$ac_top_build_prefix$srcdir
+    ac_abs_top_srcdir=$ac_pwd/$srcdir ;;
+esac
+ac_abs_srcdir=$ac_abs_top_srcdir$ac_dir_suffix
+
+
+  case $ac_mode in
+  :F)
+  #
+  # CONFIG_FILE
+  #
+
+  case $INSTALL in
+  [\\/$]* | ?:[\\/]* ) ac_INSTALL=$INSTALL ;;
+  *) ac_INSTALL=$ac_top_build_prefix$INSTALL ;;
+  esac
+  ac_MKDIR_P=$MKDIR_P
+  case $MKDIR_P in
+  [\\/$]* | ?:[\\/]* ) ;;
+  */*) ac_MKDIR_P=$ac_top_build_prefix$MKDIR_P ;;
+  esac
+_ACEOF
+
+cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
+# If the template does not know about datarootdir, expand it.
+# FIXME: This hack should be removed a few years after 2.60.
+ac_datarootdir_hack=; ac_datarootdir_seen=
+
+ac_sed_dataroot='
+/datarootdir/ {
+  p
+  q
+}
+/@datadir@/p
+/@docdir@/p
+/@infodir@/p
+/@localedir@/p
+/@mandir@/p
+'
+case `eval "sed -n \"\$ac_sed_dataroot\" $ac_file_inputs"` in
+*datarootdir*) ac_datarootdir_seen=yes;;
+*@datadir@*|*@docdir@*|*@infodir@*|*@localedir@*|*@mandir@*)
+  { $as_echo "$as_me:$LINENO: WARNING: $ac_file_inputs seems to ignore the --datarootdir setting" >&5
+$as_echo "$as_me: WARNING: $ac_file_inputs seems to ignore the --datarootdir setting" >&2;}
+_ACEOF
+cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
+  ac_datarootdir_hack='
+  s&@datadir@&$datadir&g
+  s&@docdir@&$docdir&g
+  s&@infodir@&$infodir&g
+  s&@localedir@&$localedir&g
+  s&@mandir@&$mandir&g
+    s&\\\${datarootdir}&$datarootdir&g' ;;
+esac
+_ACEOF
+
+# Neutralize VPATH when `$srcdir' = `.'.
+# Shell code in configure.ac might set extrasub.
+# FIXME: do we really want to maintain this feature?
+cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
+ac_sed_extra="$ac_vpsub
+$extrasub
+_ACEOF
+cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
+:t
+/@[a-zA-Z_][a-zA-Z_0-9]*@/!b
+s|@configure_input@|$ac_sed_conf_input|;t t
+s&@top_builddir@&$ac_top_builddir_sub&;t t
+s&@top_build_prefix@&$ac_top_build_prefix&;t t
+s&@srcdir@&$ac_srcdir&;t t
+s&@abs_srcdir@&$ac_abs_srcdir&;t t
+s&@top_srcdir@&$ac_top_srcdir&;t t
+s&@abs_top_srcdir@&$ac_abs_top_srcdir&;t t
+s&@builddir@&$ac_builddir&;t t
+s&@abs_builddir@&$ac_abs_builddir&;t t
+s&@abs_top_builddir@&$ac_abs_top_builddir&;t t
+s&@INSTALL@&$ac_INSTALL&;t t
+s&@MKDIR_P@&$ac_MKDIR_P&;t t
+$ac_datarootdir_hack
+"
+eval sed \"\$ac_sed_extra\" "$ac_file_inputs" | $AWK -f "$tmp/subs.awk" >$tmp/out \
+  || { { $as_echo "$as_me:$LINENO: error: could not create $ac_file" >&5
+$as_echo "$as_me: error: could not create $ac_file" >&2;}
+   { (exit 1); exit 1; }; }
+
+test -z "$ac_datarootdir_hack$ac_datarootdir_seen" &&
+  { ac_out=`sed -n '/\${datarootdir}/p' "$tmp/out"`; test -n "$ac_out"; } &&
+  { ac_out=`sed -n '/^[         ]*datarootdir[  ]*:*=/p' "$tmp/out"`; test -z "$ac_out"; } &&
+  { $as_echo "$as_me:$LINENO: WARNING: $ac_file contains a reference to the variable \`datarootdir'
+which seems to be undefined.  Please make sure it is defined." >&5
+$as_echo "$as_me: WARNING: $ac_file contains a reference to the variable \`datarootdir'
+which seems to be undefined.  Please make sure it is defined." >&2;}
+
+  rm -f "$tmp/stdin"
+  case $ac_file in
+  -) cat "$tmp/out" && rm -f "$tmp/out";;
+  *) rm -f "$ac_file" && mv "$tmp/out" "$ac_file";;
+  esac \
+  || { { $as_echo "$as_me:$LINENO: error: could not create $ac_file" >&5
+$as_echo "$as_me: error: could not create $ac_file" >&2;}
+   { (exit 1); exit 1; }; }
+ ;;
+  :H)
+  #
+  # CONFIG_HEADER
+  #
+  if test x"$ac_file" != x-; then
+    {
+      $as_echo "/* $configure_input  */" \
+      && eval '$AWK -f "$tmp/defines.awk"' "$ac_file_inputs"
+    } >"$tmp/config.h" \
+      || { { $as_echo "$as_me:$LINENO: error: could not create $ac_file" >&5
+$as_echo "$as_me: error: could not create $ac_file" >&2;}
+   { (exit 1); exit 1; }; }
+    if diff "$ac_file" "$tmp/config.h" >/dev/null 2>&1; then
+      { $as_echo "$as_me:$LINENO: $ac_file is unchanged" >&5
+$as_echo "$as_me: $ac_file is unchanged" >&6;}
+    else
+      rm -f "$ac_file"
+      mv "$tmp/config.h" "$ac_file" \
+       || { { $as_echo "$as_me:$LINENO: error: could not create $ac_file" >&5
+$as_echo "$as_me: error: could not create $ac_file" >&2;}
+   { (exit 1); exit 1; }; }
+    fi
+  else
+    $as_echo "/* $configure_input  */" \
+      && eval '$AWK -f "$tmp/defines.awk"' "$ac_file_inputs" \
+      || { { $as_echo "$as_me:$LINENO: error: could not create -" >&5
+$as_echo "$as_me: error: could not create -" >&2;}
+   { (exit 1); exit 1; }; }
+  fi
+# Compute "$ac_file"'s index in $config_headers.
+_am_arg="$ac_file"
+_am_stamp_count=1
+for _am_header in $config_headers :; do
+  case $_am_header in
+    $_am_arg | $_am_arg:* )
+      break ;;
+    * )
+      _am_stamp_count=`expr $_am_stamp_count + 1` ;;
+  esac
+done
+echo "timestamp for $_am_arg" >`$as_dirname -- "$_am_arg" ||
+$as_expr X"$_am_arg" : 'X\(.*[^/]\)//*[^/][^/]*/*$' \| \
+        X"$_am_arg" : 'X\(//\)[^/]' \| \
+        X"$_am_arg" : 'X\(//\)$' \| \
+        X"$_am_arg" : 'X\(/\)' \| . 2>/dev/null ||
+$as_echo X"$_am_arg" |
+    sed '/^X\(.*[^/]\)\/\/*[^/][^/]*\/*$/{
+           s//\1/
+           q
+         }
+         /^X\(\/\/\)[^/].*/{
+           s//\1/
+           q
+         }
+         /^X\(\/\/\)$/{
+           s//\1/
+           q
+         }
+         /^X\(\/\).*/{
+           s//\1/
+           q
+         }
+         s/.*/./; q'`/stamp-h$_am_stamp_count
+ ;;
+
+  :C)  { $as_echo "$as_me:$LINENO: executing $ac_file commands" >&5
+$as_echo "$as_me: executing $ac_file commands" >&6;}
+ ;;
+  esac
+
+
+  case $ac_file$ac_mode in
+    "depfiles":C) test x"$AMDEP_TRUE" != x"" || {
+  # Autoconf 2.62 quotes --file arguments for eval, but not when files
+  # are listed without --file.  Let's play safe and only enable the eval
+  # if we detect the quoting.
+  case $CONFIG_FILES in
+  *\'*) eval set x "$CONFIG_FILES" ;;
+  *)   set x $CONFIG_FILES ;;
+  esac
+  shift
+  for mf
+  do
+    # Strip MF so we end up with the name of the file.
+    mf=`echo "$mf" | sed -e 's/:.*$//'`
+    # Check whether this is an Automake generated Makefile or not.
+    # We used to match only the files named `Makefile.in', but
+    # some people rename them; so instead we look at the file content.
+    # Grep'ing the first line is not enough: some people post-process
+    # each Makefile.in and add a new line on top of each file to say so.
+    # Grep'ing the whole file is not good either: AIX grep has a line
+    # limit of 2048, but all sed's we know have understand at least 4000.
+    if sed -n 's,^#.*generated by automake.*,X,p' "$mf" | grep X >/dev/null 2>&1; then
+      dirpart=`$as_dirname -- "$mf" ||
+$as_expr X"$mf" : 'X\(.*[^/]\)//*[^/][^/]*/*$' \| \
+        X"$mf" : 'X\(//\)[^/]' \| \
+        X"$mf" : 'X\(//\)$' \| \
+        X"$mf" : 'X\(/\)' \| . 2>/dev/null ||
+$as_echo X"$mf" |
+    sed '/^X\(.*[^/]\)\/\/*[^/][^/]*\/*$/{
+           s//\1/
+           q
+         }
+         /^X\(\/\/\)[^/].*/{
+           s//\1/
+           q
+         }
+         /^X\(\/\/\)$/{
+           s//\1/
+           q
+         }
+         /^X\(\/\).*/{
+           s//\1/
+           q
+         }
+         s/.*/./; q'`
+    else
+      continue
+    fi
+    # Extract the definition of DEPDIR, am__include, and am__quote
+    # from the Makefile without running `make'.
+    DEPDIR=`sed -n 's/^DEPDIR = //p' < "$mf"`
+    test -z "$DEPDIR" && continue
+    am__include=`sed -n 's/^am__include = //p' < "$mf"`
+    test -z "am__include" && continue
+    am__quote=`sed -n 's/^am__quote = //p' < "$mf"`
+    # When using ansi2knr, U may be empty or an underscore; expand it
+    U=`sed -n 's/^U = //p' < "$mf"`
+    # Find all dependency output files, they are included files with
+    # $(DEPDIR) in their names.  We invoke sed twice because it is the
+    # simplest approach to changing $(DEPDIR) to its actual value in the
+    # expansion.
+    for file in `sed -n "
+      s/^$am__include $am__quote\(.*(DEPDIR).*\)$am__quote"'$/\1/p' <"$mf" | \
+        sed -e 's/\$(DEPDIR)/'"$DEPDIR"'/g' -e 's/\$U/'"$U"'/g'`; do
+      # Make sure the directory exists.
+      test -f "$dirpart/$file" && continue
+      fdir=`$as_dirname -- "$file" ||
+$as_expr X"$file" : 'X\(.*[^/]\)//*[^/][^/]*/*$' \| \
+        X"$file" : 'X\(//\)[^/]' \| \
+        X"$file" : 'X\(//\)$' \| \
+        X"$file" : 'X\(/\)' \| . 2>/dev/null ||
+$as_echo X"$file" |
+    sed '/^X\(.*[^/]\)\/\/*[^/][^/]*\/*$/{
+           s//\1/
+           q
+         }
+         /^X\(\/\/\)[^/].*/{
+           s//\1/
+           q
+         }
+         /^X\(\/\/\)$/{
+           s//\1/
+           q
+         }
+         /^X\(\/\).*/{
+           s//\1/
+           q
+         }
+         s/.*/./; q'`
+      { as_dir=$dirpart/$fdir
+  case $as_dir in #(
+  -*) as_dir=./$as_dir;;
+  esac
+  test -d "$as_dir" || { $as_mkdir_p && mkdir -p "$as_dir"; } || {
+    as_dirs=
+    while :; do
+      case $as_dir in #(
+      *\'*) as_qdir=`$as_echo "$as_dir" | sed "s/'/'\\\\\\\\''/g"`;; #'(
+      *) as_qdir=$as_dir;;
+      esac
+      as_dirs="'$as_qdir' $as_dirs"
+      as_dir=`$as_dirname -- "$as_dir" ||
+$as_expr X"$as_dir" : 'X\(.*[^/]\)//*[^/][^/]*/*$' \| \
+        X"$as_dir" : 'X\(//\)[^/]' \| \
+        X"$as_dir" : 'X\(//\)$' \| \
+        X"$as_dir" : 'X\(/\)' \| . 2>/dev/null ||
+$as_echo X"$as_dir" |
+    sed '/^X\(.*[^/]\)\/\/*[^/][^/]*\/*$/{
+           s//\1/
+           q
+         }
+         /^X\(\/\/\)[^/].*/{
+           s//\1/
+           q
+         }
+         /^X\(\/\/\)$/{
+           s//\1/
+           q
+         }
+         /^X\(\/\).*/{
+           s//\1/
+           q
+         }
+         s/.*/./; q'`
+      test -d "$as_dir" && break
+    done
+    test -z "$as_dirs" || eval "mkdir $as_dirs"
+  } || test -d "$as_dir" || { { $as_echo "$as_me:$LINENO: error: cannot create directory $as_dir" >&5
+$as_echo "$as_me: error: cannot create directory $as_dir" >&2;}
+   { (exit 1); exit 1; }; }; }
+      # echo "creating $dirpart/$file"
+      echo '# dummy' > "$dirpart/$file"
+    done
+  done
+}
+ ;;
+    "libtool":C)
+
+    # See if we are running on zsh, and set the options which allow our
+    # commands through without removal of \ escapes.
+    if test -n "${ZSH_VERSION+set}" ; then
+      setopt NO_GLOB_SUBST
+    fi
+
+    cfgfile="${ofile}T"
+    trap "$RM \"$cfgfile\"; exit 1" 1 2 15
+    $RM "$cfgfile"
+
+    cat <<_LT_EOF >> "$cfgfile"
+#! $SHELL
+
+# `$ECHO "$ofile" | sed 's%^.*/%%'` - Provide generalized library-building support services.
+# Generated automatically by $as_me ($PACKAGE$TIMESTAMP) $VERSION
+# Libtool was configured on host `(hostname || uname -n) 2>/dev/null | sed 1q`:
+# NOTE: Changes made to this file will be lost: look at ltmain.sh.
+#
+#   Copyright (C) 1996, 1997, 1998, 1999, 2000, 2001, 2003, 2004, 2005,
+#                 2006, 2007, 2008 Free Software Foundation, Inc.
+#   Written by Gordon Matzigkeit, 1996
+#
+#   This file is part of GNU Libtool.
+#
+# GNU Libtool is free software; you can redistribute it and/or
+# modify it under the terms of the GNU General Public License as
+# published by the Free Software Foundation; either version 2 of
+# the License, or (at your option) any later version.
+#
+# As a special exception to the GNU General Public License,
+# if you distribute this file as part of a program or library that
+# is built using GNU Libtool, you may include this file under the
+# same distribution terms that you use for the rest of that program.
+#
+# GNU Libtool is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+#
+# You should have received a copy of the GNU General Public License
+# along with GNU Libtool; see the file COPYING.  If not, a copy
+# can be downloaded from http://www.gnu.org/licenses/gpl.html, or
+# obtained by writing to the Free Software Foundation, Inc.,
+# 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301, USA.
+
+
+# The names of the tagged configurations supported by this script.
+available_tags="CXX F77 "
+
+# ### BEGIN LIBTOOL CONFIG
+
+# Assembler program.
+AS=$AS
+
+# DLL creation program.
+DLLTOOL=$DLLTOOL
+
+# Object dumper program.
+OBJDUMP=$OBJDUMP
+
+# Whether or not to build shared libraries.
+build_libtool_libs=$enable_shared
+
+# Whether or not to build static libraries.
+build_old_libs=$enable_static
+
+# Which release of libtool.m4 was used?
+macro_version=$macro_version
+macro_revision=$macro_revision
+
+# What type of objects to build.
+pic_mode=$pic_mode
+
+# Whether or not to optimize for fast installation.
+fast_install=$enable_fast_install
+
+# The host system.
+host_alias=$host_alias
+host=$host
+host_os=$host_os
+
+# The build system.
+build_alias=$build_alias
+build=$build
+build_os=$build_os
+
+# A sed program that does not truncate output.
+SED=$lt_SED
+
+# Sed that helps us avoid accidentally triggering echo(1) options like -n.
+Xsed="\$SED -e 1s/^X//"
+
+# A grep program that handles long lines.
+GREP=$lt_GREP
+
+# An ERE matcher.
+EGREP=$lt_EGREP
+
+# A literal string matcher.
+FGREP=$lt_FGREP
+
+# A BSD- or MS-compatible name lister.
+NM=$lt_NM
+
+# Whether we need soft or hard links.
+LN_S=$lt_LN_S
+
+# What is the maximum length of a command?
+max_cmd_len=$max_cmd_len
+
+# Object file suffix (normally "o").
+objext=$ac_objext
+
+# Executable file suffix (normally "").
+exeext=$exeext
+
+# whether the shell understands "unset".
+lt_unset=$lt_unset
+
+# turn spaces into newlines.
+SP2NL=$lt_lt_SP2NL
+
+# turn newlines into spaces.
+NL2SP=$lt_lt_NL2SP
+
+# How to create reloadable object files.
+reload_flag=$lt_reload_flag
+reload_cmds=$lt_reload_cmds
+
+# Method to check whether dependent libraries are shared objects.
+deplibs_check_method=$lt_deplibs_check_method
+
+# Command to use when deplibs_check_method == "file_magic".
+file_magic_cmd=$lt_file_magic_cmd
+
+# The archiver.
+AR=$lt_AR
+AR_FLAGS=$lt_AR_FLAGS
+
+# A symbol stripping program.
+STRIP=$lt_STRIP
+
+# Commands used to install an old-style archive.
+RANLIB=$lt_RANLIB
+old_postinstall_cmds=$lt_old_postinstall_cmds
+old_postuninstall_cmds=$lt_old_postuninstall_cmds
+
+# A C compiler.
+LTCC=$lt_CC
+
+# LTCC compiler flags.
+LTCFLAGS=$lt_CFLAGS
+
+# Take the output of nm and produce a listing of raw symbols and C names.
+global_symbol_pipe=$lt_lt_cv_sys_global_symbol_pipe
+
+# Transform the output of nm in a proper C declaration.
+global_symbol_to_cdecl=$lt_lt_cv_sys_global_symbol_to_cdecl
+
+# Transform the output of nm in a C name address pair.
+global_symbol_to_c_name_address=$lt_lt_cv_sys_global_symbol_to_c_name_address
+
+# Transform the output of nm in a C name address pair when lib prefix is needed.
+global_symbol_to_c_name_address_lib_prefix=$lt_lt_cv_sys_global_symbol_to_c_name_address_lib_prefix
+
+# The name of the directory that contains temporary libtool files.
+objdir=$objdir
+
+# Shell to use when invoking shell scripts.
+SHELL=$lt_SHELL
+
+# An echo program that does not interpret backslashes.
+ECHO=$lt_ECHO
+
+# Used to examine libraries when file_magic_cmd begins with "file".
+MAGIC_CMD=$MAGIC_CMD
+
+# Must we lock files when doing compilation?
+need_locks=$lt_need_locks
+
+# Tool to manipulate archived DWARF debug symbol files on Mac OS X.
+DSYMUTIL=$lt_DSYMUTIL
+
+# Tool to change global to local symbols on Mac OS X.
+NMEDIT=$lt_NMEDIT
+
+# Tool to manipulate fat objects and archives on Mac OS X.
+LIPO=$lt_LIPO
+
+# ldd/readelf like tool for Mach-O binaries on Mac OS X.
+OTOOL=$lt_OTOOL
+
+# ldd/readelf like tool for 64 bit Mach-O binaries on Mac OS X 10.4.
+OTOOL64=$lt_OTOOL64
+
+# Old archive suffix (normally "a").
+libext=$libext
+
+# Shared library suffix (normally ".so").
+shrext_cmds=$lt_shrext_cmds
+
+# The commands to extract the exported symbol list from a shared archive.
+extract_expsyms_cmds=$lt_extract_expsyms_cmds
+
+# Variables whose values should be saved in libtool wrapper scripts and
+# restored at link time.
+variables_saved_for_relink=$lt_variables_saved_for_relink
+
+# Do we need the "lib" prefix for modules?
+need_lib_prefix=$need_lib_prefix
+
+# Do we need a version for libraries?
+need_version=$need_version
+
+# Library versioning type.
+version_type=$version_type
+
+# Shared library runtime path variable.
+runpath_var=$runpath_var
+
+# Shared library path variable.
+shlibpath_var=$shlibpath_var
+
+# Is shlibpath searched before the hard-coded library search path?
+shlibpath_overrides_runpath=$shlibpath_overrides_runpath
+
+# Format of library name prefix.
+libname_spec=$lt_libname_spec
+
+# List of archive names.  First name is the real one, the rest are links.
+# The last name is the one that the linker finds with -lNAME
+library_names_spec=$lt_library_names_spec
+
+# The coded name of the library, if different from the real name.
+soname_spec=$lt_soname_spec
+
+# Command to use after installation of a shared archive.
+postinstall_cmds=$lt_postinstall_cmds
+
+# Command to use after uninstallation of a shared archive.
+postuninstall_cmds=$lt_postuninstall_cmds
+
+# Commands used to finish a libtool library installation in a directory.
+finish_cmds=$lt_finish_cmds
+
+# As "finish_cmds", except a single script fragment to be evaled but
+# not shown.
+finish_eval=$lt_finish_eval
+
+# Whether we should hardcode library paths into libraries.
+hardcode_into_libs=$hardcode_into_libs
+
+# Compile-time system search path for libraries.
+sys_lib_search_path_spec=$lt_sys_lib_search_path_spec
+
+# Run-time system search path for libraries.
+sys_lib_dlsearch_path_spec=$lt_sys_lib_dlsearch_path_spec
+
+# Whether dlopen is supported.
+dlopen_support=$enable_dlopen
+
+# Whether dlopen of programs is supported.
+dlopen_self=$enable_dlopen_self
+
+# Whether dlopen of statically linked programs is supported.
+dlopen_self_static=$enable_dlopen_self_static
+
+# Commands to strip libraries.
+old_striplib=$lt_old_striplib
+striplib=$lt_striplib
+
+
+# The linker used to build libraries.
+LD=$lt_LD
+
+# Commands used to build an old-style archive.
+old_archive_cmds=$lt_old_archive_cmds
+
+# A language specific compiler.
+CC=$lt_compiler
+
+# Is the compiler the GNU compiler?
+with_gcc=$GCC
+
+# Compiler flag to turn off builtin functions.
+no_builtin_flag=$lt_lt_prog_compiler_no_builtin_flag
+
+# How to pass a linker flag through the compiler.
+wl=$lt_lt_prog_compiler_wl
+
+# Additional compiler flags for building library objects.
+pic_flag=$lt_lt_prog_compiler_pic
+
+# Compiler flag to prevent dynamic linking.
+link_static_flag=$lt_lt_prog_compiler_static
+
+# Does compiler simultaneously support -c and -o options?
+compiler_c_o=$lt_lt_cv_prog_compiler_c_o
+
+# Whether or not to add -lc for building shared libraries.
+build_libtool_need_lc=$archive_cmds_need_lc
+
+# Whether or not to disallow shared libs when runtime libs are static.
+allow_libtool_libs_with_static_runtimes=$enable_shared_with_static_runtimes
+
+# Compiler flag to allow reflexive dlopens.
+export_dynamic_flag_spec=$lt_export_dynamic_flag_spec
+
+# Compiler flag to generate shared objects directly from archives.
+whole_archive_flag_spec=$lt_whole_archive_flag_spec
+
+# Whether the compiler copes with passing no objects directly.
+compiler_needs_object=$lt_compiler_needs_object
+
+# Create an old-style archive from a shared archive.
+old_archive_from_new_cmds=$lt_old_archive_from_new_cmds
+
+# Create a temporary old-style archive to link instead of a shared archive.
+old_archive_from_expsyms_cmds=$lt_old_archive_from_expsyms_cmds
+
+# Commands used to build a shared archive.
+archive_cmds=$lt_archive_cmds
+archive_expsym_cmds=$lt_archive_expsym_cmds
+
+# Commands used to build a loadable module if different from building
+# a shared archive.
+module_cmds=$lt_module_cmds
+module_expsym_cmds=$lt_module_expsym_cmds
+
+# Whether we are building with GNU ld or not.
+with_gnu_ld=$lt_with_gnu_ld
+
+# Flag that allows shared libraries with undefined symbols to be built.
+allow_undefined_flag=$lt_allow_undefined_flag
+
+# Flag that enforces no undefined symbols.
+no_undefined_flag=$lt_no_undefined_flag
+
+# Flag to hardcode \$libdir into a binary during linking.
+# This must work even if \$libdir does not exist
+hardcode_libdir_flag_spec=$lt_hardcode_libdir_flag_spec
+
+# If ld is used when linking, flag to hardcode \$libdir into a binary
+# during linking.  This must work even if \$libdir does not exist.
+hardcode_libdir_flag_spec_ld=$lt_hardcode_libdir_flag_spec_ld
+
+# Whether we need a single "-rpath" flag with a separated argument.
+hardcode_libdir_separator=$lt_hardcode_libdir_separator
+
+# Set to "yes" if using DIR/libNAME\${shared_ext} during linking hardcodes
+# DIR into the resulting binary.
+hardcode_direct=$hardcode_direct
+
+# Set to "yes" if using DIR/libNAME\${shared_ext} during linking hardcodes
+# DIR into the resulting binary and the resulting library dependency is
+# "absolute",i.e impossible to change by setting \${shlibpath_var} if the
+# library is relocated.
+hardcode_direct_absolute=$hardcode_direct_absolute
+
+# Set to "yes" if using the -LDIR flag during linking hardcodes DIR
+# into the resulting binary.
+hardcode_minus_L=$hardcode_minus_L
+
+# Set to "yes" if using SHLIBPATH_VAR=DIR during linking hardcodes DIR
+# into the resulting binary.
+hardcode_shlibpath_var=$hardcode_shlibpath_var
+
+# Set to "yes" if building a shared library automatically hardcodes DIR
+# into the library and all subsequent libraries and executables linked
+# against it.
+hardcode_automatic=$hardcode_automatic
+
+# Set to yes if linker adds runtime paths of dependent libraries
+# to runtime path list.
+inherit_rpath=$inherit_rpath
+
+# Whether libtool must link a program against all its dependency libraries.
+link_all_deplibs=$link_all_deplibs
+
+# Fix the shell variable \$srcfile for the compiler.
+fix_srcfile_path=$lt_fix_srcfile_path
+
+# Set to "yes" if exported symbols are required.
+always_export_symbols=$always_export_symbols
+
+# The commands to list exported symbols.
+export_symbols_cmds=$lt_export_symbols_cmds
+
+# Symbols that should not be listed in the preloaded symbols.
+exclude_expsyms=$lt_exclude_expsyms
+
+# Symbols that must always be exported.
+include_expsyms=$lt_include_expsyms
+
+# Commands necessary for linking programs (against libraries) with templates.
+prelink_cmds=$lt_prelink_cmds
+
+# Specify filename containing input files.
+file_list_spec=$lt_file_list_spec
+
+# How to hardcode a shared library path into an executable.
+hardcode_action=$hardcode_action
+
+# The directories searched by this compiler when creating a shared library.
+compiler_lib_search_dirs=$lt_compiler_lib_search_dirs
+
+# Dependencies to place before and after the objects being linked to
+# create a shared library.
+predep_objects=$lt_predep_objects
+postdep_objects=$lt_postdep_objects
+predeps=$lt_predeps
+postdeps=$lt_postdeps
+
+# The library search path used internally by the compiler when linking
+# a shared library.
+compiler_lib_search_path=$lt_compiler_lib_search_path
+
+# ### END LIBTOOL CONFIG
+
+_LT_EOF
+
+  case $host_os in
+  aix3*)
+    cat <<\_LT_EOF >> "$cfgfile"
+# AIX sometimes has problems with the GCC collect2 program.  For some
+# reason, if we set the COLLECT_NAMES environment variable, the problems
+# vanish in a puff of smoke.
+if test "X${COLLECT_NAMES+set}" != Xset; then
+  COLLECT_NAMES=
+  export COLLECT_NAMES
+fi
+_LT_EOF
+    ;;
+  esac
+
+
+ltmain="$ac_aux_dir/ltmain.sh"
+
+
+  # We use sed instead of cat because bash on DJGPP gets confused if
+  # if finds mixed CR/LF and LF-only lines.  Since sed operates in
+  # text mode, it properly converts lines to CR/LF.  This bash problem
+  # is reportedly fixed, but why not run on old versions too?
+  sed '/^# Generated shell functions inserted here/q' "$ltmain" >> "$cfgfile" \
+    || (rm -f "$cfgfile"; exit 1)
+
+  case $xsi_shell in
+  yes)
+    cat << \_LT_EOF >> "$cfgfile"
+
+# func_dirname file append nondir_replacement
+# Compute the dirname of FILE.  If nonempty, add APPEND to the result,
+# otherwise set result to NONDIR_REPLACEMENT.
+func_dirname ()
+{
+  case ${1} in
+    */*) func_dirname_result="${1%/*}${2}" ;;
+    *  ) func_dirname_result="${3}" ;;
+  esac
+}
+
+# func_basename file
+func_basename ()
+{
+  func_basename_result="${1##*/}"
+}
+
+# func_dirname_and_basename file append nondir_replacement
+# perform func_basename and func_dirname in a single function
+# call:
+#   dirname:  Compute the dirname of FILE.  If nonempty,
+#             add APPEND to the result, otherwise set result
+#             to NONDIR_REPLACEMENT.
+#             value returned in "$func_dirname_result"
+#   basename: Compute filename of FILE.
+#             value retuned in "$func_basename_result"
+# Implementation must be kept synchronized with func_dirname
+# and func_basename. For efficiency, we do not delegate to
+# those functions but instead duplicate the functionality here.
+func_dirname_and_basename ()
+{
+  case ${1} in
+    */*) func_dirname_result="${1%/*}${2}" ;;
+    *  ) func_dirname_result="${3}" ;;
+  esac
+  func_basename_result="${1##*/}"
+}
+
+# func_stripname prefix suffix name
+# strip PREFIX and SUFFIX off of NAME.
+# PREFIX and SUFFIX must not contain globbing or regex special
+# characters, hashes, percent signs, but SUFFIX may contain a leading
+# dot (in which case that matches only a dot).
+func_stripname ()
+{
+  # pdksh 5.2.14 does not do ${X%$Y} correctly if both X and Y are
+  # positional parameters, so assign one to ordinary parameter first.
+  func_stripname_result=${3}
+  func_stripname_result=${func_stripname_result#"${1}"}
+  func_stripname_result=${func_stripname_result%"${2}"}
+}
+
+# func_opt_split
+func_opt_split ()
+{
+  func_opt_split_opt=${1%%=*}
+  func_opt_split_arg=${1#*=}
+}
+
+# func_lo2o object
+func_lo2o ()
+{
+  case ${1} in
+    *.lo) func_lo2o_result=${1%.lo}.${objext} ;;
+    *)    func_lo2o_result=${1} ;;
+  esac
+}
+
+# func_xform libobj-or-source
+func_xform ()
+{
+  func_xform_result=${1%.*}.lo
+}
+
+# func_arith arithmetic-term...
+func_arith ()
+{
+  func_arith_result=$(( $* ))
+}
+
+# func_len string
+# STRING may not start with a hyphen.
+func_len ()
+{
+  func_len_result=${#1}
+}
+
+_LT_EOF
+    ;;
+  *) # Bourne compatible functions.
+    cat << \_LT_EOF >> "$cfgfile"
+
+# func_dirname file append nondir_replacement
+# Compute the dirname of FILE.  If nonempty, add APPEND to the result,
+# otherwise set result to NONDIR_REPLACEMENT.
+func_dirname ()
+{
+  # Extract subdirectory from the argument.
+  func_dirname_result=`$ECHO "X${1}" | $Xsed -e "$dirname"`
+  if test "X$func_dirname_result" = "X${1}"; then
+    func_dirname_result="${3}"
+  else
+    func_dirname_result="$func_dirname_result${2}"
+  fi
+}
+
+# func_basename file
+func_basename ()
+{
+  func_basename_result=`$ECHO "X${1}" | $Xsed -e "$basename"`
+}
+
+
+# func_stripname prefix suffix name
+# strip PREFIX and SUFFIX off of NAME.
+# PREFIX and SUFFIX must not contain globbing or regex special
+# characters, hashes, percent signs, but SUFFIX may contain a leading
+# dot (in which case that matches only a dot).
+# func_strip_suffix prefix name
+func_stripname ()
+{
+  case ${2} in
+    .*) func_stripname_result=`$ECHO "X${3}" \
+           | $Xsed -e "s%^${1}%%" -e "s%\\\\${2}\$%%"`;;
+    *)  func_stripname_result=`$ECHO "X${3}" \
+           | $Xsed -e "s%^${1}%%" -e "s%${2}\$%%"`;;
+  esac
+}
+
+# sed scripts:
+my_sed_long_opt='1s/^\(-[^=]*\)=.*/\1/;q'
+my_sed_long_arg='1s/^-[^=]*=//'
+
+# func_opt_split
+func_opt_split ()
+{
+  func_opt_split_opt=`$ECHO "X${1}" | $Xsed -e "$my_sed_long_opt"`
+  func_opt_split_arg=`$ECHO "X${1}" | $Xsed -e "$my_sed_long_arg"`
+}
+
+# func_lo2o object
+func_lo2o ()
+{
+  func_lo2o_result=`$ECHO "X${1}" | $Xsed -e "$lo2o"`
+}
+
+# func_xform libobj-or-source
+func_xform ()
+{
+  func_xform_result=`$ECHO "X${1}" | $Xsed -e 's/\.[^.]*$/.lo/'`
+}
+
+# func_arith arithmetic-term...
+func_arith ()
+{
+  func_arith_result=`expr "$@"`
+}
+
+# func_len string
+# STRING may not start with a hyphen.
+func_len ()
+{
+  func_len_result=`expr "$1" : ".*" 2>/dev/null || echo $max_cmd_len`
+}
+
+_LT_EOF
+esac
+
+case $lt_shell_append in
+  yes)
+    cat << \_LT_EOF >> "$cfgfile"
+
+# func_append var value
+# Append VALUE to the end of shell variable VAR.
+func_append ()
+{
+  eval "$1+=\$2"
+}
+_LT_EOF
+    ;;
+  *)
+    cat << \_LT_EOF >> "$cfgfile"
+
+# func_append var value
+# Append VALUE to the end of shell variable VAR.
+func_append ()
+{
+  eval "$1=\$$1\$2"
+}
+
+_LT_EOF
+    ;;
+  esac
+
+
+  sed -n '/^# Generated shell functions inserted here/,$p' "$ltmain" >> "$cfgfile" \
+    || (rm -f "$cfgfile"; exit 1)
+
+  mv -f "$cfgfile" "$ofile" ||
+    (rm -f "$ofile" && cp "$cfgfile" "$ofile" && rm -f "$cfgfile")
+  chmod +x "$ofile"
+
+
+    cat <<_LT_EOF >> "$ofile"
+
+# ### BEGIN LIBTOOL TAG CONFIG: CXX
+
+# The linker used to build libraries.
+LD=$lt_LD_CXX
+
+# Commands used to build an old-style archive.
+old_archive_cmds=$lt_old_archive_cmds_CXX
+
+# A language specific compiler.
+CC=$lt_compiler_CXX
+
+# Is the compiler the GNU compiler?
+with_gcc=$GCC_CXX
+
+# Compiler flag to turn off builtin functions.
+no_builtin_flag=$lt_lt_prog_compiler_no_builtin_flag_CXX
+
+# How to pass a linker flag through the compiler.
+wl=$lt_lt_prog_compiler_wl_CXX
+
+# Additional compiler flags for building library objects.
+pic_flag=$lt_lt_prog_compiler_pic_CXX
+
+# Compiler flag to prevent dynamic linking.
+link_static_flag=$lt_lt_prog_compiler_static_CXX
+
+# Does compiler simultaneously support -c and -o options?
+compiler_c_o=$lt_lt_cv_prog_compiler_c_o_CXX
+
+# Whether or not to add -lc for building shared libraries.
+build_libtool_need_lc=$archive_cmds_need_lc_CXX
+
+# Whether or not to disallow shared libs when runtime libs are static.
+allow_libtool_libs_with_static_runtimes=$enable_shared_with_static_runtimes_CXX
+
+# Compiler flag to allow reflexive dlopens.
+export_dynamic_flag_spec=$lt_export_dynamic_flag_spec_CXX
+
+# Compiler flag to generate shared objects directly from archives.
+whole_archive_flag_spec=$lt_whole_archive_flag_spec_CXX
+
+# Whether the compiler copes with passing no objects directly.
+compiler_needs_object=$lt_compiler_needs_object_CXX
+
+# Create an old-style archive from a shared archive.
+old_archive_from_new_cmds=$lt_old_archive_from_new_cmds_CXX
+
+# Create a temporary old-style archive to link instead of a shared archive.
+old_archive_from_expsyms_cmds=$lt_old_archive_from_expsyms_cmds_CXX
+
+# Commands used to build a shared archive.
+archive_cmds=$lt_archive_cmds_CXX
+archive_expsym_cmds=$lt_archive_expsym_cmds_CXX
+
+# Commands used to build a loadable module if different from building
+# a shared archive.
+module_cmds=$lt_module_cmds_CXX
+module_expsym_cmds=$lt_module_expsym_cmds_CXX
+
+# Whether we are building with GNU ld or not.
+with_gnu_ld=$lt_with_gnu_ld_CXX
+
+# Flag that allows shared libraries with undefined symbols to be built.
+allow_undefined_flag=$lt_allow_undefined_flag_CXX
+
+# Flag that enforces no undefined symbols.
+no_undefined_flag=$lt_no_undefined_flag_CXX
+
+# Flag to hardcode \$libdir into a binary during linking.
+# This must work even if \$libdir does not exist
+hardcode_libdir_flag_spec=$lt_hardcode_libdir_flag_spec_CXX
+
+# If ld is used when linking, flag to hardcode \$libdir into a binary
+# during linking.  This must work even if \$libdir does not exist.
+hardcode_libdir_flag_spec_ld=$lt_hardcode_libdir_flag_spec_ld_CXX
+
+# Whether we need a single "-rpath" flag with a separated argument.
+hardcode_libdir_separator=$lt_hardcode_libdir_separator_CXX
+
+# Set to "yes" if using DIR/libNAME\${shared_ext} during linking hardcodes
+# DIR into the resulting binary.
+hardcode_direct=$hardcode_direct_CXX
+
+# Set to "yes" if using DIR/libNAME\${shared_ext} during linking hardcodes
+# DIR into the resulting binary and the resulting library dependency is
+# "absolute",i.e impossible to change by setting \${shlibpath_var} if the
+# library is relocated.
+hardcode_direct_absolute=$hardcode_direct_absolute_CXX
+
+# Set to "yes" if using the -LDIR flag during linking hardcodes DIR
+# into the resulting binary.
+hardcode_minus_L=$hardcode_minus_L_CXX
+
+# Set to "yes" if using SHLIBPATH_VAR=DIR during linking hardcodes DIR
+# into the resulting binary.
+hardcode_shlibpath_var=$hardcode_shlibpath_var_CXX
+
+# Set to "yes" if building a shared library automatically hardcodes DIR
+# into the library and all subsequent libraries and executables linked
+# against it.
+hardcode_automatic=$hardcode_automatic_CXX
+
+# Set to yes if linker adds runtime paths of dependent libraries
+# to runtime path list.
+inherit_rpath=$inherit_rpath_CXX
+
+# Whether libtool must link a program against all its dependency libraries.
+link_all_deplibs=$link_all_deplibs_CXX
+
+# Fix the shell variable \$srcfile for the compiler.
+fix_srcfile_path=$lt_fix_srcfile_path_CXX
+
+# Set to "yes" if exported symbols are required.
+always_export_symbols=$always_export_symbols_CXX
+
+# The commands to list exported symbols.
+export_symbols_cmds=$lt_export_symbols_cmds_CXX
+
+# Symbols that should not be listed in the preloaded symbols.
+exclude_expsyms=$lt_exclude_expsyms_CXX
+
+# Symbols that must always be exported.
+include_expsyms=$lt_include_expsyms_CXX
+
+# Commands necessary for linking programs (against libraries) with templates.
+prelink_cmds=$lt_prelink_cmds_CXX
+
+# Specify filename containing input files.
+file_list_spec=$lt_file_list_spec_CXX
+
+# How to hardcode a shared library path into an executable.
+hardcode_action=$hardcode_action_CXX
+
+# The directories searched by this compiler when creating a shared library.
+compiler_lib_search_dirs=$lt_compiler_lib_search_dirs_CXX
+
+# Dependencies to place before and after the objects being linked to
+# create a shared library.
+predep_objects=$lt_predep_objects_CXX
+postdep_objects=$lt_postdep_objects_CXX
+predeps=$lt_predeps_CXX
+postdeps=$lt_postdeps_CXX
+
+# The library search path used internally by the compiler when linking
+# a shared library.
+compiler_lib_search_path=$lt_compiler_lib_search_path_CXX
+
+# ### END LIBTOOL TAG CONFIG: CXX
+_LT_EOF
+
+
+    cat <<_LT_EOF >> "$ofile"
+
+# ### BEGIN LIBTOOL TAG CONFIG: F77
+
+# The linker used to build libraries.
+LD=$lt_LD_F77
+
+# Commands used to build an old-style archive.
+old_archive_cmds=$lt_old_archive_cmds_F77
+
+# A language specific compiler.
+CC=$lt_compiler_F77
+
+# Is the compiler the GNU compiler?
+with_gcc=$GCC_F77
+
+# Compiler flag to turn off builtin functions.
+no_builtin_flag=$lt_lt_prog_compiler_no_builtin_flag_F77
+
+# How to pass a linker flag through the compiler.
+wl=$lt_lt_prog_compiler_wl_F77
+
+# Additional compiler flags for building library objects.
+pic_flag=$lt_lt_prog_compiler_pic_F77
+
+# Compiler flag to prevent dynamic linking.
+link_static_flag=$lt_lt_prog_compiler_static_F77
+
+# Does compiler simultaneously support -c and -o options?
+compiler_c_o=$lt_lt_cv_prog_compiler_c_o_F77
+
+# Whether or not to add -lc for building shared libraries.
+build_libtool_need_lc=$archive_cmds_need_lc_F77
+
+# Whether or not to disallow shared libs when runtime libs are static.
+allow_libtool_libs_with_static_runtimes=$enable_shared_with_static_runtimes_F77
+
+# Compiler flag to allow reflexive dlopens.
+export_dynamic_flag_spec=$lt_export_dynamic_flag_spec_F77
+
+# Compiler flag to generate shared objects directly from archives.
+whole_archive_flag_spec=$lt_whole_archive_flag_spec_F77
+
+# Whether the compiler copes with passing no objects directly.
+compiler_needs_object=$lt_compiler_needs_object_F77
+
+# Create an old-style archive from a shared archive.
+old_archive_from_new_cmds=$lt_old_archive_from_new_cmds_F77
+
+# Create a temporary old-style archive to link instead of a shared archive.
+old_archive_from_expsyms_cmds=$lt_old_archive_from_expsyms_cmds_F77
+
+# Commands used to build a shared archive.
+archive_cmds=$lt_archive_cmds_F77
+archive_expsym_cmds=$lt_archive_expsym_cmds_F77
+
+# Commands used to build a loadable module if different from building
+# a shared archive.
+module_cmds=$lt_module_cmds_F77
+module_expsym_cmds=$lt_module_expsym_cmds_F77
+
+# Whether we are building with GNU ld or not.
+with_gnu_ld=$lt_with_gnu_ld_F77
+
+# Flag that allows shared libraries with undefined symbols to be built.
+allow_undefined_flag=$lt_allow_undefined_flag_F77
+
+# Flag that enforces no undefined symbols.
+no_undefined_flag=$lt_no_undefined_flag_F77
+
+# Flag to hardcode \$libdir into a binary during linking.
+# This must work even if \$libdir does not exist
+hardcode_libdir_flag_spec=$lt_hardcode_libdir_flag_spec_F77
+
+# If ld is used when linking, flag to hardcode \$libdir into a binary
+# during linking.  This must work even if \$libdir does not exist.
+hardcode_libdir_flag_spec_ld=$lt_hardcode_libdir_flag_spec_ld_F77
+
+# Whether we need a single "-rpath" flag with a separated argument.
+hardcode_libdir_separator=$lt_hardcode_libdir_separator_F77
+
+# Set to "yes" if using DIR/libNAME\${shared_ext} during linking hardcodes
+# DIR into the resulting binary.
+hardcode_direct=$hardcode_direct_F77
+
+# Set to "yes" if using DIR/libNAME\${shared_ext} during linking hardcodes
+# DIR into the resulting binary and the resulting library dependency is
+# "absolute",i.e impossible to change by setting \${shlibpath_var} if the
+# library is relocated.
+hardcode_direct_absolute=$hardcode_direct_absolute_F77
+
+# Set to "yes" if using the -LDIR flag during linking hardcodes DIR
+# into the resulting binary.
+hardcode_minus_L=$hardcode_minus_L_F77
+
+# Set to "yes" if using SHLIBPATH_VAR=DIR during linking hardcodes DIR
+# into the resulting binary.
+hardcode_shlibpath_var=$hardcode_shlibpath_var_F77
+
+# Set to "yes" if building a shared library automatically hardcodes DIR
+# into the library and all subsequent libraries and executables linked
+# against it.
+hardcode_automatic=$hardcode_automatic_F77
+
+# Set to yes if linker adds runtime paths of dependent libraries
+# to runtime path list.
+inherit_rpath=$inherit_rpath_F77
+
+# Whether libtool must link a program against all its dependency libraries.
+link_all_deplibs=$link_all_deplibs_F77
+
+# Fix the shell variable \$srcfile for the compiler.
+fix_srcfile_path=$lt_fix_srcfile_path_F77
+
+# Set to "yes" if exported symbols are required.
+always_export_symbols=$always_export_symbols_F77
+
+# The commands to list exported symbols.
+export_symbols_cmds=$lt_export_symbols_cmds_F77
+
+# Symbols that should not be listed in the preloaded symbols.
+exclude_expsyms=$lt_exclude_expsyms_F77
+
+# Symbols that must always be exported.
+include_expsyms=$lt_include_expsyms_F77
+
+# Commands necessary for linking programs (against libraries) with templates.
+prelink_cmds=$lt_prelink_cmds_F77
+
+# Specify filename containing input files.
+file_list_spec=$lt_file_list_spec_F77
+
+# How to hardcode a shared library path into an executable.
+hardcode_action=$hardcode_action_F77
+
+# The directories searched by this compiler when creating a shared library.
+compiler_lib_search_dirs=$lt_compiler_lib_search_dirs_F77
+
+# Dependencies to place before and after the objects being linked to
+# create a shared library.
+predep_objects=$lt_predep_objects_F77
+postdep_objects=$lt_postdep_objects_F77
+predeps=$lt_predeps_F77
+postdeps=$lt_postdeps_F77
+
+# The library search path used internally by the compiler when linking
+# a shared library.
+compiler_lib_search_path=$lt_compiler_lib_search_path_F77
+
+# ### END LIBTOOL TAG CONFIG: F77
+_LT_EOF
+
+ ;;
+    "run_tests_core":C) chmod +x gnuradio-core/src/python/gnuradio/gr/run_tests ;;
+    "run_tests_usrp":C) chmod +x gr-usrp/src/run_tests ;;
+    "run_tests_usrp2":C) chmod +x gr-usrp2/src/run_tests ;;
+    "run_tests_gcell":C) chmod +x gr-gcell/src/run_tests ;;
+    "run_tests_alsa":C) chmod +x gr-audio-alsa/src/run_tests ;;
+    "run_tests_jack":C) chmod +x gr-audio-jack/src/run_tests ;;
+    "run_tests_oss":C) chmod +x gr-audio-oss/src/run_tests ;;
+    "run_tests_osx":C) chmod +x gr-audio-osx/src/run_tests ;;
+    "run_tests_portaudio":C) chmod +x gr-audio-portaudio/src/run_tests ;;
+    "run_tests_audio_windows":C) chmod +x gr-audio-windows/src/run_tests ;;
+    "run_tests_cvsd":C) chmod +x gr-cvsd-vocoder/src/python/run_tests ;;
+    "run_tests_gsm":C) chmod +x gr-gsm-fr-vocoder/src/python/run_tests ;;
+    "run_tests_pager":C) chmod +x gr-pager/src/run_tests ;;
+    "run_tests_radar_mono":C) chmod +x gr-radar-mono/src/python/run_tests ;;
+    "run_tests_astronomy":C) chmod +x gr-radio-astronomy/src/python/run_tests ;;
+    "run_tests_gr_trellis":C) chmod +x gr-trellis/src/python/run_tests ;;
+    "run_tests_sdl":C) chmod +x gr-video-sdl/src/run_tests ;;
+    "run_tests_sounder":C) chmod +x gr-sounder/src/python/run_tests ;;
+    "run_tests_build":C) chmod +x run_tests.sh ;;
+
+  esac
+done # for ac_tag
+
+
+{ (exit 0); exit 0; }
+_ACEOF
+chmod +x $CONFIG_STATUS
+ac_clean_files=$ac_clean_files_save
+
+test $ac_write_fail = 0 ||
+  { { $as_echo "$as_me:$LINENO: error: write failure creating $CONFIG_STATUS" >&5
+$as_echo "$as_me: error: write failure creating $CONFIG_STATUS" >&2;}
+   { (exit 1); exit 1; }; }
+
+
+# configure is writing to config.log, and then calls config.status.
+# config.status does its own redirection, appending to config.log.
+# Unfortunately, on DOS this fails, as config.log is still kept open
+# by configure, so config.status won't be able to write to it; its
+# output is simply discarded.  So we exec the FD to /dev/null,
+# effectively closing config.log, so it can be properly (re)opened and
+# appended to by config.status.  When coming back to configure, we
+# need to make the FD available again.
+if test "$no_create" != yes; then
+  ac_cs_success=:
+  ac_config_status_args=
+  test "$silent" = yes &&
+    ac_config_status_args="$ac_config_status_args --quiet"
+  exec 5>/dev/null
+  $SHELL $CONFIG_STATUS $ac_config_status_args || ac_cs_success=false
+  exec 5>>config.log
+  # Use ||, not &&, to avoid exiting from the if with $? = 1, which
+  # would make configure fail if this is the last instruction.
+  $ac_cs_success || { (exit 1); exit 1; }
+fi
+
+#
+# CONFIG_SUBDIRS section.
+#
+if test "$no_recursion" != yes; then
+
+  # Remove --cache-file, --srcdir, and --disable-option-checking arguments
+  # so they do not pile up.
+  ac_sub_configure_args=
+  ac_prev=
+  eval "set x $ac_configure_args"
+  shift
+  for ac_arg
+  do
+    if test -n "$ac_prev"; then
+      ac_prev=
+      continue
+    fi
+    case $ac_arg in
+    -cache-file | --cache-file | --cache-fil | --cache-fi \
+    | --cache-f | --cache- | --cache | --cach | --cac | --ca | --c)
+      ac_prev=cache_file ;;
+    -cache-file=* | --cache-file=* | --cache-fil=* | --cache-fi=* \
+    | --cache-f=* | --cache-=* | --cache=* | --cach=* | --cac=* | --ca=* \
+    | --c=*)
+      ;;
+    --config-cache | -C)
+      ;;
+    -srcdir | --srcdir | --srcdi | --srcd | --src | --sr)
+      ac_prev=srcdir ;;
+    -srcdir=* | --srcdir=* | --srcdi=* | --srcd=* | --src=* | --sr=*)
+      ;;
+    -prefix | --prefix | --prefi | --pref | --pre | --pr | --p)
+      ac_prev=prefix ;;
+    -prefix=* | --prefix=* | --prefi=* | --pref=* | --pre=* | --pr=* | --p=*)
+      ;;
+    --disable-option-checking)
+      ;;
+    *)
+      case $ac_arg in
+      *\'*) ac_arg=`$as_echo "$ac_arg" | sed "s/'/'\\\\\\\\''/g"` ;;
+      esac
+      ac_sub_configure_args="$ac_sub_configure_args '$ac_arg'" ;;
+    esac
+  done
+
+  # Always prepend --prefix to ensure using the same prefix
+  # in subdir configurations.
+  ac_arg="--prefix=$prefix"
+  case $ac_arg in
+  *\'*) ac_arg=`$as_echo "$ac_arg" | sed "s/'/'\\\\\\\\''/g"` ;;
+  esac
+  ac_sub_configure_args="'$ac_arg' $ac_sub_configure_args"
+
+  # Pass --silent
+  if test "$silent" = yes; then
+    ac_sub_configure_args="--silent $ac_sub_configure_args"
+  fi
+
+  # Always prepend --disable-option-checking to silence warnings, since
+  # different subdirs can have different --enable and --with options.
+  ac_sub_configure_args="--disable-option-checking $ac_sub_configure_args"
+
+  ac_popdir=`pwd`
+  for ac_dir in : $subdirs; do test "x$ac_dir" = x: && continue
+
+    # Do not complain, so a configure script can configure whichever
+    # parts of a large source tree are present.
+    test -d "$srcdir/$ac_dir" || continue
+
+    ac_msg="=== configuring in $ac_dir (`pwd`/$ac_dir)"
+    $as_echo "$as_me:$LINENO: $ac_msg" >&5
+    $as_echo "$ac_msg" >&6
+    { as_dir="$ac_dir"
+  case $as_dir in #(
+  -*) as_dir=./$as_dir;;
+  esac
+  test -d "$as_dir" || { $as_mkdir_p && mkdir -p "$as_dir"; } || {
+    as_dirs=
+    while :; do
+      case $as_dir in #(
+      *\'*) as_qdir=`$as_echo "$as_dir" | sed "s/'/'\\\\\\\\''/g"`;; #'(
+      *) as_qdir=$as_dir;;
+      esac
+      as_dirs="'$as_qdir' $as_dirs"
+      as_dir=`$as_dirname -- "$as_dir" ||
+$as_expr X"$as_dir" : 'X\(.*[^/]\)//*[^/][^/]*/*$' \| \
+        X"$as_dir" : 'X\(//\)[^/]' \| \
+        X"$as_dir" : 'X\(//\)$' \| \
+        X"$as_dir" : 'X\(/\)' \| . 2>/dev/null ||
+$as_echo X"$as_dir" |
+    sed '/^X\(.*[^/]\)\/\/*[^/][^/]*\/*$/{
+           s//\1/
+           q
+         }
+         /^X\(\/\/\)[^/].*/{
+           s//\1/
+           q
+         }
+         /^X\(\/\/\)$/{
+           s//\1/
+           q
+         }
+         /^X\(\/\).*/{
+           s//\1/
+           q
+         }
+         s/.*/./; q'`
+      test -d "$as_dir" && break
+    done
+    test -z "$as_dirs" || eval "mkdir $as_dirs"
+  } || test -d "$as_dir" || { { $as_echo "$as_me:$LINENO: error: cannot create directory $as_dir" >&5
+$as_echo "$as_me: error: cannot create directory $as_dir" >&2;}
+   { (exit 1); exit 1; }; }; }
+    ac_builddir=.
+
+case "$ac_dir" in
+.) ac_dir_suffix= ac_top_builddir_sub=. ac_top_build_prefix= ;;
+*)
+  ac_dir_suffix=/`$as_echo "$ac_dir" | sed 's|^\.[\\/]||'`
+  # A ".." for each directory in $ac_dir_suffix.
+  ac_top_builddir_sub=`$as_echo "$ac_dir_suffix" | sed 's|/[^\\/]*|/..|g;s|/||'`
+  case $ac_top_builddir_sub in
+  "") ac_top_builddir_sub=. ac_top_build_prefix= ;;
+  *)  ac_top_build_prefix=$ac_top_builddir_sub/ ;;
+  esac ;;
+esac
+ac_abs_top_builddir=$ac_pwd
+ac_abs_builddir=$ac_pwd$ac_dir_suffix
+# for backward compatibility:
+ac_top_builddir=$ac_top_build_prefix
+
+case $srcdir in
+  .)  # We are building in place.
+    ac_srcdir=.
+    ac_top_srcdir=$ac_top_builddir_sub
+    ac_abs_top_srcdir=$ac_pwd ;;
+  [\\/]* | ?:[\\/]* )  # Absolute name.
+    ac_srcdir=$srcdir$ac_dir_suffix;
+    ac_top_srcdir=$srcdir
+    ac_abs_top_srcdir=$srcdir ;;
+  *) # Relative name.
+    ac_srcdir=$ac_top_build_prefix$srcdir$ac_dir_suffix
+    ac_top_srcdir=$ac_top_build_prefix$srcdir
+    ac_abs_top_srcdir=$ac_pwd/$srcdir ;;
+esac
+ac_abs_srcdir=$ac_abs_top_srcdir$ac_dir_suffix
+
+
+    cd "$ac_dir"
+
+    # Check for guested configure; otherwise get Cygnus style configure.
+    if test -f "$ac_srcdir/configure.gnu"; then
+      ac_sub_configure=$ac_srcdir/configure.gnu
+    elif test -f "$ac_srcdir/configure"; then
+      ac_sub_configure=$ac_srcdir/configure
+    elif test -f "$ac_srcdir/configure.in"; then
+      # This should be Cygnus configure.
+      ac_sub_configure=$ac_aux_dir/configure
+    else
+      { $as_echo "$as_me:$LINENO: WARNING: no configuration information is in $ac_dir" >&5
+$as_echo "$as_me: WARNING: no configuration information is in $ac_dir" >&2;}
+      ac_sub_configure=
+    fi
+
+    # The recursion is here.
+    if test -n "$ac_sub_configure"; then
+      # Make the cache file name correct relative to the subdirectory.
+      case $cache_file in
+      [\\/]* | ?:[\\/]* ) ac_sub_cache_file=$cache_file ;;
+      *) # Relative name.
+       ac_sub_cache_file=$ac_top_build_prefix$cache_file ;;
+      esac
+
+      { $as_echo "$as_me:$LINENO: running $SHELL $ac_sub_configure $ac_sub_configure_args --cache-file=$ac_sub_cache_file --srcdir=$ac_srcdir" >&5
+$as_echo "$as_me: running $SHELL $ac_sub_configure $ac_sub_configure_args --cache-file=$ac_sub_cache_file --srcdir=$ac_srcdir" >&6;}
+      # The eval makes quoting arguments work.
+      eval "\$SHELL \"\$ac_sub_configure\" $ac_sub_configure_args \
+          --cache-file=\"\$ac_sub_cache_file\" --srcdir=\"\$ac_srcdir\"" ||
+       { { $as_echo "$as_me:$LINENO: error: $ac_sub_configure failed for $ac_dir" >&5
+$as_echo "$as_me: error: $ac_sub_configure failed for $ac_dir" >&2;}
+   { (exit 1); exit 1; }; }
+    fi
+
+    cd "$ac_popdir"
+  done
+fi
+if test -n "$ac_unrecognized_opts" && test "$enable_option_checking" != no; then
+  { $as_echo "$as_me:$LINENO: WARNING: unrecognized options: $ac_unrecognized_opts" >&5
+$as_echo "$as_me: WARNING: unrecognized options: $ac_unrecognized_opts" >&2;}
+fi
+
+
+echo
+echo "*********************************************************************"
+echo The following GNU Radio components have been successfully configured:
+echo
+for dir in $build_dirs
+do
+    echo $dir
+done
+echo
+echo You my now run the 'make' command to build these components.
+echo
+if test "$skipped_dirs" != ""; then
+    echo "*********************************************************************"
+    echo The following components were skipped either because you asked not
+    echo to build them or they didn\'t pass configuration checks:
+    echo
+    for dir in $skipped_dirs
+    do
+        echo $dir
+    done
+    echo
+    echo These components will not be built.
+    echo
+fi
+if test "$with_dirs" != ""; then
+    echo "*********************************************************************"
+    echo The following components will be included from pre-installed
+    echo libraries and includes:
+    echo
+    for dir in $with_dirs
+    do
+        echo $dir
+    done
+    echo
+    echo These components will not be built.
+    echo
+fi
diff --git a/depcomp b/depcomp
new file mode 100755 (executable)
index 0000000..e5f9736
--- /dev/null
+++ b/depcomp
@@ -0,0 +1,589 @@
+#! /bin/sh
+# depcomp - compile a program generating dependencies as side-effects
+
+scriptversion=2007-03-29.01
+
+# Copyright (C) 1999, 2000, 2003, 2004, 2005, 2006, 2007 Free Software
+# Foundation, Inc.
+
+# This program is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 2, or (at your option)
+# any later version.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+
+# You should have received a copy of the GNU General Public License
+# along with this program; if not, write to the Free Software
+# Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA
+# 02110-1301, USA.
+
+# As a special exception to the GNU General Public License, if you
+# distribute this file as part of a program that contains a
+# configuration script generated by Autoconf, you may include it under
+# the same distribution terms that you use for the rest of that program.
+
+# Originally written by Alexandre Oliva <oliva@dcc.unicamp.br>.
+
+case $1 in
+  '')
+     echo "$0: No command.  Try \`$0 --help' for more information." 1>&2
+     exit 1;
+     ;;
+  -h | --h*)
+    cat <<\EOF
+Usage: depcomp [--help] [--version] PROGRAM [ARGS]
+
+Run PROGRAMS ARGS to compile a file, generating dependencies
+as side-effects.
+
+Environment variables:
+  depmode     Dependency tracking mode.
+  source      Source file read by `PROGRAMS ARGS'.
+  object      Object file output by `PROGRAMS ARGS'.
+  DEPDIR      directory where to store dependencies.
+  depfile     Dependency file to output.
+  tmpdepfile  Temporary file to use when outputing dependencies.
+  libtool     Whether libtool is used (yes/no).
+
+Report bugs to <bug-automake@gnu.org>.
+EOF
+    exit $?
+    ;;
+  -v | --v*)
+    echo "depcomp $scriptversion"
+    exit $?
+    ;;
+esac
+
+if test -z "$depmode" || test -z "$source" || test -z "$object"; then
+  echo "depcomp: Variables source, object and depmode must be set" 1>&2
+  exit 1
+fi
+
+# Dependencies for sub/bar.o or sub/bar.obj go into sub/.deps/bar.Po.
+depfile=${depfile-`echo "$object" |
+  sed 's|[^\\/]*$|'${DEPDIR-.deps}'/&|;s|\.\([^.]*\)$|.P\1|;s|Pobj$|Po|'`}
+tmpdepfile=${tmpdepfile-`echo "$depfile" | sed 's/\.\([^.]*\)$/.T\1/'`}
+
+rm -f "$tmpdepfile"
+
+# Some modes work just like other modes, but use different flags.  We
+# parameterize here, but still list the modes in the big case below,
+# to make depend.m4 easier to write.  Note that we *cannot* use a case
+# here, because this file can only contain one case statement.
+if test "$depmode" = hp; then
+  # HP compiler uses -M and no extra arg.
+  gccflag=-M
+  depmode=gcc
+fi
+
+if test "$depmode" = dashXmstdout; then
+   # This is just like dashmstdout with a different argument.
+   dashmflag=-xM
+   depmode=dashmstdout
+fi
+
+case "$depmode" in
+gcc3)
+## gcc 3 implements dependency tracking that does exactly what
+## we want.  Yay!  Note: for some reason libtool 1.4 doesn't like
+## it if -MD -MP comes after the -MF stuff.  Hmm.
+## Unfortunately, FreeBSD c89 acceptance of flags depends upon
+## the command line argument order; so add the flags where they
+## appear in depend2.am.  Note that the slowdown incurred here
+## affects only configure: in makefiles, %FASTDEP% shortcuts this.
+  for arg
+  do
+    case $arg in
+    -c) set fnord "$@" -MT "$object" -MD -MP -MF "$tmpdepfile" "$arg" ;;
+    *)  set fnord "$@" "$arg" ;;
+    esac
+    shift # fnord
+    shift # $arg
+  done
+  "$@"
+  stat=$?
+  if test $stat -eq 0; then :
+  else
+    rm -f "$tmpdepfile"
+    exit $stat
+  fi
+  mv "$tmpdepfile" "$depfile"
+  ;;
+
+gcc)
+## There are various ways to get dependency output from gcc.  Here's
+## why we pick this rather obscure method:
+## - Don't want to use -MD because we'd like the dependencies to end
+##   up in a subdir.  Having to rename by hand is ugly.
+##   (We might end up doing this anyway to support other compilers.)
+## - The DEPENDENCIES_OUTPUT environment variable makes gcc act like
+##   -MM, not -M (despite what the docs say).
+## - Using -M directly means running the compiler twice (even worse
+##   than renaming).
+  if test -z "$gccflag"; then
+    gccflag=-MD,
+  fi
+  "$@" -Wp,"$gccflag$tmpdepfile"
+  stat=$?
+  if test $stat -eq 0; then :
+  else
+    rm -f "$tmpdepfile"
+    exit $stat
+  fi
+  rm -f "$depfile"
+  echo "$object : \\" > "$depfile"
+  alpha=ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz
+## The second -e expression handles DOS-style file names with drive letters.
+  sed -e 's/^[^:]*: / /' \
+      -e 's/^['$alpha']:\/[^:]*: / /' < "$tmpdepfile" >> "$depfile"
+## This next piece of magic avoids the `deleted header file' problem.
+## The problem is that when a header file which appears in a .P file
+## is deleted, the dependency causes make to die (because there is
+## typically no way to rebuild the header).  We avoid this by adding
+## dummy dependencies for each header file.  Too bad gcc doesn't do
+## this for us directly.
+  tr ' ' '
+' < "$tmpdepfile" |
+## Some versions of gcc put a space before the `:'.  On the theory
+## that the space means something, we add a space to the output as
+## well.
+## Some versions of the HPUX 10.20 sed can't process this invocation
+## correctly.  Breaking it into two sed invocations is a workaround.
+    sed -e 's/^\\$//' -e '/^$/d' -e '/:$/d' | sed -e 's/$/ :/' >> "$depfile"
+  rm -f "$tmpdepfile"
+  ;;
+
+hp)
+  # This case exists only to let depend.m4 do its work.  It works by
+  # looking at the text of this script.  This case will never be run,
+  # since it is checked for above.
+  exit 1
+  ;;
+
+sgi)
+  if test "$libtool" = yes; then
+    "$@" "-Wp,-MDupdate,$tmpdepfile"
+  else
+    "$@" -MDupdate "$tmpdepfile"
+  fi
+  stat=$?
+  if test $stat -eq 0; then :
+  else
+    rm -f "$tmpdepfile"
+    exit $stat
+  fi
+  rm -f "$depfile"
+
+  if test -f "$tmpdepfile"; then  # yes, the sourcefile depend on other files
+    echo "$object : \\" > "$depfile"
+
+    # Clip off the initial element (the dependent).  Don't try to be
+    # clever and replace this with sed code, as IRIX sed won't handle
+    # lines with more than a fixed number of characters (4096 in
+    # IRIX 6.2 sed, 8192 in IRIX 6.5).  We also remove comment lines;
+    # the IRIX cc adds comments like `#:fec' to the end of the
+    # dependency line.
+    tr ' ' '
+' < "$tmpdepfile" \
+    | sed -e 's/^.*\.o://' -e 's/#.*$//' -e '/^$/ d' | \
+    tr '
+' ' ' >> $depfile
+    echo >> $depfile
+
+    # The second pass generates a dummy entry for each header file.
+    tr ' ' '
+' < "$tmpdepfile" \
+   | sed -e 's/^.*\.o://' -e 's/#.*$//' -e '/^$/ d' -e 's/$/:/' \
+   >> $depfile
+  else
+    # The sourcefile does not contain any dependencies, so just
+    # store a dummy comment line, to avoid errors with the Makefile
+    # "include basename.Plo" scheme.
+    echo "#dummy" > "$depfile"
+  fi
+  rm -f "$tmpdepfile"
+  ;;
+
+aix)
+  # The C for AIX Compiler uses -M and outputs the dependencies
+  # in a .u file.  In older versions, this file always lives in the
+  # current directory.  Also, the AIX compiler puts `$object:' at the
+  # start of each line; $object doesn't have directory information.
+  # Version 6 uses the directory in both cases.
+  dir=`echo "$object" | sed -e 's|/[^/]*$|/|'`
+  test "x$dir" = "x$object" && dir=
+  base=`echo "$object" | sed -e 's|^.*/||' -e 's/\.o$//' -e 's/\.lo$//'`
+  if test "$libtool" = yes; then
+    tmpdepfile1=$dir$base.u
+    tmpdepfile2=$base.u
+    tmpdepfile3=$dir.libs/$base.u
+    "$@" -Wc,-M
+  else
+    tmpdepfile1=$dir$base.u
+    tmpdepfile2=$dir$base.u
+    tmpdepfile3=$dir$base.u
+    "$@" -M
+  fi
+  stat=$?
+
+  if test $stat -eq 0; then :
+  else
+    rm -f "$tmpdepfile1" "$tmpdepfile2" "$tmpdepfile3"
+    exit $stat
+  fi
+
+  for tmpdepfile in "$tmpdepfile1" "$tmpdepfile2" "$tmpdepfile3"
+  do
+    test -f "$tmpdepfile" && break
+  done
+  if test -f "$tmpdepfile"; then
+    # Each line is of the form `foo.o: dependent.h'.
+    # Do two passes, one to just change these to
+    # `$object: dependent.h' and one to simply `dependent.h:'.
+    sed -e "s,^.*\.[a-z]*:,$object:," < "$tmpdepfile" > "$depfile"
+    # That's a tab and a space in the [].
+    sed -e 's,^.*\.[a-z]*:[     ]*,,' -e 's,$,:,' < "$tmpdepfile" >> "$depfile"
+  else
+    # The sourcefile does not contain any dependencies, so just
+    # store a dummy comment line, to avoid errors with the Makefile
+    # "include basename.Plo" scheme.
+    echo "#dummy" > "$depfile"
+  fi
+  rm -f "$tmpdepfile"
+  ;;
+
+icc)
+  # Intel's C compiler understands `-MD -MF file'.  However on
+  #    icc -MD -MF foo.d -c -o sub/foo.o sub/foo.c
+  # ICC 7.0 will fill foo.d with something like
+  #    foo.o: sub/foo.c
+  #    foo.o: sub/foo.h
+  # which is wrong.  We want:
+  #    sub/foo.o: sub/foo.c
+  #    sub/foo.o: sub/foo.h
+  #    sub/foo.c:
+  #    sub/foo.h:
+  # ICC 7.1 will output
+  #    foo.o: sub/foo.c sub/foo.h
+  # and will wrap long lines using \ :
+  #    foo.o: sub/foo.c ... \
+  #     sub/foo.h ... \
+  #     ...
+
+  "$@" -MD -MF "$tmpdepfile"
+  stat=$?
+  if test $stat -eq 0; then :
+  else
+    rm -f "$tmpdepfile"
+    exit $stat
+  fi
+  rm -f "$depfile"
+  # Each line is of the form `foo.o: dependent.h',
+  # or `foo.o: dep1.h dep2.h \', or ` dep3.h dep4.h \'.
+  # Do two passes, one to just change these to
+  # `$object: dependent.h' and one to simply `dependent.h:'.
+  sed "s,^[^:]*:,$object :," < "$tmpdepfile" > "$depfile"
+  # Some versions of the HPUX 10.20 sed can't process this invocation
+  # correctly.  Breaking it into two sed invocations is a workaround.
+  sed 's,^[^:]*: \(.*\)$,\1,;s/^\\$//;/^$/d;/:$/d' < "$tmpdepfile" |
+    sed -e 's/$/ :/' >> "$depfile"
+  rm -f "$tmpdepfile"
+  ;;
+
+hp2)
+  # The "hp" stanza above does not work with aCC (C++) and HP's ia64
+  # compilers, which have integrated preprocessors.  The correct option
+  # to use with these is +Maked; it writes dependencies to a file named
+  # 'foo.d', which lands next to the object file, wherever that
+  # happens to be.
+  # Much of this is similar to the tru64 case; see comments there.
+  dir=`echo "$object" | sed -e 's|/[^/]*$|/|'`
+  test "x$dir" = "x$object" && dir=
+  base=`echo "$object" | sed -e 's|^.*/||' -e 's/\.o$//' -e 's/\.lo$//'`
+  if test "$libtool" = yes; then
+    tmpdepfile1=$dir$base.d
+    tmpdepfile2=$dir.libs/$base.d
+    "$@" -Wc,+Maked
+  else
+    tmpdepfile1=$dir$base.d
+    tmpdepfile2=$dir$base.d
+    "$@" +Maked
+  fi
+  stat=$?
+  if test $stat -eq 0; then :
+  else
+     rm -f "$tmpdepfile1" "$tmpdepfile2"
+     exit $stat
+  fi
+
+  for tmpdepfile in "$tmpdepfile1" "$tmpdepfile2"
+  do
+    test -f "$tmpdepfile" && break
+  done
+  if test -f "$tmpdepfile"; then
+    sed -e "s,^.*\.[a-z]*:,$object:," "$tmpdepfile" > "$depfile"
+    # Add `dependent.h:' lines.
+    sed -ne '2,${; s/^ *//; s/ \\*$//; s/$/:/; p;}' "$tmpdepfile" >> "$depfile"
+  else
+    echo "#dummy" > "$depfile"
+  fi
+  rm -f "$tmpdepfile" "$tmpdepfile2"
+  ;;
+
+tru64)
+   # The Tru64 compiler uses -MD to generate dependencies as a side
+   # effect.  `cc -MD -o foo.o ...' puts the dependencies into `foo.o.d'.
+   # At least on Alpha/Redhat 6.1, Compaq CCC V6.2-504 seems to put
+   # dependencies in `foo.d' instead, so we check for that too.
+   # Subdirectories are respected.
+   dir=`echo "$object" | sed -e 's|/[^/]*$|/|'`
+   test "x$dir" = "x$object" && dir=
+   base=`echo "$object" | sed -e 's|^.*/||' -e 's/\.o$//' -e 's/\.lo$//'`
+
+   if test "$libtool" = yes; then
+      # With Tru64 cc, shared objects can also be used to make a
+      # static library.  This mechanism is used in libtool 1.4 series to
+      # handle both shared and static libraries in a single compilation.
+      # With libtool 1.4, dependencies were output in $dir.libs/$base.lo.d.
+      #
+      # With libtool 1.5 this exception was removed, and libtool now
+      # generates 2 separate objects for the 2 libraries.  These two
+      # compilations output dependencies in $dir.libs/$base.o.d and
+      # in $dir$base.o.d.  We have to check for both files, because
+      # one of the two compilations can be disabled.  We should prefer
+      # $dir$base.o.d over $dir.libs/$base.o.d because the latter is
+      # automatically cleaned when .libs/ is deleted, while ignoring
+      # the former would cause a distcleancheck panic.
+      tmpdepfile1=$dir.libs/$base.lo.d   # libtool 1.4
+      tmpdepfile2=$dir$base.o.d          # libtool 1.5
+      tmpdepfile3=$dir.libs/$base.o.d    # libtool 1.5
+      tmpdepfile4=$dir.libs/$base.d      # Compaq CCC V6.2-504
+      "$@" -Wc,-MD
+   else
+      tmpdepfile1=$dir$base.o.d
+      tmpdepfile2=$dir$base.d
+      tmpdepfile3=$dir$base.d
+      tmpdepfile4=$dir$base.d
+      "$@" -MD
+   fi
+
+   stat=$?
+   if test $stat -eq 0; then :
+   else
+      rm -f "$tmpdepfile1" "$tmpdepfile2" "$tmpdepfile3" "$tmpdepfile4"
+      exit $stat
+   fi
+
+   for tmpdepfile in "$tmpdepfile1" "$tmpdepfile2" "$tmpdepfile3" "$tmpdepfile4"
+   do
+     test -f "$tmpdepfile" && break
+   done
+   if test -f "$tmpdepfile"; then
+      sed -e "s,^.*\.[a-z]*:,$object:," < "$tmpdepfile" > "$depfile"
+      # That's a tab and a space in the [].
+      sed -e 's,^.*\.[a-z]*:[   ]*,,' -e 's,$,:,' < "$tmpdepfile" >> "$depfile"
+   else
+      echo "#dummy" > "$depfile"
+   fi
+   rm -f "$tmpdepfile"
+   ;;
+
+#nosideeffect)
+  # This comment above is used by automake to tell side-effect
+  # dependency tracking mechanisms from slower ones.
+
+dashmstdout)
+  # Important note: in order to support this mode, a compiler *must*
+  # always write the preprocessed file to stdout, regardless of -o.
+  "$@" || exit $?
+
+  # Remove the call to Libtool.
+  if test "$libtool" = yes; then
+    while test $1 != '--mode=compile'; do
+      shift
+    done
+    shift
+  fi
+
+  # Remove `-o $object'.
+  IFS=" "
+  for arg
+  do
+    case $arg in
+    -o)
+      shift
+      ;;
+    $object)
+      shift
+      ;;
+    *)
+      set fnord "$@" "$arg"
+      shift # fnord
+      shift # $arg
+      ;;
+    esac
+  done
+
+  test -z "$dashmflag" && dashmflag=-M
+  # Require at least two characters before searching for `:'
+  # in the target name.  This is to cope with DOS-style filenames:
+  # a dependency such as `c:/foo/bar' could be seen as target `c' otherwise.
+  "$@" $dashmflag |
+    sed 's:^[  ]*[^: ][^:][^:]*\:[    ]*:'"$object"'\: :' > "$tmpdepfile"
+  rm -f "$depfile"
+  cat < "$tmpdepfile" > "$depfile"
+  tr ' ' '
+' < "$tmpdepfile" | \
+## Some versions of the HPUX 10.20 sed can't process this invocation
+## correctly.  Breaking it into two sed invocations is a workaround.
+    sed -e 's/^\\$//' -e '/^$/d' -e '/:$/d' | sed -e 's/$/ :/' >> "$depfile"
+  rm -f "$tmpdepfile"
+  ;;
+
+dashXmstdout)
+  # This case only exists to satisfy depend.m4.  It is never actually
+  # run, as this mode is specially recognized in the preamble.
+  exit 1
+  ;;
+
+makedepend)
+  "$@" || exit $?
+  # Remove any Libtool call
+  if test "$libtool" = yes; then
+    while test $1 != '--mode=compile'; do
+      shift
+    done
+    shift
+  fi
+  # X makedepend
+  shift
+  cleared=no
+  for arg in "$@"; do
+    case $cleared in
+    no)
+      set ""; shift
+      cleared=yes ;;
+    esac
+    case "$arg" in
+    -D*|-I*)
+      set fnord "$@" "$arg"; shift ;;
+    # Strip any option that makedepend may not understand.  Remove
+    # the object too, otherwise makedepend will parse it as a source file.
+    -*|$object)
+      ;;
+    *)
+      set fnord "$@" "$arg"; shift ;;
+    esac
+  done
+  obj_suffix="`echo $object | sed 's/^.*\././'`"
+  touch "$tmpdepfile"
+  ${MAKEDEPEND-makedepend} -o"$obj_suffix" -f"$tmpdepfile" "$@"
+  rm -f "$depfile"
+  cat < "$tmpdepfile" > "$depfile"
+  sed '1,2d' "$tmpdepfile" | tr ' ' '
+' | \
+## Some versions of the HPUX 10.20 sed can't process this invocation
+## correctly.  Breaking it into two sed invocations is a workaround.
+    sed -e 's/^\\$//' -e '/^$/d' -e '/:$/d' | sed -e 's/$/ :/' >> "$depfile"
+  rm -f "$tmpdepfile" "$tmpdepfile".bak
+  ;;
+
+cpp)
+  # Important note: in order to support this mode, a compiler *must*
+  # always write the preprocessed file to stdout.
+  "$@" || exit $?
+
+  # Remove the call to Libtool.
+  if test "$libtool" = yes; then
+    while test $1 != '--mode=compile'; do
+      shift
+    done
+    shift
+  fi
+
+  # Remove `-o $object'.
+  IFS=" "
+  for arg
+  do
+    case $arg in
+    -o)
+      shift
+      ;;
+    $object)
+      shift
+      ;;
+    *)
+      set fnord "$@" "$arg"
+      shift # fnord
+      shift # $arg
+      ;;
+    esac
+  done
+
+  "$@" -E |
+    sed -n -e '/^# [0-9][0-9]* "\([^"]*\)".*/ s:: \1 \\:p' \
+       -e '/^#line [0-9][0-9]* "\([^"]*\)".*/ s:: \1 \\:p' |
+    sed '$ s: \\$::' > "$tmpdepfile"
+  rm -f "$depfile"
+  echo "$object : \\" > "$depfile"
+  cat < "$tmpdepfile" >> "$depfile"
+  sed < "$tmpdepfile" '/^$/d;s/^ //;s/ \\$//;s/$/ :/' >> "$depfile"
+  rm -f "$tmpdepfile"
+  ;;
+
+msvisualcpp)
+  # Important note: in order to support this mode, a compiler *must*
+  # always write the preprocessed file to stdout, regardless of -o,
+  # because we must use -o when running libtool.
+  "$@" || exit $?
+  IFS=" "
+  for arg
+  do
+    case "$arg" in
+    "-Gm"|"/Gm"|"-Gi"|"/Gi"|"-ZI"|"/ZI")
+       set fnord "$@"
+       shift
+       shift
+       ;;
+    *)
+       set fnord "$@" "$arg"
+       shift
+       shift
+       ;;
+    esac
+  done
+  "$@" -E |
+  sed -n '/^#line [0-9][0-9]* "\([^"]*\)"/ s::echo "`cygpath -u \\"\1\\"`":p' | sort | uniq > "$tmpdepfile"
+  rm -f "$depfile"
+  echo "$object : \\" > "$depfile"
+  . "$tmpdepfile" | sed 's% %\\ %g' | sed -n '/^\(.*\)$/ s::   \1 \\:p' >> "$depfile"
+  echo "       " >> "$depfile"
+  . "$tmpdepfile" | sed 's% %\\ %g' | sed -n '/^\(.*\)$/ s::\1\::p' >> "$depfile"
+  rm -f "$tmpdepfile"
+  ;;
+
+none)
+  exec "$@"
+  ;;
+
+*)
+  echo "Unknown depmode $depmode" 1>&2
+  exit 1
+  ;;
+esac
+
+exit 0
+
+# Local Variables:
+# mode: shell-script
+# sh-indentation: 2
+# eval: (add-hook 'write-file-hooks 'time-stamp)
+# time-stamp-start: "scriptversion="
+# time-stamp-format: "%:y-%02m-%02d.%02H"
+# time-stamp-end: "$"
+# End:
diff --git a/docs/Makefile.in b/docs/Makefile.in
new file mode 100644 (file)
index 0000000..7ed027a
--- /dev/null
@@ -0,0 +1,1029 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License along
+# with this program; if not, write to the Free Software Foundation, Inc.,
+# 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+#
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common ChangeLog
+subdir = docs
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+SUBDIRS = doxygen
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  docs/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  docs/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-recursive
+all-am: Makefile
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive dist-hook distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/docs/doxygen/Makefile.in b/docs/doxygen/Makefile.in
new file mode 100644 (file)
index 0000000..aecd35e
--- /dev/null
@@ -0,0 +1,1092 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2001,2005,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(dist_gr_doc_DATA) $(srcdir)/Doxyfile.in \
+       $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = docs/doxygen
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES = Doxyfile
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(gr_docdir)"
+dist_gr_docDATA_INSTALL = $(INSTALL_DATA)
+DATA = $(dist_gr_doc_DATA)
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+SUBDIRS = other xml-swig
+dist_gr_doc_DATA = \
+       $(top_srcdir)/README            \
+       $(top_srcdir)/README.hacking
+
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  docs/doxygen/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  docs/doxygen/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+Doxyfile: $(top_builddir)/config.status $(srcdir)/Doxyfile.in
+       cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-dist_gr_docDATA: $(dist_gr_doc_DATA)
+       @$(NORMAL_INSTALL)
+       test -z "$(gr_docdir)" || $(MKDIR_P) "$(DESTDIR)$(gr_docdir)"
+       @list='$(dist_gr_doc_DATA)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(dist_gr_docDATA_INSTALL) '$$d$$p' '$(DESTDIR)$(gr_docdir)/$$f'"; \
+         $(dist_gr_docDATA_INSTALL) "$$d$$p" "$(DESTDIR)$(gr_docdir)/$$f"; \
+       done
+
+uninstall-dist_gr_docDATA:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_gr_doc_DATA)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(gr_docdir)/$$f'"; \
+         rm -f "$(DESTDIR)$(gr_docdir)/$$f"; \
+       done
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-recursive
+all-am: Makefile $(DATA) all-local
+installdirs: installdirs-recursive
+installdirs-am:
+       for dir in "$(DESTDIR)$(gr_docdir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool clean-local mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am: install-data-local install-dist_gr_docDATA
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am: uninstall-dist_gr_docDATA uninstall-local
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am all-local check check-am clean clean-generic \
+       clean-libtool clean-local ctags ctags-recursive dist-hook \
+       distclean distclean-generic distclean-libtool distclean-tags \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am install-data-local \
+       install-dist_gr_docDATA install-dvi install-dvi-am \
+       install-exec install-exec-am install-html install-html-am \
+       install-info install-info-am install-man install-pdf \
+       install-pdf-am install-ps install-ps-am install-strip \
+       installcheck installcheck-am installdirs installdirs-am \
+       maintainer-clean maintainer-clean-generic mostlyclean \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       tags tags-recursive uninstall uninstall-am \
+       uninstall-dist_gr_docDATA uninstall-local
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+
+all-local: prep @generate_docs@
+doc: docs            # alias
+
+docs: prep html/index.html
+html/index.html:
+       @DOXYGEN@
+
+prep:
+       $(MKDIR_P) html
+       $(MKDIR_P) xml
+
+install-data-local:
+       $(MKDIR_P) $(DESTDIR)$(gr_docdir)
+       cp -r html $(DESTDIR)$(gr_docdir)
+       cp -r xml $(DESTDIR)$(gr_docdir)
+
+uninstall-local:
+       $(RM) -fr $(DESTDIR)$(gr_docdir)/html
+       $(RM) -fr $(DESTDIR)$(gr_docdir)/xml
+
+clean-local:
+       $(RM) -fr latex html man xml
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/docs/doxygen/other/Makefile.in b/docs/doxygen/other/Makefile.in
new file mode 100644 (file)
index 0000000..b33e871
--- /dev/null
@@ -0,0 +1,882 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2001,2004 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = docs/doxygen/other
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+EXTRA_DIST = \
+       doxypy.py \
+       group_defs.dox \
+       omnithread.html \
+       omnithread.pdf \
+       omnithread.ps \
+       shared_ptr_docstub.h \
+       tv-channel-frequencies \
+       vector_docstub.h
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  docs/doxygen/other/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  docs/doxygen/other/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile
+installdirs:
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am install-dvi \
+       install-dvi-am install-exec install-exec-am install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-ps install-ps-am \
+       install-strip installcheck installcheck-am installdirs \
+       maintainer-clean maintainer-clean-generic mostlyclean \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/docs/doxygen/other/omnithread.html b/docs/doxygen/other/omnithread.html
new file mode 100644 (file)
index 0000000..5682d1d
--- /dev/null
@@ -0,0 +1,411 @@
+<!DOCTYPE HTML PUBLIC "-//W3C//DTD HTML 4.0 Transitional//EN"
+            "http://www.w3.org/TR/REC-html40/loose.dtd">
+<HTML>
+<HEAD><TITLE>The OMNI Thread Abstraction</TITLE>
+
+<META http-equiv="Content-Type" content="text/html; charset=ISO-8859-1">
+<META name="GENERATOR" content="hevea 1.06">
+</HEAD>
+<BODY >
+<!--HEVEA command line is: /usr/local/bin/hevea omnithread -->
+<!--HTMLHEAD-->
+<!--ENDHTML-->
+<!--PREFIX <ARG ></ARG>-->
+<!--CUT DEF section 1 -->
+
+
+<H1 ALIGN=center>The OMNI Thread Abstraction</H1>
+
+<H3 ALIGN=center>Tristan Richardson<BR>
+AT&amp;T Laboratories Cambridge<BR>
+</H3>
+
+<H3 ALIGN=center><I>Revised</I> November 2001</H3>
+<!--TOC section Introduction-->
+
+<H2><A NAME="htoc1">1</A>&nbsp;&nbsp;Introduction</H2><!--SEC END -->
+
+The OMNI thread abstraction is designed to provide a common set of
+thread operations for use in programs written in C++. Programs
+written using the abstraction should be much easier to port between
+different architectures with different underlying threads primitives.<BR>
+<BR>
+The programming interface is designed to be similar to the C language
+interface to POSIX threads (IEEE draft standard 1003.1c --- previously
+1003.4a, often known as ``pthreads'' [<A HREF="#pthreads"><CITE>POSIX94</CITE></A>]).<BR>
+<BR>
+Much of the abstraction consists of simple C++ object wrappers around
+pthread calls. However for some features such as thread-specific
+data, a better interface can be offered because of the use of C++.<BR>
+<BR>
+Some of the more complex features of pthreads are not supported
+because of the difficulty of ensuring the same features can be offered
+on top of other thread systems. Such features include thread
+cancellation and complex scheduling control (though simple thread
+priorities are supported).<BR>
+<BR>
+The abstraction layer is currently implemented for the following
+architectures / thread systems:
+<UL><LI>Solaris 2.x using pthreads draft 10
+<LI>Solaris 2.x using solaris threads (but pthreads version is now standard)
+<LI>Alpha OSF1 using pthreads draft 4
+<LI>Windows NT using NT threads
+<LI>Linux 2.x using Linuxthread 0.5 (which is based on pthreads draft 10)
+<LI>Linux 2.x using MIT pthreads (which is based on draft 8)
+<LI>ATMos using pthreads draft 6 (but not Virata ATMos)</UL>
+See the <TT>omnithread.h</TT> header file for full details of the API.
+The descriptions below assume you have some previous knowledge of
+threads, mutexes, condition variables and semaphores. Also refer to
+other documentation ([<A HREF="#birrell"><CITE>Birrell89</CITE></A>], [<A HREF="#pthreads"><CITE>POSIX94</CITE></A>]) for further
+explanation of these ideas (particularly condition variables, the use
+of which may not be particularly intuitive when first encountered).<BR>
+<BR>
+<!--TOC section Synchronisation objects-->
+
+<H2><A NAME="htoc2">2</A>&nbsp;&nbsp;Synchronisation objects</H2><!--SEC END -->
+
+Synchronisation objects are used to synchronise threads within the
+same process. There is no inter-process synchronisation provided.
+The synchronisation objects provided are mutexes, condition variables
+and counting semaphores.<BR>
+<BR>
+<!--TOC subsection Mutex-->
+
+<H3><A NAME="htoc3">2.1</A>&nbsp;&nbsp;Mutex</H3><!--SEC END -->
+
+An object of type <TT>omni_mutex</TT> is used for mutual exclusion.
+It provides two operations, <TT>lock()</TT> and <TT>unlock()</TT>.
+The alternative names <TT>acquire()</TT> and <TT>release()</TT> can be
+used if preferred. Behaviour is undefined when a thread attempts to
+lock the same mutex again or when a mutex is locked by one thread and
+unlocked by a different thread.<BR>
+<BR>
+<!--TOC subsection Condition Variable-->
+
+<H3><A NAME="htoc4">2.2</A>&nbsp;&nbsp;Condition Variable</H3><!--SEC END -->
+
+A condition variable is represented by an <TT>omni_condition</TT> and
+is used for signalling between threads. A call to <TT>wait()</TT>
+causes a thread to wait on the condition variable. A call to
+<TT>signal()</TT> wakes up at least one thread if any are waiting. A
+call to <TT>broadcast()</TT> wakes up all threads waiting on the
+condition variable.<BR>
+<BR>
+When constructed, a pointer to an <TT>omni_mutex</TT> must be given.
+A condition variable <TT>wait()</TT> has an implicit mutex
+<TT>unlock()</TT> and <TT>lock()</TT> around it. The link between
+condition variable and mutex lasts for the lifetime of the condition
+variable (unlike pthreads where the link is only for the duration of
+the wait). The same mutex may be used with several condition
+variables.<BR>
+<BR>
+A wait with a timeout can be achieved by calling
+<TT>timed_wait()</TT>. This is given an absolute time to wait until.
+The routine <TT>omni_thread::get_time()</TT> can be used to turn a
+relative time into an absolute time. <TT>timed_wait()</TT> returns
+<TT>true</TT> if the condition was signalled, <TT>false</TT> if the
+time expired before the condition variable was signalled.<BR>
+<BR>
+<!--TOC subsection Counting semaphores-->
+
+<H3><A NAME="htoc5">2.3</A>&nbsp;&nbsp;Counting semaphores</H3><!--SEC END -->
+
+An <TT>omni_semaphore</TT> is a counting semaphore. When created it
+is given an initial unsigned integer value. When <TT>wait()</TT> is
+called, the value is decremented if non-zero. If the value is zero
+then the thread blocks instead. When <TT>post()</TT> is called, if
+any threads are blocked in <TT>wait()</TT>, exactly one thread is
+woken. If no threads were blocked then the value of the semaphore is
+incremented.<BR>
+<BR>
+If a thread calls <TT>try_wait()</TT>, then the thread won't block if
+the semaphore's value is 0, returning <TT>false</TT> instead.<BR>
+<BR>
+There is no way of querying the value of the semaphore.<BR>
+<BR>
+<!--TOC section Thread object-->
+
+<H2><A NAME="htoc6">3</A>&nbsp;&nbsp;Thread object</H2><!--SEC END -->
+
+A thread is represented by an <TT>omni_thread</TT> object. There are
+broadly two different ways in which it can be used.<BR>
+<BR>
+The first way is simply to create an <TT>omni_thread</TT> object,
+giving a particular function which the thread should execute. This is
+like the POSIX (or any other) C language interface.<BR>
+<BR>
+The second method of use is to create a new class which inherits from
+<TT>omni_thread</TT>. In this case the thread will execute the
+<TT>run()</TT> member function of the new class. One advantage of
+this scheme is that thread-specific data can be implemented simply by
+having data members of the new class.<BR>
+<BR>
+When constructed a thread is in the "new" state and has not actually
+started. A call to <TT>start()</TT> causes the thread to begin
+executing. A static member function <TT>create()</TT> is provided to
+construct and start a thread in a single call. A thread exits by
+calling <TT>exit()</TT> or by returning from the thread function.<BR>
+<BR>
+Threads can be either detached or undetached. Detached threads are
+threads for which all state will be lost upon exit. Other threads
+cannot determine when a detached thread will disappear, and therefore
+should not attempt to access the thread object unless some explicit
+synchronisation with the detached thread guarantees that it still
+exists.<BR>
+<BR>
+Undetached threads are threads for which storage is not reclaimed
+until another thread waits for its termination by calling
+<TT>join()</TT>. An exit value can be passed from an undetached
+thread to the thread which joins it.<BR>
+<BR>
+Detached / undetached threads are distinguished on creation by the
+type of function they execute. Undetached threads execute a function
+which has a <TT>void*</TT> return type, whereas detached threads
+execute a function which has a <TT>void</TT> return type.
+Unfortunately C++ member functions are not allowed to be distinguished
+simply by their return type. Thus in the case of a derived class of
+<TT>omni_thread</TT> which needs an undetached thread, the member
+function executed by the thread is called <TT>run_undetached()</TT>
+rather than <TT>run()</TT>, and it is started by calling
+<TT>start_undetached()</TT> instead of <TT>start()</TT>.<BR>
+<BR>
+The abstraction currently supports three priorities of thread, but no
+guarantee is made of how this will affect underlying thread
+scheduling. The three priorities are <TT>PRIORITY_LOW</TT>,
+<TT>PRIORITY_NORMAL</TT> and <TT>PRIORITY_HIGH</TT>. By default all
+threads run at <TT>PRIORITY_NORMAL</TT>. A different priority can be
+specified on thread creation, or while the thread is running using
+<TT>set_priority().</TT> A thread's current priority is returned by
+<TT>priority()</TT>.<BR>
+<BR>
+Other functions provided are <TT>self()</TT> which returns the calling
+thread's <TT>omni_thread</TT> object, <TT>yield()</TT> which
+requests that other threads be allowed to run, <TT>id()</TT> which
+returns an integer id for the thread for use in debugging,
+<TT>state()</TT>, <TT>sleep()</TT> and <TT>get_time()</TT>.<BR>
+<BR>
+<!--TOC section Per-thread data-->
+
+<H2><A NAME="htoc7">4</A>&nbsp;&nbsp;Per-thread data</H2><!--SEC END -->
+
+omnithread supports per-thread data, via member functions of the
+<TT>omni_thread</TT> object.<BR>
+<BR>
+First, you must allocate a key for with the
+<TT>omni_thread::allocate_key()</TT> function. Then, any object
+whose class is derived from <TT>omni_thread::value_t</TT> can be
+stored using the <TT>set_value()</TT> function. Values are retrieved
+or removed with <TT>get_value()</TT> and <TT>remove_value()</TT>
+respectively.<BR>
+<BR>
+When the thread exits, all per-thread data is deleted (hence the base
+class with virtual destructor).<BR>
+<BR>
+Note that the per-thread data functions are <B>not</B> thread safe,
+so although you can access one thread's storage from another thread,
+there is no concurrency control. Unless you really know what you are
+doing, it is best to only access per-thread data from the thread it is
+attached to.<BR>
+<BR>
+<!--TOC section Using OMNI threads in your program-->
+
+<H2><A NAME="htoc8">5</A>&nbsp;&nbsp;Using OMNI threads in your program</H2><!--SEC END -->
+
+Obviously you need to include the <TT>omnithread.h</TT> header file in
+your source code, and link in the omnithread library with your
+executable. Because there is a single <TT>omnithread.h</TT> for all
+platforms, certain preprocessor defines must be given as compiler
+options. The easiest way to do this is to study the makefiles given
+in the examples provided with this distribution. If you are to
+include OMNI threads in your own development environment, these are
+the necessary preprocessor defines:<BR>
+<TABLE BORDER=1 CELLSPACING=0 CELLPADDING=1>
+<TR><TD ALIGN=left NOWRAP>Platform</TD>
+<TD ALIGN=left NOWRAP>Preprocessor Defines</TD>
+</TR>
+<TR><TD ALIGN=left NOWRAP>Sun Solaris 2.x</TD>
+<TD ALIGN=left NOWRAP><CODE>-D__sunos__ -D__sparc__ -D__OSVERSION__=5</CODE></TD>
+</TR>
+<TR><TD ALIGN=left NOWRAP>&nbsp;</TD>
+<TD ALIGN=left NOWRAP><CODE>-DSVR4 -DUsePthread -D_REENTRANT</CODE></TD>
+</TR>
+<TR><TD ALIGN=left NOWRAP>x86 Linux 2.0</TD>
+<TD ALIGN=left NOWRAP><CODE>-D__linux__ -D__i86__ -D__OSVERSION__=2</CODE></TD>
+</TR>
+<TR><TD ALIGN=left NOWRAP>with linuxthreads 0.5</TD>
+<TD ALIGN=left NOWRAP><CODE>-D_REENTRANT</CODE></TD>
+</TR>
+<TR><TD ALIGN=left NOWRAP>Digital Unix 3.2</TD>
+<TD ALIGN=left NOWRAP><CODE>-D__osf1__ -D__alpha__ -D__OSVERSION__=3</CODE></TD>
+</TR>
+<TR><TD ALIGN=left NOWRAP>&nbsp;</TD>
+<TD ALIGN=left NOWRAP><CODE>-D_REENTRANT</CODE></TD>
+</TR>
+<TR><TD ALIGN=left NOWRAP>Windows NT</TD>
+<TD ALIGN=left NOWRAP><CODE>-D__NT__ -MD</CODE></TD>
+</TR></TABLE><BR>
+<!--TOC section Threaded I/O shutdown for Unix-->
+
+<H2><A NAME="htoc9">6</A>&nbsp;&nbsp;Threaded I/O shutdown for Unix</H2><!--SEC END -->
+
+or, how one thread should tell another thread to shut down when it
+might be doing a blocking call on a socket.<BR>
+<BR>
+<B>If you are using omniORB, you don't need to worry about all
+this, since omniORB does it for you.</B> This section is only relevant
+if you are using omnithread in your own socket-based programming. It
+is also seriously out of date.<BR>
+<BR>
+Unfortunately there doesn't seem to be a standard way of doing this
+which works across all Unix systems. I have investigated the
+behaviour of Solaris 2.5 and Digital Unix 3.2. On Digital Unix
+everything is fine, as the obvious method using shutdown() seems to
+work OK. Unfortunately on Solaris shutdown can only be used on a
+connected socket, so we need devious means to get around this
+limitation. The details are summarised below:<BR>
+<BR>
+<!--TOC subsection read()-->
+
+<H3><A NAME="htoc10">6.1</A>&nbsp;&nbsp;read()</H3><!--SEC END -->
+
+Thread A is in a loop, doing <CODE>read(sock)</CODE>, processing the data,
+then going back into the read.<BR>
+<BR>
+Thread B comes along and wants to shut it down --- it can't cancel
+thread A since (i) working out how to clean up according to where A is
+in its loop is a nightmare, and (ii) this isn't available in
+omnithread anyway.<BR>
+<BR>
+On Solaris 2.5 and Digital Unix 3.2 the following strategy works:<BR>
+<BR>
+Thread B does <CODE>shutdown(sock,2)</CODE>.<BR>
+<BR>
+At this point thread A is either blocked inside <CODE>read(sock)</CODE>, or
+is elsewhere in the loop. If the former then read will return 0,
+indicating that the socket is closed. If the latter then eventually
+thread A will call <CODE>read(sock)</CODE> and then this will return 0.
+Thread A should <CODE>close(sock)</CODE>, do any other tidying up, and exit.<BR>
+<BR>
+If there is another point in the loop that thread A can block then
+obviously thread B needs to be aware of this and be able to wake it up
+in the appropriate way from that point.<BR>
+<BR>
+<!--TOC subsection accept()-->
+
+<H3><A NAME="htoc11">6.2</A>&nbsp;&nbsp;accept()</H3><!--SEC END -->
+
+Again thread A is in a loop, this time doing an accept on listenSock,
+dealing with a new connection and going back into accept. Thread B
+wants to cancel it.<BR>
+<BR>
+On Digital Unix 3.2 the strategy is identical to that for read:<BR>
+<BR>
+Thread B does <CODE>shutdown(listenSock,2)</CODE>. Wherever thread A is in
+the loop, eventually it will return <CODE>ECONNABORTED</CODE> from the
+accept call. It should <CODE>close(listenSock)</CODE>, tidy up as necessary
+and exit.<BR>
+<BR>
+On Solaris 2.5 thread B can't do <CODE>shutdown(listenSock,2)</CODE> ---
+this returns <CODE>ENOTCONN</CODE>. Instead the following strategy can be
+used:<BR>
+<BR>
+First thread B sets some sort of "shutdown flag" associated with
+listenSock. Then it does <CODE>getsockaddr(listenSock)</CODE> to find out
+which port listenSock is on (or knows already), sets up a socket
+dummySock, does <CODE>connect(dummySock,</CODE> <CODE>this host, port)</CODE> and
+finally does <CODE>close(dummySock)</CODE>.<BR>
+<BR>
+Wherever thread A is in the loop, eventually it will call
+<CODE>accept(listenSock)</CODE>. This will return successfully with a new
+socket, say connSock. Thread A then checks to see if the "shutdown
+flag" is set. If not, then it's a normal connection. If it is set,
+then thread A closes listenSock and connSock, tidies up and exits.<BR>
+<BR>
+<!--TOC subsection write()-->
+
+<H3><A NAME="htoc12">6.3</A>&nbsp;&nbsp;write()</H3><!--SEC END -->
+
+Thread A may be blocked in write, or about to go in to a
+potentially-blocking write. Thread B wants to shut it down.<BR>
+<BR>
+On Solaris 2.5:<BR>
+<BR>
+Thread B does <CODE>shutdown(sock,2)</CODE>.<BR>
+<BR>
+If thread A is already in <CODE>write(sock)</CODE> then it will return with
+<CODE>ENXIO</CODE>. If thread A calls write after thread B calls shutdown
+this will return <CODE>EIO</CODE>.<BR>
+<BR>
+On Digital Unix 3.2:<BR>
+<BR>
+Thread B does <CODE>shutdown(sock,2)</CODE>.<BR>
+<BR>
+If thread A is already in <CODE>write(sock)</CODE> then it will return the
+number of bytes written before it became blocked. A subsequent call
+to write will then generate <CODE>SIGPIPE</CODE> (or <CODE>EPIPE</CODE> will be
+returned if <CODE>SIGPIPE</CODE> is ignored by the thread).<BR>
+<BR>
+<!--TOC subsection connect()-->
+
+<H3><A NAME="htoc13">6.4</A>&nbsp;&nbsp;connect()</H3><!--SEC END -->
+
+Thread A may be blocked in connect, or about to go in to a
+potentially-blocking connect. Thread B wants to shut it down.<BR>
+<BR>
+On Digital Unix 3.2:<BR>
+<BR>
+Thread B does <CODE>shutdown(sock,2)</CODE>.<BR>
+<BR>
+If thread A is already in <CODE>connect(sock)</CODE> then it will return a
+successful connection. Subsequent reading or writing will show that
+the socket has been shut down (i.e. read returns 0, write generates
+<CODE>SIGPIPE</CODE> or returns <CODE>EPIPE</CODE>). If thread A calls connect
+after thread B calls shutdown this will return <CODE>EINVAL</CODE>.<BR>
+<BR>
+On Solaris 2.5:<BR>
+<BR>
+There is no way to wake up a thread which is blocked in connect.
+Instead Solaris forces us through a ridiculous procedure whichever way
+we try it. One way is this:<BR>
+<BR>
+First thread A creates a pipe in addition to the socket. Instead of
+shutting down the socket, thread B simply writes a byte to the pipe.<BR>
+<BR>
+Thread A meanwhile sets the socket to non-blocking mode using
+<CODE>fcntl(sock,</CODE> <CODE>F_SETFL, O_NONBLOCK)</CODE>. Then it calls connect
+on the socket --- this will return <CODE>EINPROGRESS</CODE>. Then it must
+call <CODE>select()</CODE>, waiting for either sock to become writable or
+for the pipe to become readable. If select returns that just sock is
+writable then the connection has succeeded. It then needs to set the
+socket back to blocking mode using <CODE>fcntl(sock, F_SETFL, 0)</CODE>. If
+instead select returns that the pipe is readable, thread A closes the
+socket, tidies up and exits.<BR>
+<BR>
+An alternative method is similar but to use polling instead of the
+pipe. Thread B justs sets a flag and thread A calls select with a
+timeout, periodically waking up to see if the flag has been set.<BR>
+<BR>
+<!--TOC section References-->
+
+<H2>References</H2><!--SEC END -->
+<DL COMPACT=compact><DT><A NAME="pthreads"><FONT COLOR=purple>[POSIX94]</FONT></A><DD>
+<EM>Portable Operating System Interface (POSIX) Threads Extension</EM>,
+P1003.1c Draft 10,
+IEEE,
+September 1994.<BR>
+<BR>
+<DT><A NAME="birrell"><FONT COLOR=purple>[Birrell89]</FONT></A><DD>
+<EM>An Introduction to Programming with Threads</EM>,
+Research Report 35,
+DEC Systems Research Center,
+Palo Alto, CA,
+January 1989.</DL>
+<!--HTMLFOOT-->
+<!--ENDHTML-->
+<!--FOOTER-->
+<HR SIZE=2>
+<BLOCKQUOTE><EM>This document was translated from L<sup>A</sup>T<sub>E</sub>X by
+</EM><A HREF="http://pauillac.inria.fr/~maranget/hevea/index.html"><EM>H<FONT SIZE=2><sup>E</sup></FONT>V<FONT SIZE=2><sup>E</sup></FONT>A</EM></A><EM>.
+</EM></BLOCKQUOTE>
+</BODY>
+</HTML>
diff --git a/docs/doxygen/other/omnithread.pdf b/docs/doxygen/other/omnithread.pdf
new file mode 100644 (file)
index 0000000..b542187
Binary files /dev/null and b/docs/doxygen/other/omnithread.pdf differ
diff --git a/docs/doxygen/other/omnithread.ps b/docs/doxygen/other/omnithread.ps
new file mode 100644 (file)
index 0000000..9e858f0
--- /dev/null
@@ -0,0 +1,730 @@
+%!PS-Adobe-2.0
+%%Creator: dvipsk 5.86 p1.5d Copyright 1996-2001 ASCII Corp.(www-ptex@ascii.co.jp)
+%%based on dvipsk 5.86 Copyright 1999 Radical Eye Software (www.radicaleye.com)
+%%Title: omnithread.dvi
+%%Pages: 7
+%%PageOrder: Ascend
+%%BoundingBox: 0 0 596 842
+%%DocumentFonts: Palatino-Roman Palatino-Italic Palatino-Bold Courier
+%%EndComments
+%DVIPSWebPage: (www.radicaleye.com)
+%DVIPSCommandLine: dvips omnithread
+%DVIPSParameters: dpi=600, compressed
+%DVIPSSource:  TeX output 2002.08.15:1756
+%%BeginProcSet: texc.pro
+%!
+/TeXDict 300 dict def TeXDict begin/N{def}def/B{bind def}N/S{exch}N/X{S
+N}B/A{dup}B/TR{translate}N/isls false N/vsize 11 72 mul N/hsize 8.5 72
+mul N/landplus90{false}def/@rigin{isls{[0 landplus90{1 -1}{-1 1}ifelse 0
+0 0]concat}if 72 Resolution div 72 VResolution div neg scale isls{
+landplus90{VResolution 72 div vsize mul 0 exch}{Resolution -72 div hsize
+mul 0}ifelse TR}if Resolution VResolution vsize -72 div 1 add mul TR[
+matrix currentmatrix{A A round sub abs 0.00001 lt{round}if}forall round
+exch round exch]setmatrix}N/@landscape{/isls true N}B/@manualfeed{
+statusdict/manualfeed true put}B/@copies{/#copies X}B/FMat[1 0 0 -1 0 0]
+N/FBB[0 0 0 0]N/nn 0 N/IEn 0 N/ctr 0 N/df-tail{/nn 8 dict N nn begin
+/FontType 3 N/FontMatrix fntrx N/FontBBox FBB N string/base X array
+/BitMaps X/BuildChar{CharBuilder}N/Encoding IEn N end A{/foo setfont}2
+array copy cvx N load 0 nn put/ctr 0 N[}B/sf 0 N/df{/sf 1 N/fntrx FMat N
+df-tail}B/dfs{div/sf X/fntrx[sf 0 0 sf neg 0 0]N df-tail}B/E{pop nn A
+definefont setfont}B/Cw{Cd A length 5 sub get}B/Ch{Cd A length 4 sub get
+}B/Cx{128 Cd A length 3 sub get sub}B/Cy{Cd A length 2 sub get 127 sub}
+B/Cdx{Cd A length 1 sub get}B/Ci{Cd A type/stringtype ne{ctr get/ctr ctr
+1 add N}if}B/id 0 N/rw 0 N/rc 0 N/gp 0 N/cp 0 N/G 0 N/CharBuilder{save 3
+1 roll S A/base get 2 index get S/BitMaps get S get/Cd X pop/ctr 0 N Cdx
+0 Cx Cy Ch sub Cx Cw add Cy setcachedevice Cw Ch true[1 0 0 -1 -.1 Cx
+sub Cy .1 sub]/id Ci N/rw Cw 7 add 8 idiv string N/rc 0 N/gp 0 N/cp 0 N{
+rc 0 ne{rc 1 sub/rc X rw}{G}ifelse}imagemask restore}B/G{{id gp get/gp
+gp 1 add N A 18 mod S 18 idiv pl S get exec}loop}B/adv{cp add/cp X}B
+/chg{rw cp id gp 4 index getinterval putinterval A gp add/gp X adv}B/nd{
+/cp 0 N rw exit}B/lsh{rw cp 2 copy get A 0 eq{pop 1}{A 255 eq{pop 254}{
+A A add 255 and S 1 and or}ifelse}ifelse put 1 adv}B/rsh{rw cp 2 copy
+get A 0 eq{pop 128}{A 255 eq{pop 127}{A 2 idiv S 128 and or}ifelse}
+ifelse put 1 adv}B/clr{rw cp 2 index string putinterval adv}B/set{rw cp
+fillstr 0 4 index getinterval putinterval adv}B/fillstr 18 string 0 1 17
+{2 copy 255 put pop}for N/pl[{adv 1 chg}{adv 1 chg nd}{1 add chg}{1 add
+chg nd}{adv lsh}{adv lsh nd}{adv rsh}{adv rsh nd}{1 add adv}{/rc X nd}{
+1 add set}{1 add clr}{adv 2 chg}{adv 2 chg nd}{pop nd}]A{bind pop}
+forall N/D{/cc X A type/stringtype ne{]}if nn/base get cc ctr put nn
+/BitMaps get S ctr S sf 1 ne{A A length 1 sub A 2 index S get sf div put
+}if put/ctr ctr 1 add N}B/I{cc 1 add D}B/bop{userdict/bop-hook known{
+bop-hook}if/SI save N @rigin 0 0 moveto/V matrix currentmatrix A 1 get A
+mul exch 0 get A mul add .99 lt{/QV}{/RV}ifelse load def pop pop}N/eop{
+SI restore userdict/eop-hook known{eop-hook}if showpage}N/@start{
+userdict/start-hook known{start-hook}if pop/VResolution X/Resolution X
+1000 div/DVImag X/IEn 256 array N 2 string 0 1 255{IEn S A 360 add 36 4
+index cvrs cvn put}for pop 65781.76 div/vsize X 65781.76 div/hsize X}N
+/dir 0 def/dyy{/dir 0 def}B/dyt{/dir 1 def}B/dty{/dir 2 def}B/dtt{/dir 3
+def}B/p{dir 2 eq{-90 rotate show 90 rotate}{dir 3 eq{-90 rotate show 90
+rotate}{show}ifelse}ifelse}N/RMat[1 0 0 -1 0 0]N/BDot 260 string N/Rx 0
+N/Ry 0 N/V{}B/RV/v{/Ry X/Rx X V}B statusdict begin/product where{pop
+false[(Display)(NeXT)(LaserWriter 16/600)]{A length product length le{A
+length product exch 0 exch getinterval eq{pop true exit}if}{pop}ifelse}
+forall}{false}ifelse end{{gsave TR -.1 .1 TR 1 1 scale Rx Ry false RMat{
+BDot}imagemask grestore}}{{gsave TR -.1 .1 TR Rx Ry scale 1 1 false RMat
+{BDot}imagemask grestore}}ifelse B/QV{gsave newpath transform round exch
+round exch itransform moveto Rx 0 rlineto 0 Ry neg rlineto Rx neg 0
+rlineto fill grestore}B/a{moveto}B/delta 0 N/tail{A/delta X 0 rmoveto}B
+/M{S p delta add tail}B/b{S p tail}B/c{-4 M}B/d{-3 M}B/e{-2 M}B/f{-1 M}
+B/g{0 M}B/h{1 M}B/i{2 M}B/j{3 M}B/k{4 M}B/w{0 rmoveto}B/l{p -4 w}B/m{p
+-3 w}B/n{p -2 w}B/o{p -1 w}B/q{p 1 w}B/r{p 2 w}B/s{p 3 w}B/t{p 4 w}B/x{
+0 S rmoveto}B/y{3 2 roll p a}B/bos{/SS save N}B/eos{SS restore}B end
+
+%%EndProcSet
+%%BeginProcSet: 8r.enc
+% @@psencodingfile@{
+%   author = "S. Rahtz, P. MacKay, Alan Jeffrey, B. Horn, K. Berry",
+%   version = "0.6",
+%   date = "1 July 1998",
+%   filename = "8r.enc",
+%   email = "tex-fonts@@tug.org",
+%   docstring = "Encoding for TrueType or Type 1 fonts
+%                to be used with TeX."
+% @}
+% 
+% Idea is to have all the characters normally included in Type 1 fonts
+% available for typesetting. This is effectively the characters in Adobe
+% Standard Encoding + ISO Latin 1 + extra characters from Lucida.
+% 
+% Character code assignments were made as follows:
+% 
+% (1) the Windows ANSI characters are almost all in their Windows ANSI
+% positions, because some Windows users cannot easily reencode the
+% fonts, and it makes no difference on other systems. The only Windows
+% ANSI characters not available are those that make no sense for
+% typesetting -- rubout (127 decimal), nobreakspace (160), softhyphen
+% (173). quotesingle and grave are moved just because it's such an
+% irritation not having them in TeX positions.
+% 
+% (2) Remaining characters are assigned arbitrarily to the lower part
+% of the range, avoiding 0, 10 and 13 in case we meet dumb software.
+% 
+% (3) Y&Y Lucida Bright includes some extra text characters; in the
+% hopes that other PostScript fonts, perhaps created for public
+% consumption, will include them, they are included starting at 0x12.
+% 
+% (4) Remaining positions left undefined are for use in (hopefully)
+% upward-compatible revisions, if someday more characters are generally
+% available.
+% 
+% (5) hyphen appears twice for compatibility with both 
+% ASCII and Windows.
+% 
+/TeXBase1Encoding [
+% 0x00 (encoded characters from Adobe Standard not in Windows 3.1)
+  /.notdef /dotaccent /fi /fl
+  /fraction /hungarumlaut /Lslash /lslash
+  /ogonek /ring /.notdef
+  /breve /minus /.notdef 
+% These are the only two remaining unencoded characters, so may as
+% well include them.
+  /Zcaron /zcaron 
+% 0x10
+ /caron /dotlessi 
+% (unusual TeX characters available in, e.g., Lucida Bright)
+ /dotlessj /ff /ffi /ffl 
+ /.notdef /.notdef /.notdef /.notdef
+ /.notdef /.notdef /.notdef /.notdef
+ % very contentious; it's so painful not having quoteleft and quoteright
+ % at 96 and 145 that we move the things normally found there to here.
+ /grave /quotesingle 
+% 0x20 (ASCII begins)
+ /space /exclam /quotedbl /numbersign
+ /dollar /percent /ampersand /quoteright
+ /parenleft /parenright /asterisk /plus /comma /hyphen /period /slash
+% 0x30
+ /zero /one /two /three /four /five /six /seven
+ /eight /nine /colon /semicolon /less /equal /greater /question
+% 0x40
+ /at /A /B /C /D /E /F /G /H /I /J /K /L /M /N /O
+% 0x50
+ /P /Q /R /S /T /U /V /W
+ /X /Y /Z /bracketleft /backslash /bracketright /asciicircum /underscore
+% 0x60
+ /quoteleft /a /b /c /d /e /f /g /h /i /j /k /l /m /n /o
+% 0x70
+ /p /q /r /s /t /u /v /w
+ /x /y /z /braceleft /bar /braceright /asciitilde
+ /.notdef % rubout; ASCII ends
+% 0x80
+ /.notdef /.notdef /quotesinglbase /florin
+ /quotedblbase /ellipsis /dagger /daggerdbl
+ /circumflex /perthousand /Scaron /guilsinglleft
+ /OE /.notdef /.notdef /.notdef
+% 0x90
+ /.notdef /.notdef /.notdef /quotedblleft
+ /quotedblright /bullet /endash /emdash
+ /tilde /trademark /scaron /guilsinglright
+ /oe /.notdef /.notdef /Ydieresis
+% 0xA0
+ /.notdef % nobreakspace
+ /exclamdown /cent /sterling
+ /currency /yen /brokenbar /section
+ /dieresis /copyright /ordfeminine /guillemotleft
+ /logicalnot
+ /hyphen % Y&Y (also at 45); Windows' softhyphen
+ /registered
+ /macron
+% 0xD0
+ /degree /plusminus /twosuperior /threesuperior
+ /acute /mu /paragraph /periodcentered
+ /cedilla /onesuperior /ordmasculine /guillemotright
+ /onequarter /onehalf /threequarters /questiondown
+% 0xC0
+ /Agrave /Aacute /Acircumflex /Atilde /Adieresis /Aring /AE /Ccedilla
+ /Egrave /Eacute /Ecircumflex /Edieresis
+ /Igrave /Iacute /Icircumflex /Idieresis
+% 0xD0
+ /Eth /Ntilde /Ograve /Oacute
+ /Ocircumflex /Otilde /Odieresis /multiply
+ /Oslash /Ugrave /Uacute /Ucircumflex
+ /Udieresis /Yacute /Thorn /germandbls
+% 0xE0
+ /agrave /aacute /acircumflex /atilde
+ /adieresis /aring /ae /ccedilla
+ /egrave /eacute /ecircumflex /edieresis
+ /igrave /iacute /icircumflex /idieresis
+% 0xF0
+ /eth /ntilde /ograve /oacute
+ /ocircumflex /otilde /odieresis /divide
+ /oslash /ugrave /uacute /ucircumflex
+ /udieresis /yacute /thorn /ydieresis
+] def
+
+%%EndProcSet
+%%BeginProcSet: texps.pro
+%!
+TeXDict begin/rf{findfont dup length 1 add dict begin{1 index/FID ne 2
+index/UniqueID ne and{def}{pop pop}ifelse}forall[1 index 0 6 -1 roll
+exec 0 exch 5 -1 roll VResolution Resolution div mul neg 0 0]/Metrics
+exch def dict begin 0 1 255{exch dup type/integertype ne{pop pop 1 sub
+dup 0 le{pop}{[}ifelse}{FontMatrix 0 get div Metrics 0 get div def}
+ifelse}for Metrics/Metrics currentdict end def[2 index currentdict end
+definefont 3 -1 roll makefont/setfont cvx]cvx def}def/ObliqueSlant{dup
+sin S cos div neg}B/SlantFont{4 index mul add}def/ExtendFont{3 -1 roll
+mul exch}def/ReEncodeFont{CharStrings rcheck{/Encoding false def dup[
+exch{dup CharStrings exch known not{pop/.notdef/Encoding true def}if}
+forall Encoding{]exch pop}{cleartomark}ifelse}if/Encoding exch def}def
+end
+
+%%EndProcSet
+TeXDict begin 39158280 55380996 1000 600 600 (omnithread.dvi)
+@start /Fa 134[ 45 45 66 1[ 51 30 35 35 1[ 45 40 51 71
+25 2[ 25 45 45 25 35 45 37 42 40 8[ 66 3[ 56 51 2[ 56
+71 5[ 30 3[ 56 3[ 66 23[ 30 30 40[{ TeXBase1Encoding ReEncodeFont} 31
+90.9091 /Palatino-Italic rf /Fb 134[ 51 1[ 76 1[ 56 30
+40 35 2[ 51 56 81 30 2[ 30 56 51 35 45 56 40 56 45 14[ 66
+2[ 76 5[ 35 6[ 61 19[ 23 30 23 4[ 25 39[{ TeXBase1Encoding ReEncodeFont}
+27 90.9091 /Palatino-Bold rf /Fc 135[ 50 83 1[ 61 33
+44 39 1[ 61 55 61 89 33 2[ 33 61 55 1[ 50 61 44 61 50
+10[ 78 8[ 100 9[ 72 12[ 50 1[ 50 50 50 50 2[ 25 4[ 33
+33 40[{ TeXBase1Encoding ReEncodeFont} 30 99.6264 /Palatino-Bold
+rf /Fd 134[ 55 55 55 55 55 55 55 55 55 55 55 55 55 55
+55 55 55 55 55 55 55 55 55 55 55 1[ 55 5[ 55 55 55 55
+55 55 55 55 1[ 55 55 55 55 55 55 1[ 55 55 55 55 55 55
+55 55 55 3[ 55 2[ 55 1[ 55 1[ 55 55 55 55 55 55 55 1[ 55
+55 55 1[ 55 55 55 40[{ TeXBase1Encoding ReEncodeFont} 65
+90.9091 /Courier rf /Fe 141[ 36 12[ 44 56 2[ 45 7[ 61
+61 91 1[ 71 56 48 61 2[ 71 76 3[ 30 31 76 1[ 51 56 70
+64 56 71 10[ 45 3[ 45 45 1[ 55 23 4[ 30 30 40[{
+.167 SlantFont TeXBase1Encoding ReEncodeFont} 29 90.9091
+/Palatino-Roman rf
+%DVIPSBitmapFont: Ff cmsy10 10.95 1
+/Ff 1 16 df<EB0FFCEB3FFF90B512C0000314F04880488048804880A2481580A3B712C0
+AA6C1580A36C1500A26C5C6C5C6C5C6C5CC614C0013F90C7FCEB0FFC22227BA72D> 15
+D E
+%EndDVIPSBitmapFont
+/Fg 104[ 91 2[ 45 45 24[ 45 51 47 76 51 55 30 39 36 51
+55 50 53 80 26 51 21 26 53 51 30 44 56 40 50 45 3[ 30
+1[ 30 2[ 61 91 66 71 56 48 61 1[ 55 71 76 86 56 66 30
+31 76 1[ 51 56 70 64 56 71 6[ 23 45 45 45 45 45 45 45
+45 45 45 55 23 30 23 55 1[ 30 30 25 4[ 34 30[ 55 55 2[{
+TeXBase1Encoding ReEncodeFont} 75 90.9091 /Palatino-Roman
+rf /Fh 134[ 66 60 100 1[ 73 40 53 47 1[ 73 66 73 106
+2[ 40 40 73 66 47 60 73 53 73 60 11[ 93 80 73 86 1[ 73
+100 100 120 3[ 47 18[ 60 60 60 60 60 60 1[ 35 1[ 40 45[{
+TeXBase1Encoding ReEncodeFont} 38 119.552 /Palatino-Bold
+rf /Fi 137[ 50 2[ 39 9[ 28 3[ 39 50 17[ 66 82[{
+TeXBase1Encoding ReEncodeFont} 6 99.6264 /Palatino-Italic
+rf /Fj 137[ 56 1[ 32 42 39 2[ 54 58 88 3[ 29 58 55 1[ 48
+61 44 55 50 12[ 61 1[ 67 3[ 83 1[ 61 8[ 71 1[ 78 14[ 50
+50 50 9[ 78 38[{ TeXBase1Encoding ReEncodeFont} 25 99.6264
+/Palatino-Roman rf /Fk 139[ 47 61 57 2[ 78 83 4[ 42 83
+2[ 69 88 64 79 72 12[ 88 4[ 113 119 136 3[ 48 7[ 112
+65[{ TeXBase1Encoding ReEncodeFont} 18 143.462 /Palatino-Roman
+rf end
+%%EndProlog
+%%BeginSetup
+%%Feature: *Resolution 600dpi
+TeXDict begin
+%%PaperSize: A4
+
+%%EndSetup
+%%Page: 1 1
+1 0 bop Fk 728 918 a(The) 36 b(OMNI) g(Thr) m(ead) f(Abstracti) n(on) p
+Fj 1293 1176 a(T) -9 b(ristan) 26 b(Richar) n(dson) 1022
+1293 y(A) -7 b(T&T) 24 b(Laboratories) i(Cambridge) p
+Fi 1192 1504 a(Revised) p Fj 24 w(November) f(2001) p
+Fh 63 1961 a(1) 119 b(Introduction) p Fg 63 2183 a(The) 20
+b(OMNI) h(thr) n(ead) g(abstraction) g(is) h(des) n(igned) f(to) f(pr) n
+(ovide) h(a) h(common) f(set) f(of) h(thr) n(ead) g(oper) n(-) 63
+2296 y(ations) h(for) g(use) g(in) h(pr) n(ograms) f(written) f(in) i
+(C++.) 29 b(Pr) n(ograms) 22 b(written) g(using) f(the) h(abstraction)
+63 2409 y(should) 30 b(be) g(much) i(easier) e(to) g(port) g(betwee) n
+(n) h(dif) n(fer) n(ent) g(ar) n(chi) r(tectur) l(es) g(with) g(dif) n
+(fer) n(ent) f(un-) 63 2522 y(derlying) 21 b(thr) n(eads) h
+(primitives.) 204 2640 y(The) 17 b(pr) n(ogramming) h(interface) g(is) f
+(designed) f(to) h(be) h(similar) h(to) e(the) g(C) i(language) e
+(interface) 63 2753 y(to) k(POSIX) h(thr) n(eads) f(\(IEEE) g(draft) h
+(standar) n(d) f(100) r(3.1c) i(\227) f(pr) n(eviously) g(1003) r(.4a,)
+g(often) g(known) 63 2866 y(as) g(\223pthr) n(eads\224) h([POSIX94]\).)
+204 2985 y(Much) 18 b(of) f(the) g(abstraction) i(consists) d(of) i
+(simple) g(C++) g(object) g(wrappers) e(ar) n(ound) h(pthr) n(ead) 63
+3098 y(calls.) 28 b(Howe) n(ver) 20 b(for) f(some) f(featur) n(es) g
+(such) h(as) h(thr) n(ead-spe) n(ci\002c) h(data,) f(a) f(better) f
+(interface) i(can) 63 3211 y(be) i(of) n(fer) n(ed) g(because) h(of) f
+(the) g(use) g(of) g(C++.) 204 3329 y(Some) 28 b(of) h(the) f(mor) n(e)
+h(complex) f(featur) n(es) g(of) h(pthr) n(eads) f(ar) n(e) h(not) f
+(suppo) n(rted) g(because) h(of) 63 3442 y(the) e(dif) n(\002culty) i
+(of) f(ensuring) g(the) f(same) h(featur) n(es) g(can) h(be) f(of) n
+(fer) n(ed) g(on) g(top) g(of) g(other) f(thr) n(ead) 63
+3555 y(sys) n(tems.) f(Such) 17 b(featur) n(es) g(include) h(thr) n
+(ead) f(cancell) r(ation) g(and) h(complex) g(schedu) n(ling) h(contr) n
+(ol) 63 3668 y(\(thoug) n(h) k(simple) g(thr) n(ead) f(priorities) g
+(ar) n(e) h(supp) n(orted\).) 204 3787 y(The) i(abstraction) h(layer) h
+(is) f(curr) n(ently) f(implemented) g(for) h(the) f(following) i(ar) n
+(chitectur) n(es) 63 3900 y(/) 22 b(thr) n(ead) h(sys) n(tems:) p
+Ff 199 4141 a(\017) p Fg 46 w(Solaris) g(2.x) g(using) f(pthr) n(eads) f
+(draft) h(10) p Ff 199 4351 a(\017) p Fg 46 w(Solaris) h(2.x) g(using) f
+(solaris) g(thr) n(eads) g(\(but) h(pthr) n(eads) e(version) h(is) h
+(now) f(standar) n(d\)) p Ff 199 4561 a(\017) p Fg 46
+w(Alpha) h(OSF1) g(using) f(pthr) n(eads) f(draft) i(4) p
+Ff 199 4772 a(\017) p Fg 46 w(W) -5 b(indows) 21 b(NT) h(using) g(NT) g
+(thr) n(eads) p Ff 199 4982 a(\017) p Fg 46 w(Linux) g(2.x) h(using) f
+(Linuxthr) n(ead) g(0.5) h(\(which) h(is) e(based) g(on) h(pthr) n
+(eads) e(draft) i(10\)) p Ff 199 5193 a(\017) p Fg 46
+w(Linux) f(2.x) h(using) f(MIT) g(pthr) n(eads) f(\(which) j(is) f
+(based) f(on) g(draft) h(8\)) p Ff 199 5403 a(\017) p
+Fg 46 w(A) -7 b(TMos) 22 b(using) g(pthr) n(eads) f(draft) h(6) i
+(\(but) e(not) g(V) -5 b(irata) 23 b(A) -7 b(TMos\)) 1684
+5652 y(1) p 90 rotate dyy eop
+%%Page: 2 2
+2 1 bop Fg 221 249 a(2) p Fe 1797 w(2) 91 b(SYNCHRONI) n(SA) -7
+b(TION) 22 b(OBJECTS) p Fg 362 548 a(See) h(the) p Fd
+22 w(omni) n(thre) n(ad.h) p Fg 22 w(header) f(\002le) i(for) f(full) i
+(det) n(ail) r(s) e(of) g(the) g(API.) f(The) h(des) n(criptions) 221
+661 y(below) 35 b(assume) g(you) g(have) h(some) e(pr) n(evious) h
+(knowled) n(ge) g(of) h(thr) n(eads) n(,) j(mutexes) n(,) g(condi-) 221
+774 y(tion) f(varia) r(bles) g(and) g(semaphor) n(es) n(.) 75
+b(Also) 38 b(r) n(efer) f(to) h(other) f(document) n(ation) i(\([Birr) n
+(ell89) r(],) 221 887 y([POSIX94) q(]\)) 22 b(for) g(further) f
+(explanation) i(of) f(thes) n(e) g(ideas) g(\(particularly) h
+(condition) f(variab) r(les,) 221 1000 y(the) g(use) g(of) g(which) h
+(may) g(not) f(be) h(particularly) h(intuitive) f(when) f(\002rst) f
+(encounter) n(ed) n(\).) p Fh 221 1299 a(2) 119 b(Synchronisation) 31
+b(objects) p Fg 221 1510 a(Synchr) n(onisation) 26 b(objects) h(ar) n
+(e) g(used) e(to) h(synchr) n(onise) g(thr) n(eads) g(within) h(the) f
+(same) h(pr) n(ocess) n(.) 221 1623 y(Ther) n(e) j(is) i(no) f(inter) n
+(-pr) n(ocess) f(synchr) n(onisation) g(pr) n(ovided.) 53
+b(The) 30 b(synchr) n(onisation) h(objects) 221 1735
+y(pr) n(ovided) 22 b(ar) n(e) h(mutexes) n(,) g(condition) f(variab) r
+(les) g(and) h(counting) f(semaphor) n(e) n(s.) p Fc
+221 1991 a(2.1) 99 b(Mutex) p Fg 221 2168 a(An) 26 b(object) g(of) h
+(typ) n(e) p Fd 26 w(omni) n(_mute) n(x) p Fg 26 w(is) g(use) n(d) f
+(for) h(mutual) f(exclusion.) 39 b(It) 26 b(pr) n(ovides) f(two) g(op-)
+221 2281 y(erations,) p Fd 37 w(lock\() n(\)) p Fg 35
+w(and) p Fd 35 w(unloc) n(k\(\)) p Fg(.) 64 b(The) 35
+b(alternative) h(names) p Fd 35 w(acq) n(uire\() n(\)) p
+Fg 35 w(and) p Fd 35 w(re-) 221 2393 y(leas) n(e\(\)) p
+Fg 19 w(can) 21 b(be) f(used) f(if) i(pr) n(eferr) n(ed) n(.) 27
+b(Behaviour) 20 b(is) g(unde\002ne) n(d) g(when) f(a) i(thr) n(ead) f
+(attempt) n(s) 221 2506 y(to) 30 b(lock) h(the) e(same) i(mutex) f
+(again) h(or) f(when) g(a) h(mutex) f(is) h(locked) e(by) i(one) e(thr)
+n(ead) h(and) h(un-) 221 2619 y(locked) 22 b(by) h(a) g(dif) n(fer) n
+(ent) f(thr) n(ead.) p Fc 221 2874 a(2.2) 99 b(Condition) 26
+b(V) -11 b(ariable) p Fg 221 3051 a(A) 28 b(condition) f(varia) r(ble) h
+(is) g(r) n(epr) n(ese) n(nted) f(by) h(an) p Fd 28 w(omn) n(i_con) n
+(diti) n(on) p Fg 27 w(and) g(is) g(used) e(for) i(sig-) 221
+3164 y(nalli) r(ng) 20 b(betwee) n(n) g(thr) n(eads.) 26
+b(A) 21 b(call) h(to) p Fd 19 w(wait\() n(\)) p Fg 20
+w(causes) e(a) h(thr) n(ead) e(to) h(wait) h(on) f(the) f(condition) 221
+3277 y(varia) r(ble.) 45 b(A) 28 b(call) i(to) p Fd 27
+w(sign) n(al\(\)) p Fg 27 w(wakes) d(up) h(at) g(least) g(one) f(thr) n
+(ead) h(if) h(any) f(ar) n(e) g(waiting.) 45 b(A) 221
+3390 y(call) 25 b(to) p Fd 22 w(bro) n(adca) n(st\(\)) p
+Fg 21 w(wakes) d(up) g(all) i(thr) n(eads) e(waiting) h(on) f(the) g
+(condition) g(varia) r(ble.) 362 3503 y(When) 30 b(constr) o(ucte) n
+(d,) i(a) e(pointer) f(to) h(an) p Fd 30 w(omni) n(_mute) n(x) p
+Fg 30 w(must) f(be) h(given.) 50 b(A) 30 b(condition) 221
+3616 y(varia) r(ble) p Fd 33 w(wait\() n(\)) p Fg 33
+w(has) j(an) h(implic) r(it) f(mutex) p Fd 33 w(unlo) n(ck\(\)) p
+Fg 32 w(and) p Fd 33 w(lock) n(\(\)) p Fg 33 w(ar) n(ound) g(it.) 60
+b(The) 221 3729 y(link) 30 b(between) f(condition) g(varia) r(ble) h
+(and) g(mutex) f(lasts) g(for) h(the) f(lifetime) i(of) e(the) g
+(condition) 221 3842 y(varia) r(ble) c(\(unlike) h(pthr) n(eads) e
+(wher) n(e) g(the) h(link) h(is) f(only) g(for) g(the) g(duration) f
+(of) i(the) e(wait\).) 37 b(The) 221 3955 y(same) 23
+b(mutex) f(may) h(be) f(used) f(with) i(several) f(condition) h(variab)
+r(les.) 362 4068 y(A) c(wait) g(with) g(a) g(timeout) f(can) i(be) e
+(achieved) h(by) g(call) r(ing) p Fd 19 w(tim) n(ed_w) n(ait\(\)) p
+Fg -2 w(.) 27 b(This) 18 b(is) h(given) 221 4181 y(an) 27
+b(absolute) e(time) h(to) f(wait) h(until.) 38 b(The) 26
+b(r) n(outine) p Fd 25 w(omn) n(i_thr) n(ead:) n(:get_) n(time) n(\(\))
+p Fg 25 w(can) h(be) 221 4293 y(used) 21 b(to) h(turn) g(a) h(r) n
+(elativ) r(e) f(time) g(into) h(an) g(absolute) f(time.) p
+Fd 28 w(tim) n(ed_wa) n(it\(\)) p Fg 21 w(r) n(eturns) p
+Fd 21 w(true) p Fg 21 w(if) 221 4406 y(the) 27 b(condition) h(was) g
+(signalled,) p Fd 29 w(false) p Fg 26 w(if) h(the) f(time) g(expir) n
+(ed) f(befor) n(e) g(the) h(condition) f(vari) r(-) 221
+4519 y(able) d(was) e(signalled.) p Fc 221 4774 a(2.3) 99
+b(Counting) 26 b(semaphores) p Fg 221 4951 a(An) p Fd
+21 w(omn) n(i_sem) n(apho) n(re) p Fg 20 w(is) 21 b(a) h(counting) e
+(semaphor) n(e) n(.) 28 b(When) 20 b(cr) n(eated) g(it) h(is) g(given) g
+(an) g(initial) 221 5064 y(unsigned) j(intege) n(r) i(value.) 36
+b(When) p Fd 25 w(wai) n(t\(\)) p Fg 24 w(is) 26 b(called,) g(the) f
+(value) h(is) f(decr) n(emente) n(d) g(if) h(non-) 221
+5177 y(zer) n(o.) 43 b(If) 27 b(the) g(value) h(is) f(zer) n(o) h(then)
+f(the) f(thr) n(ead) h(blocks) h(instead.) 41 b(When) p
+Fd 27 w(post) n(\(\)) p Fg 27 w(is) 27 b(cal) r(led,) 221
+5290 y(if) h(any) g(thr) n(eads) e(ar) n(e) i(blocked) f(in) p
+Fd 27 w(wait\() n(\)) p Fg(,) h(exactly) f(one) g(thr) n(ead) g(is) g
+(woken.) 41 b(If) 27 b(no) g(thr) n(eads) 221 5403 y(wer) n(e) 22
+b(blocked) g(then) g(the) g(value) h(of) g(the) e(semaphor) n(e) h(is) h
+(incr) n(emented.) p 90 rotate dyy eop
+%%Page: 3 3
+3 2 bop Fg 3306 249 a(3) 204 548 y(If) 27 b(a) h(thr) n(ead) e(cal) r
+(ls) p Fd 27 w(try) n(_wait) n(\(\)) p Fg(,) h(then) g(the) f(thr) n
+(ead) g(won't) h(block) h(if) f(the) g(semaphor) n(e's) 63
+661 y(value) c(is) g(0,) g(r) n(eturning) p Fd 21 w(false) p
+Fg 21 w(instead.) 204 777 y(Ther) n(e) f(is) g(no) h(way) f(of) h
+(query) n(ing) g(the) f(value) h(of) g(the) f(semaphor) n(e) n(.) p
+Fh 63 1095 a(3) 119 b(Thread) 29 b(object) p Fg 63 1313
+a(A) 23 b(thr) n(ead) g(is) h(r) n(epr) n(ese) n(nted) e(by) i(an) p
+Fd 24 w(omni) n(_thr) n(ead) p Fg 23 w(object.) 30 b(Ther) n(e) 23
+b(ar) n(e) h(br) n(oadly) g(two) e(dif) n(fer) n(-) 63
+1426 y(ent) f(ways) i(in) g(which) g(it) g(can) g(be) g(used) n(.) 204
+1542 y(The) 28 b(\002rst) g(way) h(is) h(simply) f(to) f(cr) n(eate) h
+(an) p Fd 30 w(omn) n(i_thr) n(ead) p Fg 28 w(object,) h(giving) g(a) f
+(particula) r(r) 63 1655 y(function) c(which) h(the) e(thr) n(ead) h
+(should) f(execute) n(.) 36 b(This) 25 b(is) g(like) g(the) g(POSIX) f
+(\(or) i(any) f(other) n(\)) h(C) 63 1768 y(language) c(interface.) 204
+1884 y(The) k(second) f(method) h(of) h(use) e(is) i(to) f(cr) n(eate) h
+(a) g(new) g(class) g(which) g(inherits) f(fr) n(om) p
+Fd 28 w(omn) n(i_) 63 1997 y(thr) n(ead) p Fg(.) 50 b(In) 31
+b(this) f(case) g(the) g(thr) n(ead) g(will) i(execute) d(the) p
+Fd 29 w(run\(\)) p Fg 29 w(member) h(function) h(of) f(the) 63
+2109 y(new) 22 b(class.) 29 b(One) 23 b(advantage) g(of) g(this) g
+(scheme) f(is) h(that) g(thr) n(ead-speci\002c) g(data) g(can) h(be) f
+(imple-) 63 2222 y(mented) e(simply) h(by) h(having) g(data) g(members)
+f(of) h(the) f(new) g(class.) 204 2339 y(When) f(constr) o(ucted) g(a) i
+(thr) n(ead) f(is) g(in) h(the) e("new") h(state) f(and) h(has) g(not) g
+(actuall) r(y) g(starte) n(d.) 28 b(A) 63 2451 y(call) j(to) p
+Fd 29 w(sta) n(rt\(\)) p Fg 28 w(causes) e(the) g(thr) n(ead) g(to) g
+(begin) g(executing.) 47 b(A) 29 b(static) h(member) f(function) p
+Fd 63 2564 a(cre) n(ate\() n(\)) p Fg 32 w(is) j(pr) n(ovided) f(to) g
+(constr) o(uct) g(and) g(start) g(a) i(thr) n(ead) e(in) h(a) g(single)
+g(call.) 57 b(A) 31 b(thr) n(ead) 63 2677 y(exits) 21
+b(by) i(call) r(ing) p Fd 22 w(exit\() n(\)) p Fg 22
+w(or) g(by) f(r) n(eturning) g(fr) n(om) h(the) f(thr) n(ead) g
+(function.) 204 2793 y(Thr) n(eads) 27 b(can) j(be) e(either) g
+(detached) f(or) h(undetached) n(.) 46 b(Detached) 28
+b(thr) n(eads) g(ar) n(e) h(thr) n(eads) 63 2906 y(for) h(which) i(all)
+g(state) e(will) i(be) f(lost) f(upon) g(exit.) 52 b(Other) 30
+b(thr) n(eads) g(cannot) h(dete) n(rmine) g(when) 63
+3019 y(a) e(detached) f(thr) n(ead) h(will) h(disappear) -7
+b(,) 31 b(and) e(ther) n(efor) n(e) f(should) g(not) h(attempt) f(to) g
+(access) h(the) 63 3132 y(thr) n(ead) 23 b(object) g(unless) f(some) h
+(explicit) h(synchr) n(onisation) f(with) g(the) g(detached) f(thr) n
+(ead) h(guar) n(-) 63 3245 y(antees) e(that) h(it) h(still) g(exists.)
+204 3361 y(Undet) n(ached) 33 b(thr) n(eads) f(ar) n(e) i(thr) n(eads) e
+(for) h(which) g(storage) f(is) h(not) f(r) n(eclai) r(med) g(until) i
+(an-) 63 3474 y(othe) n(r) g(thr) n(ead) g(waits) g(for) g(its) g
+(termination) g(by) g(call) r(ing) p Fd 34 w(joi) n(n\(\)) p
+Fg(.) 61 b(An) 34 b(exit) g(value) h(can) g(be) 63 3587
+y(passe) n(d) 23 b(fr) n(om) g(an) g(undet) n(ached) g(thr) n(ead) f
+(to) g(the) g(thr) n(ead) g(which) h(joins) g(it.) 204
+3703 y(Detached) 31 b(/) h(undetached) e(thr) n(eads) h(ar) n(e) i
+(distinguish) n(ed) f(on) f(cr) n(eation) h(by) g(the) f(type) g(of) 63
+3816 y(function) 24 b(they) e(execute.) 30 b(Undetached) 22
+b(thr) n(eads) h(execute) g(a) h(function) g(which) g(has) g(a) p
+Fd 25 w(voi) n(d*) p Fg 63 3929 a(r) n(eturn) c(typ) n(e,) h(wher) n
+(eas) f(detached) f(thr) n(eads) h(execute) f(a) j(function) f(which) g
+(has) g(a) p Fd 21 w(void) p Fg 19 w(r) n(eturn) 63 4042
+y(typ) n(e.) 52 b(Unfortunate) n(ly) 31 b(C++) g(member) g(functions) f
+(ar) n(e) h(not) f(allowed) g(to) g(be) h(distingu) n(ished) 63
+4155 y(simply) 26 b(by) h(their) f(r) n(eturn) g(type) n(.) 40
+b(Thus) 26 b(in) h(the) f(case) h(of) g(a) g(derived) f(class) h(of) p
+Fd 26 w(omni_) n(thre) n(ad) p Fg 63 4268 a(which) 20
+b(needs) e(an) j(undet) n(ached) f(thr) n(ead,) g(the) f(member) h
+(function) g(execute) n(d) g(by) g(the) f(thr) n(ead) g(is) 63
+4380 y(called) p Fd 19 w(run_u) n(ndet) n(ache) n(d\(\)) p
+Fg 18 w(rather) f(than) p Fd 19 w(run\() n(\)) p Fg(,) h(and) g(it) g
+(is) g(started) e(by) i(calli) r(ng) p Fd 18 w(star) n(t_) 63
+4493 y(und) n(etac) n(hed\(\)) p Fg 21 w(instead) j(of) p
+Fd 22 w(start) n(\(\)) p Fg(.) 204 4610 y(The) i(abstraction) i(curr) n
+(ently) e(suppo) n(rts) h(thr) n(ee) f(priorities) g(of) h(thr) n(ead,)
+g(but) g(no) g(guarantee) 63 4722 y(is) 33 b(made) g(of) h(how) f(this)
+g(will) h(af) n(f) r(ect) f(underlying) f(thr) n(ead) h(scheduling.) 59
+b(The) 33 b(thr) n(ee) f(priori-) 63 4835 y(ties) 18
+b(ar) n(e) p Fd 20 w(PRIO) n(RITY) n(_LOW) p Fg(,) p
+Fd 18 w(PRIO) n(RITY) n(_NOR) n(MAL) p Fg 19 w(and) p
+Fd 19 w(PRIO) n(RITY) n(_HIG) n(H) p Fg(.) h(By) g(default) g(all) 63
+4948 y(thr) n(eads) i(r) o(un) i(at) p Fd 23 w(PRIO) n(RITY) n(_NORM) n
+(AL) p Fg(.) f(A) g(dif) n(fer) n(ent) h(priority) f(can) i(be) e
+(speci\002ed) g(on) g(thr) n(ead) 63 5061 y(cr) n(eation,) h(or) f
+(while) h(the) f(thr) n(ead) g(is) h(r) o(unning) g(using) p
+Fd 22 w(set_) n(prio) n(rity\() n(\).) p Fg 28 w(A) g(thr) n(ead's) f
+(cur) n(-) 63 5174 y(r) n(ent) g(priority) g(is) g(r) n(eturned) f(by) p
+Fd 23 w(pri) n(ority) n(\(\)) p Fg(.) 204 5290 y(Other) d(functions) g
+(pr) n(ovided) g(ar) n(e) p Fd 19 w(sel) n(f\(\)) p Fg
+18 w(which) h(r) n(eturns) e(the) h(calli) r(ng) g(thr) n(ead's) p
+Fd 18 w(omn) n(i_) 63 5403 y(thr) n(ead) p Fg 36 w(object,) p
+Fd 40 w(yie) n(ld\(\)) p Fg 35 w(which) 38 b(r) n(eques) n(ts) e(that) h
+(other) e(thr) n(eads) h(be) h(allowed) g(to) f(r) o(un,) p
+90 rotate dyy eop
+%%Page: 4 4
+4 3 bop Fg 221 249 a(4) p Fe 1367 w(6) 91 b(THRE) n(ADED) 23
+b(I/O) g(SHUTDOWN) f(FOR) g(UNIX) p Fd 221 548 a(id\(\)) p
+Fg 27 w(which) 29 b(r) n(eturns) e(an) i(intege) n(r) g(id) f(for) h
+(the) e(thr) n(ead) h(for) g(use) g(in) h(debug) n(ging,) p
+Fd 29 w(state) n(\(\)) p Fg(,) p Fd 221 661 a(slee) n(p\(\)) p
+Fg 22 w(and) p Fd 22 w(get_t) n(ime\() n(\)) p Fg(.) p
+Fh 221 953 a(4) 119 b(Per) n(-threa) n(d) 30 b(data) p
+Fg 221 1164 a(omnithr) n(ead) 22 b(suppo) n(rts) g(per) n(-thr) n(ead) f
+(data,) h(via) i(member) e(functions) f(of) i(the) p
+Fd 21 w(omni) n(_thr) n(ead) p Fg 221 1277 a(object.) 362
+1390 y(First,) d(you) g(must) h(allocate) h(a) f(key) f(for) g(with) h
+(the) p Fd 20 w(omni) n(_thre) n(ad::) n(allo) n(cate_) n(key\() n(\)) p
+Fg 221 1502 a(function.) 28 b(Then,) 21 b(any) h(object) g(whose) f
+(class) h(is) g(derived) f(fr) n(om) p Fd 23 w(omni) n(_thr) n(ead::) n
+(valu) n(e_t) p Fg 221 1615 a(can) 27 b(be) f(stor) n(e) n(d) g(using) f
+(the) p Fd 26 w(set) n(_val) n(ue\(\)) p Fg 25 w(function.) 38
+b(V) -8 b(alues) 25 b(ar) n(e) h(r) n(etrieved) f(or) h(r) n(emoved) 221
+1728 y(with) p Fd 23 w(get) n(_valu) n(e\(\)) p Fg 21
+w(and) p Fd 23 w(remo) n(ve_v) n(alue\() n(\)) p Fg 22
+w(r) n(espectively) -10 b(.) 362 1841 y(When) 23 b(the) g(thr) n(ead) g
+(exits,) g(all) i(per) n(-thr) n(ead) e(data) h(is) g(delet) n(ed) f
+(\(hence) h(the) e(base) i(class) g(with) 221 1954 y(virtual) g(dest) n
+(r) o(uctor\).) 362 2067 y(Note) 17 b(that) i(the) f(per) n(-thr) n
+(ead) f(data) i(functions) f(ar) n(e) p Fb 19 w(not) p
+Fg 18 w(thr) n(ead) g(safe,) i(so) d(although) i(you) e(can) 221
+2180 y(access) 29 b(one) e(thr) n(ead's) h(storage) f(fr) n(om) i
+(another) f(thr) n(ead,) h(ther) n(e) e(is) i(no) f(concurr) n(ency) g
+(contr) n(ol.) 221 2293 y(Unless) j(you) g(r) n(eall) r(y) h(know) f
+(what) h(you) f(ar) n(e) i(doing,) g(it) g(is) f(best) f(to) h(only) g
+(access) g(per) n(-thr) n(ead) 221 2406 y(data) 23 b(fr) n(om) g(the) f
+(thr) n(ead) g(it) h(is) g(attached) f(to.) p Fh 221
+2698 a(5) 119 b(Using) 31 b(OMNI) d(threads) h(in) h(your) g(program) p
+Fg 221 2909 a(Obvi) r(ously) 16 b(you) h(need) g(to) g(include) h(the) p
+Fd 16 w(omnit) n(hrea) n(d.h) p Fg 17 w(header) e(\002le) i(in) g(your)
+f(sour) n(ce) g(code,) 221 3021 y(and) 25 b(link) g(in) h(the) e
+(omnithr) n(ead) g(libra) r(ry) g(with) h(your) f(execut) n(abl) r(e.)
+34 b(Because) 23 b(ther) n(e) h(is) h(a) g(single) p
+Fd 221 3134 a(omni) n(threa) n(d.h) p Fg 30 w(for) 32
+b(all) h(platforms,) h(certain) e(pr) n(epr) n(oces) n(sor) f
+(de\002nes) f(must) h(be) g(given) h(as) 221 3247 y(compiler) 25
+b(options) n(.) 33 b(The) 23 b(easiest) g(way) h(to) g(do) f(this) h
+(is) g(to) g(stud) n(y) g(the) g(make\002les) f(given) h(in) h(the) 221
+3360 y(examples) i(pr) n(ovided) g(with) g(this) g(distribution.) 42
+b(If) 27 b(you) g(ar) n(e) g(to) g(include) h(OMNI) f(thr) n(eads) g
+(in) 221 3473 y(your) 18 b(own) g(development) g(envir) n(onment,) h
+(thes) n(e) g(ar) n(e) g(the) f(necessary) g(pr) n(epr) n(oce) n(ssor) g
+(de\002nes) n(:) p 362 3567 3287 4 v 360 3680 4 113 v
+412 3646 a(Platform) p 1312 3680 V 599 w(Pr) n(epr) n(ocess) n(or) 23
+b(De\002nes) p 3648 3680 V 362 3683 3287 4 v 362 3700
+V 360 3813 4 113 v 412 3779 a(Sun) f(Solaris) h(2.x) p
+1312 3813 V Fd 365 w(-D__) n(suno) n(s__) 54 b(-D_) n(_spa) n(rc__) f
+(-D__) n(OSVER) n(SION) n(__=5) p 3648 3813 V 360 3926
+V 1312 3926 V 1363 3892 a(-DSV) n(R4) h(-DUs) n(ePth) n(read) f(-D_R) n
+(EENT) n(RANT) p 3648 3926 V 362 3929 3287 4 v 360 4042
+4 113 v Fg 412 4008 a(x86) 24 b(Linux) e(2.0) p 1312
+4042 V Fd 418 w(-D__) n(linu) n(x__) 54 b(-D_) n(_i86) n(__) g(-D__) n
+(OSVE) n(RSION) n(__=2) p 3648 4042 V 360 4155 V Fg 412
+4121 a(with) 23 b(linuxthr) n(eads) f(0.5) p 1312 4155
+V Fd 100 w(-D_R) n(EENT) n(RANT) p 3648 4155 V 362 4158
+3287 4 v 360 4271 4 113 v Fg 412 4237 a(Digital) i(Unix) f(3.2) p
+1312 4271 V Fd 320 w(-D__) n(osf1) n(__) 54 b(-D__) n(alph) n(a__) g
+(-D_) n(_OSV) n(ERSIO) n(N__=) n(3) p 3648 4271 V 360
+4384 V 1312 4384 V 1363 4350 a(-D_R) n(EENT) n(RANT) p
+3648 4384 V 362 4387 3287 4 v 360 4500 4 113 v Fg 412
+4466 a(W) -5 b(indows) 22 b(NT) p 1312 4500 V Fd 411
+w(-D__) n(NT__) 53 b(-MD) p 3648 4500 V 362 4503 3287
+4 v Fh 221 4740 a(6) 119 b(Threaded) 29 b(I/O) g(shutdown) h(for) g
+(Unix) p Fg 221 4951 a(or) -7 b(,) 20 b(how) e(one) g(thr) n(ead) h
+(shou) n(ld) h(tell) f(another) f(thr) n(ead) g(to) h(shut) f(down) g
+(when) g(it) h(might) g(be) g(doing) 221 5064 y(a) k(blocking) g(cal) r
+(l) g(on) f(a) h(socket) n(.) p Fb 362 5177 a(If) h(you) e(are) i
+(using) e(omniOR) n(B,) h(you) e(don') n(t) i(need) g(to) g(worry) f
+(about) g(all) i(this,) f(since) h(om-) 221 5290 y(niORB) i(does) h(it)
+h(for) f(you) n(.) p Fg 41 w(This) g(section) f(is) h(only) g(r) n
+(elevant) g(if) h(you) e(ar) n(e) h(using) f(omnithr) n(ead) 221
+5403 y(in) d(your) f(own) g(socket) n(-based) h(pr) n(ogramming.) k(It)
+22 b(is) h(also) g(serious) n(ly) g(out) f(of) h(date.) p
+90 rotate dyy eop
+%%Page: 5 5
+5 4 bop Fe 63 249 a(6.1) 91 b(r) n(ead\(\)) p Fg 2800
+w(5) 204 548 y(Unfortunate) n(ly) 35 b(ther) n(e) e(doe) n(sn't) h
+(seem) f(to) h(be) g(a) h(standar) n(d) e(way) i(of) f(doing) f(this) h
+(which) 63 661 y(works) 19 b(acr) n(oss) i(all) i(Unix) e(syst) n(ems.)
+27 b(I) 21 b(have) g(investigated) f(the) h(behaviour) g(of) g(Solaris)
+h(2.5) g(and) 63 774 y(Digital) k(Unix) g(3.2.) 36 b(On) 26
+b(Digital) h(Unix) e(everyth) n(ing) h(is) f(\002ne,) g(as) h(the) e
+(obvious) h(method) f(using) 63 887 y(shutd) n(own\(\)) 32
+b(seems) f(to) h(work) f(OK.) h(Unfortunately) f(on) h(Solaris) h(shut)
+n(down) f(can) h(only) f(be) 63 1000 y(used) 25 b(on) h(a) h(connected)
+e(socke) n(t,) i(so) f(we) g(need) f(devious) h(means) g(to) g(get) f
+(ar) n(ound) h(this) g(lim) r(ita-) 63 1112 y(tion.) h(The) 22
+b(details) g(ar) n(e) h(summarised) g(below:) p Fc 63
+1429 a(6.1) 99 b(read\(\)) p Fg 63 1627 a(Thr) n(ead) 28
+b(A) h(is) g(in) h(a) g(loop,) g(doing) p Fd 28 w(rea) n(d\(soc) n(k\))
+p Fg(,) g(pr) n(ocess) n(ing) f(the) g(data,) h(then) f(going) f(back)
+63 1739 y(into) 22 b(the) g(r) n(ead.) 204 1862 y(Thr) n(ead) 30
+b(B) h(comes) f(along) h(and) g(wants) f(to) g(shut) g(it) h(down) f
+(\227) h(it) g(can't) g(cancel) h(thr) n(ead) f(A) 63
+1975 y(since) 21 b(\(i\)) h(working) e(out) g(how) g(to) h(clean) h(up)
+e(accor) n(ding) i(to) e(wher) n(e) g(A) h(is) g(in) h(its) f(loop) f
+(is) h(a) h(night-) 63 2088 y(mar) n(e,) h(and) f(\(ii\)) i(this) f
+(isn't) f(ava) r(ilabl) r(e) g(in) h(omnithr) n(ead) f(anyway) -10
+b(.) 204 2211 y(On) 23 b(Solaris) g(2.5) g(and) g(Digital) h(Unix) f
+(3.2) g(the) f(following) h(strate) n(gy) f(works:) 204
+2334 y(Thr) n(ead) g(B) g(does) p Fd 21 w(shut) n(down\() n(sock) n
+(,2\)) p Fg(.) 204 2457 y(At) g(this) g(point) h(thr) n(ead) f(A) g(is)
+h(either) f(blocked) g(inside) p Fd 23 w(rea) n(d\(so) n(ck\)) p
+Fg(,) g(or) g(is) h(elsewhe) n(r) n(e) g(in) 63 2570
+y(the) 18 b(loop.) 26 b(If) 18 b(the) g(former) h(then) f(r) n(ead) h
+(will) h(r) n(eturn) e(0,) i(indicating) f(that) g(the) f(socke) n(t) h
+(is) g(closed.) 26 b(If) 63 2683 y(the) 21 b(latter) h(then) f
+(eventually) h(thr) n(ead) g(A) g(will) i(call) p Fd
+24 w(rea) n(d\(so) n(ck\)) p Fg 21 w(and) f(then) e(this) h(will) h(r) n
+(eturn) 63 2796 y(0.) 28 b(Thr) n(ead) 22 b(A) h(should) p
+Fd 21 w(clos) n(e\(soc) n(k\)) p Fg(,) f(do) g(any) g(other) g(tidying)
+f(up,) h(and) h(exit.) 204 2919 y(If) 34 b(ther) n(e) g(is) h(another) f
+(point) g(in) h(the) e(loop) i(that) f(thr) n(ead) g(A) h(can) g(block)
+g(then) f(obviously) 63 3032 y(thr) n(ead) 19 b(B) h(needs) f(to) h(be)
+g(awar) n(e) h(of) f(this) g(and) g(be) h(able) g(to) e(wake) h(it) h
+(up) f(in) g(the) g(appr) n(opriate) g(way) 63 3145 y(fr) n(om) j(that)
+f(point.) p Fc 63 3462 a(6.2) 99 b(accept\(\)) p Fg 63
+3659 a(Again) 25 b(thr) n(ead) g(A) h(is) f(in) h(a) g(loop,) g(this) f
+(time) h(doing) e(an) i(acc) r(ept) e(on) h(listenSock,) g(dealing) h
+(with) 63 3772 y(a) d(new) f(connection) g(and) h(going) e(back) j
+(into) e(accept.) 28 b(Thr) n(ead) 22 b(B) g(wants) h(to) f(cancel) h
+(it.) 204 3895 y(On) g(Digital) h(Unix) f(3.2) g(the) f(strateg) n(y) g
+(is) h(identical) h(to) e(that) g(for) h(r) n(ead:) 204
+4018 y(Thr) n(ead) 39 b(B) g(does) p Fd 38 w(shut) n(down) n(\(list) n
+(enSo) n(ck,2) n(\)) p Fg(.) 79 b(Wher) n(ever) 38 b(thr) n(ead) h(A) h
+(is) f(in) h(the) 63 4131 y(loop,) i(eventually) c(it) h(will) h(r) n
+(eturn) p Fd 38 w(ECONN) n(ABOR) n(TED) p Fg 38 w(fr) n(om) f(the) f
+(accept) h(call) r(.) 76 b(It) 39 b(shou) n(ld) p Fd
+63 4244 a(clo) n(se\(l) n(isten) n(Sock) n(\)) p Fg(,) 22
+b(tidy) g(up) g(as) h(necessary) e(and) i(exit.) 204
+4367 y(On) 30 b(Solaris) f(2.5) i(thr) n(ead) e(B) g(can't) h(do) p
+Fd 29 w(shu) n(tdow) n(n\(lis) n(tenS) n(ock,2) n(\)) p
+Fg 29 w(\227) g(this) f(r) n(eturns) p Fd 63 4480 a(ENO) n(TCON) n(N) p
+Fg(.) 22 b(Instead) f(the) h(following) h(strateg) n(y) g(can) g(be) g
+(used) n(:) 204 4603 y(First) 35 b(thr) n(ead) h(B) g(set) n(s) g(some)
+f(sort) g(of) h("shutdo) n(wn) g(\003ag") g(associated) g(with) g
+(listenSock.) 63 4716 y(Then) 31 b(it) h(does) p Fd 30
+w(gets) n(ocka) n(ddr\(l) n(iste) n(nSock) n(\)) p Fg
+32 w(to) f(\002nd) g(out) g(which) i(port) e(listenSock) f(is) 63
+4828 y(on) 18 b(\(or) h(knows) e(alr) n(eady\),) j(sets) d(up) h(a) h
+(socket) e(dummySock,) i(doe) n(s) p Fd 19 w(con) n(nect) n(\(dumm) n
+(ySoc) n(k,) 63 4941 y(thi) n(s) 54 b(host,) f(por) n(t\)) p
+Fg 22 w(and) 23 b(\002nally) g(does) p Fd 21 w(clos) n(e\(dum) n(mySo) n
+(ck\)) p Fg(.) 204 5064 y(Wher) n(ever) 16 b(thr) n(ead) h(A) g(is) g
+(in) h(the) e(loop,) h(eventually) g(it) h(will) g(call) p
+Fd 19 w(acce) n(pt\(li) n(sten) n(Sock) n(\)) p Fg(.) 63
+5177 y(This) 35 b(will) j(r) n(eturn) d(successfully) g(with) h(a) h
+(new) e(socket,) j(say) e(connSock.) 67 b(Thr) n(ead) 36
+b(A) f(then) 63 5290 y(checks) 20 b(to) h(see) f(if) i(the) f("shutd) n
+(own) g(\003ag") h(is) f(set.) 26 b(If) c(not,) e(then) h(it's) g(a) h
+(normal) g(connection.) 27 b(If) 21 b(it) 63 5403 y(is) h(set,) g(then)
+f(thr) n(ead) h(A) h(closes) f(listenSock) f(and) i(connSock,) e
+(tidies) h(up) g(and) h(exits.) p 90 rotate dyy eop
+%%Page: 6 6
+6 5 bop Fg 221 249 a(6) p Fe 1367 w(6) 91 b(THRE) n(ADED) 23
+b(I/O) g(SHUTDOWN) f(FOR) g(UNIX) p Fc 221 548 a(6.3) 99
+b(write\(\)) p Fg 221 768 a(Thr) n(ead) 19 b(A) f(may) h(be) g(blocked)
+f(in) i(write,) f(or) f(about) h(to) f(go) g(in) h(to) g(a) g(poten) n
+(tiall) r(y-blocking) g(write.) 221 881 y(Thr) n(ead) j(B) h(wants) f
+(to) g(shut) f(it) i(down.) 362 1016 y(On) g(Solaris) g(2.5:) 362
+1150 y(Thr) n(ead) f(B) h(doe) n(s) p Fd 23 w(shu) n(tdown) n(\(soc) n
+(k,2\)) p Fg -2 w(.) 362 1285 y(If) c(thr) n(ead) f(A) h(is) g(alr) n
+(eady) g(in) p Fd 20 w(wri) n(te\(s) n(ock\)) p Fg 17
+w(then) f(it) h(will) i(r) n(eturn) d(with) p Fd 18 w(ENXIO) p
+Fg -2 w(.) h(If) g(thr) n(ead) 221 1398 y(A) k(calls) h(write) e(after)
+g(thr) n(ead) g(B) h(calls) h(shut) n(down) e(this) g(will) i(r) n
+(eturn) p Fd 22 w(EIO) p Fg(.) 362 1532 y(On) f(Digital) h(Unix) f
+(3.2:) 362 1666 y(Thr) n(ead) f(B) h(doe) n(s) p Fd 23
+w(shu) n(tdown) n(\(soc) n(k,2\)) p Fg -2 w(.) 362 1801
+y(If) h(thr) n(ead) f(A) g(is) h(alr) n(eady) g(in) p
+Fd 24 w(writ) n(e\(so) n(ck\)) p Fg 23 w(then) e(it) i(will) h(r) n
+(eturn) e(the) f(number) i(of) f(bytes) 221 1914 y(written) 31
+b(befor) n(e) h(it) g(became) g(blocked.) 55 b(A) 32
+b(subseq) n(uent) f(cal) r(l) h(to) f(write) h(will) h(then) e(gene) n
+(rate) p Fd 221 2027 a(SIGP) n(IPE) p Fg 22 w(\(or) p
+Fd 22 w(EPIP) n(E) p Fg 23 w(will) 24 b(be) e(r) n(eturned) f(if) p
+Fd 24 w(SIG) n(PIPE) p Fg 21 w(is) i(ignor) n(ed) e(by) i(the) f(thr) n
+(ead\).) p Fc 221 2413 a(6.4) 99 b(connect\(\)) p Fg
+221 2634 a(Thr) n(ead) 30 b(A) f(may) h(be) g(blocked) f(in) i
+(connect,) g(or) e(about) h(to) f(go) g(in) i(to) e(a) h(poten) n
+(tiall) r(y-blocking) 221 2747 y(connect.) d(Thr) n(ead) c(B) f(wants) g
+(to) g(shut) g(it) g(down.) 362 2881 y(On) h(Digital) h(Unix) f(3.2:)
+362 3016 y(Thr) n(ead) f(B) h(doe) n(s) p Fd 23 w(shu) n(tdown) n
+(\(soc) n(k,2\)) p Fg -2 w(.) 362 3150 y(If) j(thr) n(ead) f(A) g(is) h
+(alr) n(eady) g(in) p Fd 26 w(conn) n(ect\() n(sock) n(\)) p
+Fg 26 w(then) e(it) i(will) h(r) n(eturn) e(a) h(successful) f(con-) 221
+3263 y(nection.) 54 b(Subsequ) n(ent) 31 b(r) n(eading) g(or) g
+(writing) g(will) i(show) d(that) i(the) e(socket) g(has) h(been) g
+(shut) 221 3376 y(down) 22 b(\(i.e.) 28 b(r) n(ead) 23
+b(r) n(eturns) e(0,) i(write) f(generate) n(s) p Fd 23
+w(SIG) n(PIPE) p Fg 21 w(or) g(r) n(eturns) p Fd 22 w(EPI) n(PE) p
+Fg(\).) g(If) h(thr) n(ead) f(A) 221 3489 y(call) r(s) g(connect) g
+(after) h(thr) n(ead) f(B) g(call) r(s) g(shutdo) n(wn) h(this) f(will)
+i(r) n(eturn) p Fd 22 w(EIN) n(VAL) p Fg(.) 362 3623
+y(On) f(Solaris) g(2.5:) 362 3758 y(Ther) n(e) 35 b(is) h(no) f(way) g
+(to) g(wake) g(up) g(a) h(thr) n(ead) f(which) h(is) g(blocked) f(in) h
+(connect.) 66 b(Inst) n(ead) 221 3871 y(Solaris) 33 b(for) n(ces) g(us)
+f(thr) n(ough) g(a) i(ridiculous) f(pr) n(oced) n(ur) n(e) g(whichever)
+g(way) g(we) f(try) g(it.) 59 b(One) 221 3984 y(way) 23
+b(is) f(this:) 362 4118 y(First) d(thr) n(ead) h(A) g(cr) n(eates) f(a)
+h(pipe) g(in) g(addition) g(to) f(the) g(socket) n(.) 27
+b(Instead) 19 b(of) h(shut) n(ting) g(down) 221 4231
+y(the) i(socket) n(,) h(thr) n(ead) f(B) g(simply) h(writes) e(a) i
+(byte) f(to) g(the) g(pipe.) 362 4365 y(Thr) n(ead) 17
+b(A) g(meanwhile) h(set) n(s) f(the) g(socke) n(t) g(to) g
+(non-blocking) g(mode) f(using) p Fd 17 w(fcn) n(tl\(s) n(ock,) 221
+4478 y(F_SE) n(TFL,) 53 b(O_NO) n(NBLO) n(CK\)) p Fg(.) 26
+b(Then) h(it) g(cal) r(ls) g(connect) g(on) g(the) f(socket) g(\227) h
+(this) g(will) i(r) n(eturn) p Fd 221 4591 a(EINP) n(ROGRE) n(SS) p
+Fg(.) k(Then) h(it) h(must) e(cal) r(l) p Fd 35 w(sel) n(ect\() n(\)) p
+Fg(,) k(waiting) e(for) f(either) g(sock) g(to) f(become) 221
+4704 y(writable) 39 b(or) f(for) h(the) e(pipe) h(to) g(become) g(r) n
+(eadable.) 75 b(If) 39 b(select) e(r) n(eturns) g(that) i(just) e(sock)
+h(is) 221 4817 y(writable) 30 b(then) f(the) g(connection) g(has) h
+(succeede) n(d.) 49 b(It) 29 b(then) g(need) n(s) h(to) f(set) f(the) h
+(socket) f(back) 221 4930 y(to) i(blocking) h(mode) f(using) p
+Fd 30 w(fcnt) n(l\(so) n(ck,) 54 b(F_S) n(ETFL) n(,) h(0\)) p
+Fg(.) c(If) 31 b(instead) e(select) h(r) n(eturns) 221
+5043 y(that) 23 b(the) e(pipe) h(is) h(r) n(eadable,) g(thr) n(ead) f
+(A) h(closes) e(the) h(socket) n(,) h(tidies) f(up) g(and) h(exits.) 362
+5177 y(An) g(alternative) h(method) e(is) i(similar) h(but) e(to) g
+(use) f(polling) i(instead) e(of) i(the) e(pipe.) 29
+b(Thr) n(ead) 221 5290 y(B) 24 b(justs) e(sets) g(a) j(\003ag) f(and) g
+(thr) n(ead) f(A) h(calls) h(select) e(with) g(a) i(timeout,) e(period)
+n(ica) r(lly) h(waking) f(up) 221 5403 y(to) f(see) g(if) h(the) f
+(\003ag) h(has) f(been) g(set.) p 90 rotate dyy eop
+%%Page: 7 7
+7 6 bop Fe 63 249 a(REF) n(ERENCES) p Fg 2660 w(7) p
+Fh 63 548 a(Refere) n(nces) p Fg 63 759 a([POSIX94]) p
+Fa 46 w(Portable) 29 b(Operat) r(ing) f(System) g(Interface) h
+(\(POSIX\)) e(Thr) n(ea) r(ds) h(Extension) p Fg(,) h(P1003) r(.1c) 245
+872 y(Draft) 23 b(10,) g(IEEE,) e(Septe) n(mber) i(1994) r(.) 63
+1059 y([Birr) n(ell89]) p Fa 47 w(An) 28 b(Intr) n(od) r(uction) g(to) h
+(Pr) n(ogr) r(amming) g(with) g(Thr) n(ead) r(s) p Fg(,) h(Rese) n(ar) n
+(ch) g(Repo) n(rt) e(35,) j(DEC) 245 1172 y(Syst) n(ems) 22
+b(Resear) n(ch) g(Center) -7 b(,) 22 b(Palo) h(Alto,) f(CA,) h(January)
+g(1989) r(.) p 90 rotate dyy eop
+%%Trailer
+end
+userdict /end-hook known{end-hook}if
+%%EOF
diff --git a/docs/doxygen/xml-swig/Makefile.in b/docs/doxygen/xml-swig/Makefile.in
new file mode 100644 (file)
index 0000000..13afdf1
--- /dev/null
@@ -0,0 +1,740 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2005 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+subdir = docs/doxygen/xml-swig
+DIST_COMMON = README $(srcdir)/Makefile.am $(srcdir)/Makefile.in
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+EXTRA_DIST = README doxy2swig.py swig.xsl
+CLEANFILES = *.xml combine.xslt compound.xsd index.xsd
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am  $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  docs/doxygen/xml-swig/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  docs/doxygen/xml-swig/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+check-am: all-am
+check: check-am
+all-am: Makefile
+installdirs:
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+
+clean-generic:
+       -test -z "$(CLEANFILES)" || rm -f $(CLEANFILES)
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       distclean distclean-generic distclean-libtool distdir dvi \
+       dvi-am html html-am info info-am install install-am \
+       install-data install-data-am install-dvi install-dvi-am \
+       install-exec install-exec-am install-html install-html-am \
+       install-info install-info-am install-man install-pdf \
+       install-pdf-am install-ps install-ps-am install-strip \
+       installcheck installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am uninstall uninstall-am
+
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gcell/Makefile.in b/gcell/Makefile.in
new file mode 100644 (file)
index 0000000..c50f334
--- /dev/null
@@ -0,0 +1,1070 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2007,2008 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License along
+# with this program; if not, write to the Free Software Foundation, Inc.,
+# 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+#
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(srcdir)/gcell.pc.in $(srcdir)/gcell_spu.pc.in \
+       $(top_srcdir)/Makefile.common
+subdir = gcell
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES = gcell.pc gcell_spu.pc
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(pkgconfigdir)"
+pkgconfigDATA_INSTALL = $(INSTALL_DATA)
+DATA = $(pkgconfig_DATA)
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+SUBDIRS = include lib apps ibm
+pkgconfigdir = $(libdir)/pkgconfig
+pkgconfig_DATA = \
+       gcell.pc \
+       gcell_spu.pc
+
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gcell/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gcell/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+gcell.pc: $(top_builddir)/config.status $(srcdir)/gcell.pc.in
+       cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@
+gcell_spu.pc: $(top_builddir)/config.status $(srcdir)/gcell_spu.pc.in
+       cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-pkgconfigDATA: $(pkgconfig_DATA)
+       @$(NORMAL_INSTALL)
+       test -z "$(pkgconfigdir)" || $(MKDIR_P) "$(DESTDIR)$(pkgconfigdir)"
+       @list='$(pkgconfig_DATA)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(pkgconfigDATA_INSTALL) '$$d$$p' '$(DESTDIR)$(pkgconfigdir)/$$f'"; \
+         $(pkgconfigDATA_INSTALL) "$$d$$p" "$(DESTDIR)$(pkgconfigdir)/$$f"; \
+       done
+
+uninstall-pkgconfigDATA:
+       @$(NORMAL_UNINSTALL)
+       @list='$(pkgconfig_DATA)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(pkgconfigdir)/$$f'"; \
+         rm -f "$(DESTDIR)$(pkgconfigdir)/$$f"; \
+       done
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-recursive
+all-am: Makefile $(DATA)
+installdirs: installdirs-recursive
+installdirs-am:
+       for dir in "$(DESTDIR)$(pkgconfigdir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am: install-pkgconfigDATA
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am: uninstall-pkgconfigDATA
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive dist-hook distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-pkgconfigDATA install-ps install-ps-am install-strip \
+       installcheck installcheck-am installdirs installdirs-am \
+       maintainer-clean maintainer-clean-generic mostlyclean \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       tags tags-recursive uninstall uninstall-am \
+       uninstall-pkgconfigDATA
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gcell/apps/Makefile.in b/gcell/apps/Makefile.in
new file mode 100644 (file)
index 0000000..e4eb19d
--- /dev/null
@@ -0,0 +1,1245 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License along
+# with this program; if not, write to the Free Software Foundation, Inc.,
+# 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+#
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+TESTS = test_all$(EXEEXT)
+bin_PROGRAMS = test_all$(EXEEXT) benchmark_dma$(EXEEXT) \
+       benchmark_nop$(EXEEXT) benchmark_roundtrip$(EXEEXT)
+subdir = gcell/apps
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+am__installdirs = "$(DESTDIR)$(bindir)"
+binPROGRAMS_INSTALL = $(INSTALL_PROGRAM)
+PROGRAMS = $(bin_PROGRAMS)
+am_benchmark_dma_OBJECTS = benchmark_dma.$(OBJEXT)
+benchmark_dma_OBJECTS = $(am_benchmark_dma_OBJECTS)
+am__DEPENDENCIES_1 =
+benchmark_dma_DEPENDENCIES = spu/benchmark_procs $(am__DEPENDENCIES_1)
+am_benchmark_nop_OBJECTS = benchmark_nop.$(OBJEXT)
+benchmark_nop_OBJECTS = $(am_benchmark_nop_OBJECTS)
+benchmark_nop_DEPENDENCIES = spu/benchmark_procs $(am__DEPENDENCIES_1)
+am_benchmark_roundtrip_OBJECTS = benchmark_roundtrip.$(OBJEXT)
+benchmark_roundtrip_OBJECTS = $(am_benchmark_roundtrip_OBJECTS)
+benchmark_roundtrip_DEPENDENCIES = spu/benchmark_procs \
+       $(am__DEPENDENCIES_1)
+am_test_all_OBJECTS = test_all.$(OBJEXT)
+test_all_OBJECTS = $(am_test_all_OBJECTS)
+test_all_DEPENDENCIES = $(GCELL_QA_LA) $(am__DEPENDENCIES_1)
+DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
+depcomp = $(SHELL) $(top_srcdir)/depcomp
+am__depfiles_maybe = depfiles
+CXXCOMPILE = $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+LTCXXCOMPILE = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+CXXLD = $(CXX)
+CXXLINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CXXLD) $(AM_CXXFLAGS) $(CXXFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+SOURCES = $(benchmark_dma_SOURCES) $(benchmark_nop_SOURCES) \
+       $(benchmark_roundtrip_SOURCES) $(test_all_SOURCES)
+DIST_SOURCES = $(benchmark_dma_SOURCES) $(benchmark_nop_SOURCES) \
+       $(benchmark_roundtrip_SOURCES) $(test_all_SOURCES)
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+SUBDIRS = spu .
+AM_CPPFLAGS = $(DEFINES) $(OMNITHREAD_INCLUDES) \
+       $(GCELL_INCLUDES) $(CPPUNIT_INCLUDES) $(WITH_INCLUDES)
+
+GCELL_QA_LA = $(top_builddir)/gcell/lib/libgcell-qa.la
+test_all_SOURCES = test_all.cc
+test_all_LDADD = $(GCELL_QA_LA) $(GCELL_LA)
+benchmark_dma_SOURCES = benchmark_dma.cc
+benchmark_dma_LDADD = spu/benchmark_procs $(GCELL_LA)
+benchmark_nop_SOURCES = benchmark_nop.cc
+benchmark_nop_LDADD = spu/benchmark_procs $(GCELL_LA)
+benchmark_roundtrip_SOURCES = benchmark_roundtrip.cc
+benchmark_roundtrip_LDADD = spu/benchmark_procs $(GCELL_LA)
+all: all-recursive
+
+.SUFFIXES:
+.SUFFIXES: .cc .lo .o .obj
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gcell/apps/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gcell/apps/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+install-binPROGRAMS: $(bin_PROGRAMS)
+       @$(NORMAL_INSTALL)
+       test -z "$(bindir)" || $(MKDIR_P) "$(DESTDIR)$(bindir)"
+       @list='$(bin_PROGRAMS)'; for p in $$list; do \
+         p1=`echo $$p|sed 's/$(EXEEXT)$$//'`; \
+         if test -f $$p \
+            || test -f $$p1 \
+         ; then \
+           f=`echo "$$p1" | sed 's,^.*/,,;$(transform);s/$$/$(EXEEXT)/'`; \
+          echo " $(INSTALL_PROGRAM_ENV) $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(binPROGRAMS_INSTALL) '$$p' '$(DESTDIR)$(bindir)/$$f'"; \
+          $(INSTALL_PROGRAM_ENV) $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(binPROGRAMS_INSTALL) "$$p" "$(DESTDIR)$(bindir)/$$f" || exit 1; \
+         else :; fi; \
+       done
+
+uninstall-binPROGRAMS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(bin_PROGRAMS)'; for p in $$list; do \
+         f=`echo "$$p" | sed 's,^.*/,,;s/$(EXEEXT)$$//;$(transform);s/$$/$(EXEEXT)/'`; \
+         echo " rm -f '$(DESTDIR)$(bindir)/$$f'"; \
+         rm -f "$(DESTDIR)$(bindir)/$$f"; \
+       done
+
+clean-binPROGRAMS:
+       @list='$(bin_PROGRAMS)'; for p in $$list; do \
+         f=`echo $$p|sed 's/$(EXEEXT)$$//'`; \
+         echo " rm -f $$p $$f"; \
+         rm -f $$p $$f ; \
+       done
+benchmark_dma$(EXEEXT): $(benchmark_dma_OBJECTS) $(benchmark_dma_DEPENDENCIES) 
+       @rm -f benchmark_dma$(EXEEXT)
+       $(CXXLINK) $(benchmark_dma_OBJECTS) $(benchmark_dma_LDADD) $(LIBS)
+benchmark_nop$(EXEEXT): $(benchmark_nop_OBJECTS) $(benchmark_nop_DEPENDENCIES) 
+       @rm -f benchmark_nop$(EXEEXT)
+       $(CXXLINK) $(benchmark_nop_OBJECTS) $(benchmark_nop_LDADD) $(LIBS)
+benchmark_roundtrip$(EXEEXT): $(benchmark_roundtrip_OBJECTS) $(benchmark_roundtrip_DEPENDENCIES) 
+       @rm -f benchmark_roundtrip$(EXEEXT)
+       $(CXXLINK) $(benchmark_roundtrip_OBJECTS) $(benchmark_roundtrip_LDADD) $(LIBS)
+test_all$(EXEEXT): $(test_all_OBJECTS) $(test_all_DEPENDENCIES) 
+       @rm -f test_all$(EXEEXT)
+       $(CXXLINK) $(test_all_OBJECTS) $(test_all_LDADD) $(LIBS)
+
+mostlyclean-compile:
+       -rm -f *.$(OBJEXT)
+
+distclean-compile:
+       -rm -f *.tab.c
+
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/benchmark_dma.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/benchmark_nop.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/benchmark_roundtrip.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/test_all.Po@am__quote@
+
+.cc.o:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ $<
+
+.cc.obj:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ `$(CYGPATH_W) '$<'`
+
+.cc.lo:
+@am__fastdepCXX_TRUE@  $(LTCXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LTCXXCOMPILE) -c -o $@ $<
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+check-TESTS: $(TESTS)
+       @failed=0; all=0; xfail=0; xpass=0; skip=0; \
+       srcdir=$(srcdir); export srcdir; \
+       list=' $(TESTS) '; \
+       if test -n "$$list"; then \
+         for tst in $$list; do \
+           if test -f ./$$tst; then dir=./; \
+           elif test -f $$tst; then dir=; \
+           else dir="$(srcdir)/"; fi; \
+           if $(TESTS_ENVIRONMENT) $${dir}$$tst; then \
+             all=`expr $$all + 1`; \
+             case " $(XFAIL_TESTS) " in \
+             *[\ \     ]$$tst[\ \      ]*) \
+               xpass=`expr $$xpass + 1`; \
+               failed=`expr $$failed + 1`; \
+               echo "XPASS: $$tst"; \
+             ;; \
+             *) \
+               echo "PASS: $$tst"; \
+             ;; \
+             esac; \
+           elif test $$? -ne 77; then \
+             all=`expr $$all + 1`; \
+             case " $(XFAIL_TESTS) " in \
+             *[\ \     ]$$tst[\ \      ]*) \
+               xfail=`expr $$xfail + 1`; \
+               echo "XFAIL: $$tst"; \
+             ;; \
+             *) \
+               failed=`expr $$failed + 1`; \
+               echo "FAIL: $$tst"; \
+             ;; \
+             esac; \
+           else \
+             skip=`expr $$skip + 1`; \
+             echo "SKIP: $$tst"; \
+           fi; \
+         done; \
+         if test "$$all" -eq 1; then \
+           tests="test"; \
+           All=""; \
+         else \
+           tests="tests"; \
+           All="All "; \
+         fi; \
+         if test "$$failed" -eq 0; then \
+           if test "$$xfail" -eq 0; then \
+             banner="$$All$$all $$tests passed"; \
+           else \
+             if test "$$xfail" -eq 1; then failures=failure; else failures=failures; fi; \
+             banner="$$All$$all $$tests behaved as expected ($$xfail expected $$failures)"; \
+           fi; \
+         else \
+           if test "$$xpass" -eq 0; then \
+             banner="$$failed of $$all $$tests failed"; \
+           else \
+             if test "$$xpass" -eq 1; then passes=pass; else passes=passes; fi; \
+             banner="$$failed of $$all $$tests did not behave as expected ($$xpass unexpected $$passes)"; \
+           fi; \
+         fi; \
+         dashes="$$banner"; \
+         skipped=""; \
+         if test "$$skip" -ne 0; then \
+           if test "$$skip" -eq 1; then \
+             skipped="($$skip test was not run)"; \
+           else \
+             skipped="($$skip tests were not run)"; \
+           fi; \
+           test `echo "$$skipped" | wc -c` -le `echo "$$banner" | wc -c` || \
+             dashes="$$skipped"; \
+         fi; \
+         report=""; \
+         if test "$$failed" -ne 0 && test -n "$(PACKAGE_BUGREPORT)"; then \
+           report="Please report to $(PACKAGE_BUGREPORT)"; \
+           test `echo "$$report" | wc -c` -le `echo "$$banner" | wc -c` || \
+             dashes="$$report"; \
+         fi; \
+         dashes=`echo "$$dashes" | sed s/./=/g`; \
+         echo "$$dashes"; \
+         echo "$$banner"; \
+         test -z "$$skipped" || echo "$$skipped"; \
+         test -z "$$report" || echo "$$report"; \
+         echo "$$dashes"; \
+         test "$$failed" -eq 0; \
+       else :; fi
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+       $(MAKE) $(AM_MAKEFLAGS) check-TESTS
+check: check-recursive
+all-am: Makefile $(PROGRAMS)
+installdirs: installdirs-recursive
+installdirs-am:
+       for dir in "$(DESTDIR)$(bindir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-binPROGRAMS clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+distclean-am: clean-am distclean-compile distclean-generic \
+       distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am: install-binPROGRAMS
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-compile mostlyclean-generic \
+       mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am: uninstall-binPROGRAMS
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-TESTS check-am clean clean-binPROGRAMS \
+       clean-generic clean-libtool ctags ctags-recursive dist-hook \
+       distclean distclean-compile distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-binPROGRAMS \
+       install-data install-data-am install-dvi install-dvi-am \
+       install-exec install-exec-am install-html install-html-am \
+       install-info install-info-am install-man install-pdf \
+       install-pdf-am install-ps install-ps-am install-strip \
+       installcheck installcheck-am installdirs installdirs-am \
+       maintainer-clean maintainer-clean-generic mostlyclean \
+       mostlyclean-compile mostlyclean-generic mostlyclean-libtool \
+       pdf pdf-am ps ps-am tags tags-recursive uninstall uninstall-am \
+       uninstall-binPROGRAMS
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gcell/apps/spu/Makefile.in b/gcell/apps/spu/Makefile.in
new file mode 100644 (file)
index 0000000..8c5d32c
--- /dev/null
@@ -0,0 +1,1014 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2008 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License along
+# with this program; if not, write to the Free Software Foundation, Inc.,
+# 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+#
+
+# -*- Makefile -*-
+#
+# Copyright 2007,2008 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License along
+# with this program; if not, write to the Free Software Foundation, Inc.,
+# 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+#
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common \
+       $(top_srcdir)/Makefile.common.spu
+noinst_PROGRAMS = benchmark_procs$(EXEEXT)
+subdir = gcell/apps/spu
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+PROGRAMS = $(noinst_PROGRAMS)
+am_benchmark_procs_OBJECTS = benchmark_procs.$(OBJEXT)
+benchmark_procs_OBJECTS = $(am_benchmark_procs_OBJECTS)
+am__DEPENDENCIES_1 =
+DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
+depcomp = $(SHELL) $(top_srcdir)/depcomp
+am__depfiles_maybe = depfiles
+COMPILE = $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) \
+       $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
+LTCOMPILE = $(LIBTOOL) --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
+CCLD = $(CC)
+LINK = $(LIBTOOL) --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CCLD) $(AM_CFLAGS) $(CFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+SOURCES = $(benchmark_procs_SOURCES)
+DIST_SOURCES = $(benchmark_procs_SOURCES)
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+
+# We're abusing automake, getting it to generate code for two
+# architectures under the same tree.  If you've got a better way to do
+# this, please let us know
+AR = spu-ar
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = spu-gcc
+CCAS = spu-gcc
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = 
+CPP = @CPP@
+
+# Need to override user stuff even though it gives a warning.
+# (Otherwise these contain PPE related info.)
+CPPFLAGS = 
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = spu-ld
+LDFLAGS = 
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = spu-ranlib
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = -O3 -g --std=gnu99 -fstrict-aliasing $(C_WARNINGS)
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+C_WARNINGS = \
+  -Wall -Wextra -Wstrict-prototypes -Werror-implicit-function-declaration
+
+AM_CPPFLAGS = $(GCELL_SPU_INCLUDES)
+benchmark_procs_SOURCES = benchmark_procs.c
+benchmark_procs_LDADD = $(GCELL_SPU_LA)
+benchmark_procs_DEPENDENCIES = $(GCELL_SPU_LA)
+all: all-am
+
+.SUFFIXES:
+.SUFFIXES: .c .lo .o .obj
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common.spu $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gcell/apps/spu/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gcell/apps/spu/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+clean-noinstPROGRAMS:
+       @list='$(noinst_PROGRAMS)'; for p in $$list; do \
+         f=`echo $$p|sed 's/$(EXEEXT)$$//'`; \
+         echo " rm -f $$p $$f"; \
+         rm -f $$p $$f ; \
+       done
+benchmark_procs$(EXEEXT): $(benchmark_procs_OBJECTS) $(benchmark_procs_DEPENDENCIES) 
+       @rm -f benchmark_procs$(EXEEXT)
+       $(LINK) $(benchmark_procs_OBJECTS) $(benchmark_procs_LDADD) $(LIBS)
+
+mostlyclean-compile:
+       -rm -f *.$(OBJEXT)
+
+distclean-compile:
+       -rm -f *.tab.c
+
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/benchmark_procs.Po@am__quote@
+
+.c.o:
+@am__fastdepCC_TRUE@   $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(COMPILE) -c $<
+
+.c.obj:
+@am__fastdepCC_TRUE@   $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(COMPILE) -c `$(CYGPATH_W) '$<'`
+
+.c.lo:
+@am__fastdepCC_TRUE@   $(LTCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(LTCOMPILE) -c -o $@ $<
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile $(PROGRAMS)
+installdirs:
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool clean-noinstPROGRAMS \
+       mostlyclean-am
+
+distclean: distclean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+distclean-am: clean-am distclean-compile distclean-generic \
+       distclean-tags
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-compile mostlyclean-generic \
+       mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: install-am install-strip
+
+.PHONY: CTAGS GTAGS all all-am check check-am clean clean-generic \
+       clean-libtool clean-noinstPROGRAMS ctags dist-hook distclean \
+       distclean-compile distclean-generic distclean-libtool \
+       distclean-tags distdir dvi dvi-am html html-am info info-am \
+       install install-am install-data install-data-am install-dvi \
+       install-dvi-am install-exec install-exec-am install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-ps install-ps-am \
+       install-strip installcheck installcheck-am installdirs \
+       maintainer-clean maintainer-clean-generic mostlyclean \
+       mostlyclean-compile mostlyclean-generic mostlyclean-libtool \
+       pdf pdf-am ps ps-am tags uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gcell/ibm/Makefile.in b/gcell/ibm/Makefile.in
new file mode 100644 (file)
index 0000000..31bcec3
--- /dev/null
@@ -0,0 +1,948 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2008 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License along
+# with this program; if not, write to the Free Software Foundation, Inc.,
+# 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+#
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = README $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gcell/ibm
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+EXTRA_DIST = \
+       ./README \
+       ./sync/ppu_source/atomic_add.h \
+       ./sync/ppu_source/atomic_add_return.h \
+       ./sync/ppu_source/atomic_dec_and_test.h \
+       ./sync/ppu_source/atomic_dec.h \
+       ./sync/ppu_source/atomic_dec_if_positive.h \
+       ./sync/ppu_source/atomic_dec_return.h \
+       ./sync/ppu_source/atomic.h \
+       ./sync/ppu_source/atomic_inc.h \
+       ./sync/ppu_source/atomic_inc_return.h \
+       ./sync/ppu_source/atomic_read.h \
+       ./sync/ppu_source/atomic_set.h \
+       ./sync/ppu_source/atomic_sub_and_test.h \
+       ./sync/ppu_source/atomic_sub.h \
+       ./sync/ppu_source/atomic_sub_return.h \
+       ./sync/ppu_source/complete_all.h \
+       ./sync/ppu_source/complete.h \
+       ./sync/ppu_source/completion.h \
+       ./sync/ppu_source/cond_broadcast.h \
+       ./sync/ppu_source/cond.h \
+       ./sync/ppu_source/cond_init.h \
+       ./sync/ppu_source/cond_signal.h \
+       ./sync/ppu_source/cond_wait.h \
+       ./sync/ppu_source/init_completion.h \
+       ./sync/ppu_source/libsync.h \
+       ./sync/ppu_source/mutex.h \
+       ./sync/ppu_source/mutex_init.h \
+       ./sync/ppu_source/mutex_lock.h \
+       ./sync/ppu_source/mutex_trylock.h \
+       ./sync/ppu_source/mutex_unlock.h \
+       ./sync/ppu_source/pdt_libsync_config.xml \
+       ./sync/ppu_source/pdt_libsync.xml \
+       ./sync/ppu_source/sync_utils.h \
+       ./sync/ppu_source/trace_libsync.h \
+       ./sync/ppu_source/wait_for_completion.h \
+       ./sync/spu_source/atomic_add.h \
+       ./sync/spu_source/atomic_add_return.h \
+       ./sync/spu_source/atomic_dec_and_test.h \
+       ./sync/spu_source/atomic_dec.h \
+       ./sync/spu_source/atomic_dec_if_positive.h \
+       ./sync/spu_source/atomic_dec_return.h \
+       ./sync/spu_source/atomic.h \
+       ./sync/spu_source/atomic_inc.h \
+       ./sync/spu_source/atomic_inc_return.h \
+       ./sync/spu_source/atomic_read.h \
+       ./sync/spu_source/atomic_set.h \
+       ./sync/spu_source/atomic_sub_and_test.h \
+       ./sync/spu_source/atomic_sub.h \
+       ./sync/spu_source/atomic_sub_return.h \
+       ./sync/spu_source/complete_all.h \
+       ./sync/spu_source/complete.h \
+       ./sync/spu_source/completion.h \
+       ./sync/spu_source/cond_broadcast.h \
+       ./sync/spu_source/cond.h \
+       ./sync/spu_source/cond_init.h \
+       ./sync/spu_source/cond_signal.h \
+       ./sync/spu_source/cond_wait.h \
+       ./sync/spu_source/init_completion.h \
+       ./sync/spu_source/libsync.h \
+       ./sync/spu_source/mutex.h \
+       ./sync/spu_source/mutex_init.h \
+       ./sync/spu_source/mutex_lock.h \
+       ./sync/spu_source/mutex_trylock.h \
+       ./sync/spu_source/mutex_unlock.h \
+       ./sync/spu_source/read_lock.h \
+       ./sync/spu_source/read_trylock.h \
+       ./sync/spu_source/read_unlock.h \
+       ./sync/spu_source/rwlock_init.h \
+       ./sync/spu_source/sync_irq.h \
+       ./sync/spu_source/sync_utils.h \
+       ./sync/spu_source/trace_libsync.h \
+       ./sync/spu_source/wait_for_completion.h \
+       ./sync/spu_source/write_lock.h \
+       ./sync/spu_source/write_trylock.h \
+       ./sync/spu_source/write_unlock.h
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gcell/ibm/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gcell/ibm/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile
+installdirs:
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am install-dvi \
+       install-dvi-am install-exec install-exec-am install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-ps install-ps-am \
+       install-strip installcheck installcheck-am installdirs \
+       maintainer-clean maintainer-clean-generic mostlyclean \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gcell/include/Makefile.in b/gcell/include/Makefile.in
new file mode 100644 (file)
index 0000000..47a091c
--- /dev/null
@@ -0,0 +1,1029 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2008 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License along
+# with this program; if not, write to the Free Software Foundation, Inc.,
+# 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+#
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gcell/include
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+SUBDIRS = gcell
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gcell/include/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gcell/include/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-recursive
+all-am: Makefile
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive dist-hook distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gcell/include/gcell/Makefile.in b/gcell/include/gcell/Makefile.in
new file mode 100644 (file)
index 0000000..01ee660
--- /dev/null
@@ -0,0 +1,1079 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2007,2008 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License along
+# with this program; if not, write to the Free Software Foundation, Inc.,
+# 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+#
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(gcellinclude_HEADERS) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(top_srcdir)/Makefile.common
+subdir = gcell/include/gcell
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(gcellincludedir)"
+gcellincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+HEADERS = $(gcellinclude_HEADERS)
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+SUBDIRS = spu
+gcellinclude_HEADERS = \
+       compiler.h \
+       gc_aligned_alloc.h \
+       gc_atomic.h \
+       gc_cdefs.h \
+       gc_declare_proc.h \
+       gc_job_manager.h \
+       gc_jd_queue_data.h \
+       gc_jd_queue.h \
+       gc_jd_stack.h \
+       gc_job_desc.h \
+       gc_job_desc_private.h \
+       gc_logging.h \
+       gc_mbox.h \
+       gc_spu_args.h \
+       gc_types.h \
+       gcp_fft_1d_r2.h \
+       memory_barrier.h
+
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gcell/include/gcell/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gcell/include/gcell/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-gcellincludeHEADERS: $(gcellinclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(gcellincludedir)" || $(MKDIR_P) "$(DESTDIR)$(gcellincludedir)"
+       @list='$(gcellinclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(gcellincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(gcellincludedir)/$$f'"; \
+         $(gcellincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(gcellincludedir)/$$f"; \
+       done
+
+uninstall-gcellincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(gcellinclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(gcellincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(gcellincludedir)/$$f"; \
+       done
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-recursive
+all-am: Makefile $(HEADERS)
+installdirs: installdirs-recursive
+installdirs-am:
+       for dir in "$(DESTDIR)$(gcellincludedir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am: install-gcellincludeHEADERS
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am: uninstall-gcellincludeHEADERS
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive dist-hook distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-gcellincludeHEADERS install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-ps install-ps-am \
+       install-strip installcheck installcheck-am installdirs \
+       installdirs-am maintainer-clean maintainer-clean-generic \
+       mostlyclean mostlyclean-generic mostlyclean-libtool pdf pdf-am \
+       ps ps-am tags tags-recursive uninstall uninstall-am \
+       uninstall-gcellincludeHEADERS
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gcell/include/gcell/spu/Makefile.in b/gcell/include/gcell/spu/Makefile.in
new file mode 100644 (file)
index 0000000..df6d019
--- /dev/null
@@ -0,0 +1,954 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2007,2008 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License along
+# with this program; if not, write to the Free Software Foundation, Inc.,
+# 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+#
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(gcellspuinclude_HEADERS) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(top_srcdir)/Makefile.common
+subdir = gcell/include/gcell/spu
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(gcellspuincludedir)"
+gcellspuincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+HEADERS = $(gcellspuinclude_HEADERS)
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+gcellspuinclude_HEADERS = \
+       fft_1d.h \
+       fft_1d_r2.h \
+       gc_delay.h \
+       gc_jd_queue.h \
+       gc_random.h \
+       gc_spu_macs.h \
+       libfft.h
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gcell/include/gcell/spu/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gcell/include/gcell/spu/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-gcellspuincludeHEADERS: $(gcellspuinclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(gcellspuincludedir)" || $(MKDIR_P) "$(DESTDIR)$(gcellspuincludedir)"
+       @list='$(gcellspuinclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(gcellspuincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(gcellspuincludedir)/$$f'"; \
+         $(gcellspuincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(gcellspuincludedir)/$$f"; \
+       done
+
+uninstall-gcellspuincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(gcellspuinclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(gcellspuincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(gcellspuincludedir)/$$f"; \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile $(HEADERS)
+installdirs:
+       for dir in "$(DESTDIR)$(gcellspuincludedir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-gcellspuincludeHEADERS
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-gcellspuincludeHEADERS
+
+.MAKE: install-am install-strip
+
+.PHONY: CTAGS GTAGS all all-am check check-am clean clean-generic \
+       clean-libtool ctags dist-hook distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-gcellspuincludeHEADERS install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-ps install-ps-am \
+       install-strip installcheck installcheck-am installdirs \
+       maintainer-clean maintainer-clean-generic mostlyclean \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       tags uninstall uninstall-am uninstall-gcellspuincludeHEADERS
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gcell/lib/Makefile.in b/gcell/lib/Makefile.in
new file mode 100644 (file)
index 0000000..94f184e
--- /dev/null
@@ -0,0 +1,1127 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2008 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License along
+# with this program; if not, write to the Free Software Foundation, Inc.,
+# 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+#
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gcell/lib
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(libdir)"
+libLTLIBRARIES_INSTALL = $(INSTALL)
+LTLIBRARIES = $(lib_LTLIBRARIES)
+am__DEPENDENCIES_1 =
+libgcell_qa_la_DEPENDENCIES = runtime/libruntime-qa.la \
+       wrapper/libwrapper-qa.la $(am__DEPENDENCIES_1)
+am_libgcell_qa_la_OBJECTS =
+libgcell_qa_la_OBJECTS = $(am_libgcell_qa_la_OBJECTS)
+libgcell_qa_la_LINK = $(LIBTOOL) --tag=CC $(AM_LIBTOOLFLAGS) \
+       $(LIBTOOLFLAGS) --mode=link $(CCLD) $(AM_CFLAGS) $(CFLAGS) \
+       $(libgcell_qa_la_LDFLAGS) $(LDFLAGS) -o $@
+libgcell_la_DEPENDENCIES = runtime/libruntime.la wrapper/libwrapper.la \
+       $(am__DEPENDENCIES_1)
+am_libgcell_la_OBJECTS =
+libgcell_la_OBJECTS = $(am_libgcell_la_OBJECTS)
+libgcell_la_LINK = $(LIBTOOL) --tag=CC $(AM_LIBTOOLFLAGS) \
+       $(LIBTOOLFLAGS) --mode=link $(CCLD) $(AM_CFLAGS) $(CFLAGS) \
+       $(libgcell_la_LDFLAGS) $(LDFLAGS) -o $@
+DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
+COMPILE = $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) \
+       $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
+LTCOMPILE = $(LIBTOOL) --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
+CCLD = $(CC)
+LINK = $(LIBTOOL) --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CCLD) $(AM_CFLAGS) $(CFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+SOURCES = $(libgcell_qa_la_SOURCES) $(libgcell_la_SOURCES)
+DIST_SOURCES = $(libgcell_qa_la_SOURCES) $(libgcell_la_SOURCES)
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+SUBDIRS = spu runtime general wrapper .
+
+# generate libgcell.la from the convenience libraries in subdirs
+lib_LTLIBRARIES = libgcell.la libgcell-qa.la
+libgcell_la_SOURCES = 
+libgcell_la_LDFLAGS = $(NO_UNDEFINED) -version-info 0:0:0
+libgcell_qa_la_SOURCES = 
+libgcell_qa_la_LDFLAGS = $(NO_UNDEFINED) -version-info 0:0:0
+libgcell_la_LIBADD = \
+       runtime/libruntime.la \
+       wrapper/libwrapper.la \
+       -lspe2 \
+       $(OMNITHREAD_LA)
+
+libgcell_qa_la_LIBADD = \
+       runtime/libruntime-qa.la \
+       wrapper/libwrapper-qa.la \
+       $(CPPUNIT_LIBS)
+
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gcell/lib/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gcell/lib/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+install-libLTLIBRARIES: $(lib_LTLIBRARIES)
+       @$(NORMAL_INSTALL)
+       test -z "$(libdir)" || $(MKDIR_P) "$(DESTDIR)$(libdir)"
+       @list='$(lib_LTLIBRARIES)'; for p in $$list; do \
+         if test -f $$p; then \
+           f=$(am__strip_dir) \
+           echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(libLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) '$$p' '$(DESTDIR)$(libdir)/$$f'"; \
+           $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(libLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) "$$p" "$(DESTDIR)$(libdir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-libLTLIBRARIES:
+       @$(NORMAL_UNINSTALL)
+       @list='$(lib_LTLIBRARIES)'; for p in $$list; do \
+         p=$(am__strip_dir) \
+         echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f '$(DESTDIR)$(libdir)/$$p'"; \
+         $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f "$(DESTDIR)$(libdir)/$$p"; \
+       done
+
+clean-libLTLIBRARIES:
+       -test -z "$(lib_LTLIBRARIES)" || rm -f $(lib_LTLIBRARIES)
+       @list='$(lib_LTLIBRARIES)'; for p in $$list; do \
+         dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
+         test "$$dir" != "$$p" || dir=.; \
+         echo "rm -f \"$${dir}/so_locations\""; \
+         rm -f "$${dir}/so_locations"; \
+       done
+libgcell-qa.la: $(libgcell_qa_la_OBJECTS) $(libgcell_qa_la_DEPENDENCIES) 
+       $(libgcell_qa_la_LINK) -rpath $(libdir) $(libgcell_qa_la_OBJECTS) $(libgcell_qa_la_LIBADD) $(LIBS)
+libgcell.la: $(libgcell_la_OBJECTS) $(libgcell_la_DEPENDENCIES) 
+       $(libgcell_la_LINK) -rpath $(libdir) $(libgcell_la_OBJECTS) $(libgcell_la_LIBADD) $(LIBS)
+
+mostlyclean-compile:
+       -rm -f *.$(OBJEXT)
+
+distclean-compile:
+       -rm -f *.tab.c
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-recursive
+all-am: Makefile $(LTLIBRARIES)
+installdirs: installdirs-recursive
+installdirs-am:
+       for dir in "$(DESTDIR)$(libdir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libLTLIBRARIES clean-libtool \
+       mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-compile distclean-generic \
+       distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am: install-libLTLIBRARIES
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-compile mostlyclean-generic \
+       mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am: uninstall-libLTLIBRARIES
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic \
+       clean-libLTLIBRARIES clean-libtool ctags ctags-recursive \
+       dist-hook distclean distclean-compile distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-libLTLIBRARIES install-man install-pdf \
+       install-pdf-am install-ps install-ps-am install-strip \
+       installcheck installcheck-am installdirs installdirs-am \
+       maintainer-clean maintainer-clean-generic mostlyclean \
+       mostlyclean-compile mostlyclean-generic mostlyclean-libtool \
+       pdf pdf-am ps ps-am tags tags-recursive uninstall uninstall-am \
+       uninstall-libLTLIBRARIES
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gcell/lib/general/Makefile.in b/gcell/lib/general/Makefile.in
new file mode 100644 (file)
index 0000000..f174fee
--- /dev/null
@@ -0,0 +1,871 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2008 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License along
+# with this program; if not, write to the Free Software Foundation, Inc.,
+# 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+#
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gcell/lib/general
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gcell/lib/general/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gcell/lib/general/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile
+installdirs:
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am install-dvi \
+       install-dvi-am install-exec install-exec-am install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-ps install-ps-am \
+       install-strip installcheck installcheck-am installdirs \
+       maintainer-clean maintainer-clean-generic mostlyclean \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gcell/lib/runtime/Makefile.in b/gcell/lib/runtime/Makefile.in
new file mode 100644 (file)
index 0000000..7e5cbac
--- /dev/null
@@ -0,0 +1,1095 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2007,2008 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License along
+# with this program; if not, write to the Free Software Foundation, Inc.,
+# 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+#
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(dist_bin_SCRIPTS) $(noinst_HEADERS) \
+       $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gcell/lib/runtime
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+LTLIBRARIES = $(noinst_LTLIBRARIES)
+libruntime_qa_la_DEPENDENCIES = gcell_runtime_qa.lo libruntime.la
+am_libruntime_qa_la_OBJECTS = qa_gcell_runtime.lo qa_jd_queue.lo \
+       qa_jd_stack.lo qa_job_manager.lo
+libruntime_qa_la_OBJECTS = $(am_libruntime_qa_la_OBJECTS)
+libruntime_la_LIBADD =
+am_libruntime_la_OBJECTS = gc_aligned_alloc.lo gc_job_manager.lo \
+       gc_job_manager_impl.lo gc_jd_queue.lo gc_jd_stack.lo \
+       gc_proc_def_utils.lo
+libruntime_la_OBJECTS = $(am_libruntime_la_OBJECTS)
+am__installdirs = "$(DESTDIR)$(bindir)"
+dist_binSCRIPT_INSTALL = $(INSTALL_SCRIPT)
+SCRIPTS = $(dist_bin_SCRIPTS)
+DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
+depcomp = $(SHELL) $(top_srcdir)/depcomp
+am__depfiles_maybe = depfiles
+COMPILE = $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) \
+       $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
+LTCOMPILE = $(LIBTOOL) --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
+CCLD = $(CC)
+LINK = $(LIBTOOL) --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CCLD) $(AM_CFLAGS) $(CFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+CXXCOMPILE = $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+LTCXXCOMPILE = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+CXXLD = $(CXX)
+CXXLINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CXXLD) $(AM_CXXFLAGS) $(CXXFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+SOURCES = $(libruntime_qa_la_SOURCES) $(libruntime_la_SOURCES)
+DIST_SOURCES = $(libruntime_qa_la_SOURCES) $(libruntime_la_SOURCES)
+HEADERS = $(noinst_HEADERS)
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+IBM_PPU_SYNC_INCLUDES = -I$(top_srcdir)/gcell/ibm/sync/ppu_source
+AM_CPPFLAGS = $(DEFINES) $(OMNITHREAD_INCLUDES) $(MBLOCK_INCLUDES) $(CPPUNIT_INCLUDES) \
+       $(GCELL_INCLUDES) $(IBM_PPU_SYNC_INCLUDES) $(WITH_INCLUDES)
+
+dist_bin_SCRIPTS = gcell-embedspu-libtool
+noinst_LTLIBRARIES = libruntime.la libruntime-qa.la
+libruntime_la_SOURCES = \
+       gc_aligned_alloc.cc \
+       gc_job_manager.cc \
+       gc_job_manager_impl.cc \
+       gc_jd_queue.c \
+       gc_jd_stack.c \
+       gc_proc_def_utils.cc
+
+libruntime_qa_la_SOURCES = \
+       qa_gcell_runtime.cc \
+       qa_jd_queue.cc \
+       qa_jd_stack.cc \
+       qa_job_manager.cc
+
+noinst_HEADERS = \
+       gc_client_thread_info.h \
+       gc_job_manager_impl.h \
+       gc_proc_def_utils.h \
+       qa_jd_queue.h \
+       qa_jd_stack.h \
+       qa_job_manager.h \
+       qa_gcell_runtime.h
+
+libruntime_qa_la_LIBADD = \
+       gcell_runtime_qa.lo \
+       libruntime.la
+
+CLEANFILES = gcell_runtime_qa.lo
+all: all-am
+
+.SUFFIXES:
+.SUFFIXES: .c .cc .lo .o .obj
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gcell/lib/runtime/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gcell/lib/runtime/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+clean-noinstLTLIBRARIES:
+       -test -z "$(noinst_LTLIBRARIES)" || rm -f $(noinst_LTLIBRARIES)
+       @list='$(noinst_LTLIBRARIES)'; for p in $$list; do \
+         dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
+         test "$$dir" != "$$p" || dir=.; \
+         echo "rm -f \"$${dir}/so_locations\""; \
+         rm -f "$${dir}/so_locations"; \
+       done
+libruntime-qa.la: $(libruntime_qa_la_OBJECTS) $(libruntime_qa_la_DEPENDENCIES) 
+       $(CXXLINK)  $(libruntime_qa_la_OBJECTS) $(libruntime_qa_la_LIBADD) $(LIBS)
+libruntime.la: $(libruntime_la_OBJECTS) $(libruntime_la_DEPENDENCIES) 
+       $(CXXLINK)  $(libruntime_la_OBJECTS) $(libruntime_la_LIBADD) $(LIBS)
+install-dist_binSCRIPTS: $(dist_bin_SCRIPTS)
+       @$(NORMAL_INSTALL)
+       test -z "$(bindir)" || $(MKDIR_P) "$(DESTDIR)$(bindir)"
+       @list='$(dist_bin_SCRIPTS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         if test -f $$d$$p; then \
+           f=`echo "$$p" | sed 's|^.*/||;$(transform)'`; \
+           echo " $(dist_binSCRIPT_INSTALL) '$$d$$p' '$(DESTDIR)$(bindir)/$$f'"; \
+           $(dist_binSCRIPT_INSTALL) "$$d$$p" "$(DESTDIR)$(bindir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-dist_binSCRIPTS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_bin_SCRIPTS)'; for p in $$list; do \
+         f=`echo "$$p" | sed 's|^.*/||;$(transform)'`; \
+         echo " rm -f '$(DESTDIR)$(bindir)/$$f'"; \
+         rm -f "$(DESTDIR)$(bindir)/$$f"; \
+       done
+
+mostlyclean-compile:
+       -rm -f *.$(OBJEXT)
+
+distclean-compile:
+       -rm -f *.tab.c
+
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gc_aligned_alloc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gc_jd_queue.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gc_jd_stack.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gc_job_manager.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gc_job_manager_impl.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gc_proc_def_utils.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/qa_gcell_runtime.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/qa_jd_queue.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/qa_jd_stack.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/qa_job_manager.Plo@am__quote@
+
+.c.o:
+@am__fastdepCC_TRUE@   $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(COMPILE) -c $<
+
+.c.obj:
+@am__fastdepCC_TRUE@   $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(COMPILE) -c `$(CYGPATH_W) '$<'`
+
+.c.lo:
+@am__fastdepCC_TRUE@   $(LTCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(LTCOMPILE) -c -o $@ $<
+
+.cc.o:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ $<
+
+.cc.obj:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ `$(CYGPATH_W) '$<'`
+
+.cc.lo:
+@am__fastdepCXX_TRUE@  $(LTCXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LTCXXCOMPILE) -c -o $@ $<
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile $(LTLIBRARIES) $(SCRIPTS) $(HEADERS)
+installdirs:
+       for dir in "$(DESTDIR)$(bindir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+       -test -z "$(CLEANFILES)" || rm -f $(CLEANFILES)
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool clean-noinstLTLIBRARIES \
+       mostlyclean-am
+
+distclean: distclean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+distclean-am: clean-am distclean-compile distclean-generic \
+       distclean-tags
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-am
+
+install-exec-am: install-dist_binSCRIPTS
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-compile mostlyclean-generic \
+       mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-dist_binSCRIPTS
+
+.MAKE: install-am install-strip
+
+.PHONY: CTAGS GTAGS all all-am check check-am clean clean-generic \
+       clean-libtool clean-noinstLTLIBRARIES ctags dist-hook \
+       distclean distclean-compile distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dist_binSCRIPTS install-dvi \
+       install-dvi-am install-exec install-exec-am install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-ps install-ps-am \
+       install-strip installcheck installcheck-am installdirs \
+       maintainer-clean maintainer-clean-generic mostlyclean \
+       mostlyclean-compile mostlyclean-generic mostlyclean-libtool \
+       pdf pdf-am ps ps-am tags uninstall uninstall-am \
+       uninstall-dist_binSCRIPTS
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+
+# generate a libtool.lo that contains an embeded SPU executable
+gcell_runtime_qa.lo: ../spu/gcell_runtime_qa
+       $(GCELL_EMBEDSPU_LIBTOOL) $< $@
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gcell/lib/spu/Makefile.in b/gcell/lib/spu/Makefile.in
new file mode 100644 (file)
index 0000000..1daf168
--- /dev/null
@@ -0,0 +1,1427 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2008 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License along
+# with this program; if not, write to the Free Software Foundation, Inc.,
+# 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+#
+
+# We're building a single .a file from files in several
+# subdirectories.  We use the "single Makefile, multiple subdirectory"
+# automake alternative. We're doing this because we're faking out
+# automake and getting it to build for 2 architectures at the same
+# time, the PPE (powerpc64) and the SPE.  The easiest way to handle
+# the SPE was to just build a static library using automake's built in
+# rules, since trying to get libtool to handle two architectures in
+# the same tree seemed untenable.
+
+# -*- Makefile -*-
+#
+# Copyright 2007,2008 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License along
+# with this program; if not, write to the Free Software Foundation, Inc.,
+# 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+#
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(gcellspuinclude_HEADERS) $(noinst_HEADERS) \
+       $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common \
+       $(top_srcdir)/Makefile.common.spu
+libspu_PROGRAMS = gcell_all$(EXEEXT)
+noinst_PROGRAMS = gcell_runtime_qa$(EXEEXT) gcell_general_qa$(EXEEXT)
+subdir = gcell/lib/spu
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(libspudir)" "$(DESTDIR)$(libspudir)" \
+       "$(DESTDIR)$(gcellspuincludedir)"
+libspuLIBRARIES_INSTALL = $(INSTALL_DATA)
+LIBRARIES = $(libspu_LIBRARIES)
+ARFLAGS = cru
+libgcell_spu_a_AR = $(AR) $(ARFLAGS)
+libgcell_spu_a_LIBADD =
+am__objects_1 = gc_delay.$(OBJEXT) gc_spu_jd_queue.$(OBJEXT) \
+       spu_buffers.$(OBJEXT) gc_logging.$(OBJEXT) gc_main.$(OBJEXT) \
+       gc_random.$(OBJEXT)
+am__objects_2 = fft_1d_r2.$(OBJEXT) memset.$(OBJEXT)
+am__objects_3 = gcs_fft_1d_r2.$(OBJEXT)
+am_libgcell_spu_a_OBJECTS = $(am__objects_1) $(am__objects_2) \
+       $(am__objects_3)
+libgcell_spu_a_OBJECTS = $(am_libgcell_spu_a_OBJECTS)
+libspuPROGRAMS_INSTALL = $(INSTALL_PROGRAM)
+PROGRAMS = $(libspu_PROGRAMS) $(noinst_PROGRAMS)
+am_gcell_all_OBJECTS = $(am__objects_3)
+gcell_all_OBJECTS = $(am_gcell_all_OBJECTS)
+gcell_all_DEPENDENCIES = libgcell_spu.a
+am__objects_4 = qa_memset.$(OBJEXT)
+am_gcell_general_qa_OBJECTS = $(am__objects_4)
+gcell_general_qa_OBJECTS = $(am_gcell_general_qa_OBJECTS)
+gcell_general_qa_DEPENDENCIES = libgcell_spu.a
+am_gcell_runtime_qa_OBJECTS = gcell_runtime_qa.$(OBJEXT)
+gcell_runtime_qa_OBJECTS = $(am_gcell_runtime_qa_OBJECTS)
+gcell_runtime_qa_DEPENDENCIES = libgcell_spu.a
+DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
+depcomp = $(SHELL) $(top_srcdir)/depcomp
+am__depfiles_maybe = depfiles
+CPPASCOMPILE = $(CCAS) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CCASFLAGS) $(CCASFLAGS)
+LTCPPASCOMPILE = $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CCAS) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CCASFLAGS) $(CCASFLAGS)
+COMPILE = $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) \
+       $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
+LTCOMPILE = $(LIBTOOL) --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
+CCLD = $(CC)
+LINK = $(LIBTOOL) --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CCLD) $(AM_CFLAGS) $(CFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+SOURCES = $(libgcell_spu_a_SOURCES) $(gcell_all_SOURCES) \
+       $(gcell_general_qa_SOURCES) $(gcell_runtime_qa_SOURCES)
+DIST_SOURCES = $(libgcell_spu_a_SOURCES) $(gcell_all_SOURCES) \
+       $(gcell_general_qa_SOURCES) $(gcell_runtime_qa_SOURCES)
+gcellspuincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+HEADERS = $(gcellspuinclude_HEADERS) $(noinst_HEADERS)
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+
+# We're abusing automake, getting it to generate code for two
+# architectures under the same tree.  If you've got a better way to do
+# this, please let us know
+AR = spu-ar
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = spu-gcc
+CCAS = spu-gcc
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = 
+CPP = @CPP@
+
+# Need to override user stuff even though it gives a warning.
+# (Otherwise these contain PPE related info.)
+CPPFLAGS = 
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = spu-ld
+LDFLAGS = 
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = spu-ranlib
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = -O3 -g --std=gnu99 -fstrict-aliasing $(C_WARNINGS)
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+C_WARNINGS = \
+  -Wall -Wextra -Wstrict-prototypes -Werror-implicit-function-declaration
+
+IBM_SPU_SYNC_INCLUDES = -I$(top_srcdir)/gcell/ibm/sync/spu_source
+AM_CPPFLAGS = $(GCELL_SPU_INCLUDES) $(IBM_SPU_SYNC_INCLUDES)
+libspu_LIBRARIES = libgcell_spu.a
+
+# ----------------------------------------------------------------
+# files in the lib/runtime/spu directory
+runtime_srcdir = $(srcdir)/../runtime/spu
+runtime_spu_sources = \
+       $(runtime_srcdir)/gc_delay.c \
+       $(runtime_srcdir)/gc_spu_jd_queue.c \
+       $(runtime_srcdir)/spu_buffers.c \
+       $(runtime_srcdir)/gc_logging.c \
+       $(runtime_srcdir)/gc_main.c \
+       $(runtime_srcdir)/gc_random.c
+
+runtime_spu_headers = 
+runtime_spu_noinst_headers = \
+       $(runtime_srcdir)/gc_spu_config.h \
+       $(runtime_srcdir)/spu_buffers.h 
+
+
+# ----------------------------------------------------------------
+# files in the lib/general/spu directory
+general_srcdir = $(srcdir)/../general/spu
+general_spu_sources = \
+       $(general_srcdir)/fft_1d_r2.c \
+       $(general_srcdir)/memset.S
+
+general_spu_headers = 
+# These now live in include/gcell/spu
+#general_spu_headers = \
+#      $(general_srcdir)/gc_spu_macs.h \
+#      $(general_srcdir)/libfft.h
+general_spu_noinst_headers = 
+# As do these
+#general_spu_noinst_headers = \
+#      $(general_srcdir)/fft_1d.h \
+#      $(general_srcdir)/fft_1d_r2.h
+
+# The QA code for (usually) non-PPE visible support routines in lib/general/spu
+general_spu_qa_sources = \
+       $(general_srcdir)/qa_memset.c
+
+
+# ----------------------------------------------------------------
+# files in the lib/wrapper/spu directory
+wrapper_srcdir = $(srcdir)/../wrapper/spu
+wrapper_spu_sources = \
+       $(wrapper_srcdir)/gcs_fft_1d_r2.c
+
+wrapper_spu_headers = 
+wrapper_spu_noinst_headers = 
+
+# ----------------------------------------------------------------
+# build the library from the files in the three directories
+libgcell_spu_a_SOURCES = \
+       $(runtime_spu_sources) \
+       $(general_spu_sources) \
+       $(wrapper_spu_sources)
+
+gcellspuinclude_HEADERS = \
+       $(runtime_spu_headers) \
+       $(general_spu_headers) \
+       $(wrapper_spu_headers)
+
+noinst_HEADERS = \
+       $(runtime_spu_noinst_headers) \
+       $(general_spu_noinst_headers) \
+       $(wrapper_spu_noinst_headers)
+
+
+#
+# All known non-QA gcell procs (at least until they get too big).
+#
+gcell_all_SOURCES = $(wrapper_spu_sources)
+gcell_all_LDADD = libgcell_spu.a
+
+#
+# The QA code required for testing the runtime.
+#
+gcell_runtime_qa_SOURCES = $(runtime_srcdir)/gcell_runtime_qa.c
+gcell_runtime_qa_LDADD = libgcell_spu.a
+
+#
+# The QA code required for testing the SPE support routines in lib/general/spu
+#
+gcell_general_qa_SOURCES = $(general_spu_qa_sources)
+gcell_general_qa_LDADD = libgcell_spu.a
+all: all-am
+
+.SUFFIXES:
+.SUFFIXES: .S .c .lo .o .obj
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common.spu $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gcell/lib/spu/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gcell/lib/spu/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+install-libspuLIBRARIES: $(libspu_LIBRARIES)
+       @$(NORMAL_INSTALL)
+       test -z "$(libspudir)" || $(MKDIR_P) "$(DESTDIR)$(libspudir)"
+       @list='$(libspu_LIBRARIES)'; for p in $$list; do \
+         if test -f $$p; then \
+           f=$(am__strip_dir) \
+           echo " $(libspuLIBRARIES_INSTALL) '$$p' '$(DESTDIR)$(libspudir)/$$f'"; \
+           $(libspuLIBRARIES_INSTALL) "$$p" "$(DESTDIR)$(libspudir)/$$f"; \
+         else :; fi; \
+       done
+       @$(POST_INSTALL)
+       @list='$(libspu_LIBRARIES)'; for p in $$list; do \
+         if test -f $$p; then \
+           p=$(am__strip_dir) \
+           echo " $(RANLIB) '$(DESTDIR)$(libspudir)/$$p'"; \
+           $(RANLIB) "$(DESTDIR)$(libspudir)/$$p"; \
+         else :; fi; \
+       done
+
+uninstall-libspuLIBRARIES:
+       @$(NORMAL_UNINSTALL)
+       @list='$(libspu_LIBRARIES)'; for p in $$list; do \
+         p=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(libspudir)/$$p'"; \
+         rm -f "$(DESTDIR)$(libspudir)/$$p"; \
+       done
+
+clean-libspuLIBRARIES:
+       -test -z "$(libspu_LIBRARIES)" || rm -f $(libspu_LIBRARIES)
+libgcell_spu.a: $(libgcell_spu_a_OBJECTS) $(libgcell_spu_a_DEPENDENCIES) 
+       -rm -f libgcell_spu.a
+       $(libgcell_spu_a_AR) libgcell_spu.a $(libgcell_spu_a_OBJECTS) $(libgcell_spu_a_LIBADD)
+       $(RANLIB) libgcell_spu.a
+install-libspuPROGRAMS: $(libspu_PROGRAMS)
+       @$(NORMAL_INSTALL)
+       test -z "$(libspudir)" || $(MKDIR_P) "$(DESTDIR)$(libspudir)"
+       @list='$(libspu_PROGRAMS)'; for p in $$list; do \
+         p1=`echo $$p|sed 's/$(EXEEXT)$$//'`; \
+         if test -f $$p \
+            || test -f $$p1 \
+         ; then \
+           f=`echo "$$p1" | sed 's,^.*/,,;$(transform);s/$$/$(EXEEXT)/'`; \
+          echo " $(INSTALL_PROGRAM_ENV) $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(libspuPROGRAMS_INSTALL) '$$p' '$(DESTDIR)$(libspudir)/$$f'"; \
+          $(INSTALL_PROGRAM_ENV) $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(libspuPROGRAMS_INSTALL) "$$p" "$(DESTDIR)$(libspudir)/$$f" || exit 1; \
+         else :; fi; \
+       done
+
+uninstall-libspuPROGRAMS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(libspu_PROGRAMS)'; for p in $$list; do \
+         f=`echo "$$p" | sed 's,^.*/,,;s/$(EXEEXT)$$//;$(transform);s/$$/$(EXEEXT)/'`; \
+         echo " rm -f '$(DESTDIR)$(libspudir)/$$f'"; \
+         rm -f "$(DESTDIR)$(libspudir)/$$f"; \
+       done
+
+clean-libspuPROGRAMS:
+       @list='$(libspu_PROGRAMS)'; for p in $$list; do \
+         f=`echo $$p|sed 's/$(EXEEXT)$$//'`; \
+         echo " rm -f $$p $$f"; \
+         rm -f $$p $$f ; \
+       done
+
+clean-noinstPROGRAMS:
+       @list='$(noinst_PROGRAMS)'; for p in $$list; do \
+         f=`echo $$p|sed 's/$(EXEEXT)$$//'`; \
+         echo " rm -f $$p $$f"; \
+         rm -f $$p $$f ; \
+       done
+gcell_all$(EXEEXT): $(gcell_all_OBJECTS) $(gcell_all_DEPENDENCIES) 
+       @rm -f gcell_all$(EXEEXT)
+       $(LINK) $(gcell_all_OBJECTS) $(gcell_all_LDADD) $(LIBS)
+gcell_general_qa$(EXEEXT): $(gcell_general_qa_OBJECTS) $(gcell_general_qa_DEPENDENCIES) 
+       @rm -f gcell_general_qa$(EXEEXT)
+       $(LINK) $(gcell_general_qa_OBJECTS) $(gcell_general_qa_LDADD) $(LIBS)
+gcell_runtime_qa$(EXEEXT): $(gcell_runtime_qa_OBJECTS) $(gcell_runtime_qa_DEPENDENCIES) 
+       @rm -f gcell_runtime_qa$(EXEEXT)
+       $(LINK) $(gcell_runtime_qa_OBJECTS) $(gcell_runtime_qa_LDADD) $(LIBS)
+
+mostlyclean-compile:
+       -rm -f *.$(OBJEXT)
+
+distclean-compile:
+       -rm -f *.tab.c
+
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/fft_1d_r2.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gc_delay.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gc_logging.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gc_main.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gc_random.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gc_spu_jd_queue.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gcell_runtime_qa.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gcs_fft_1d_r2.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/memset.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/qa_memset.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/spu_buffers.Po@am__quote@
+
+.S.o:
+@am__fastdepCCAS_TRUE@ $(CPPASCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCCAS_TRUE@ mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCCAS_FALSE@    source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCCAS_FALSE@    DEPDIR=$(DEPDIR) $(CCASDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCCAS_FALSE@        $(CPPASCOMPILE) -c -o $@ $<
+
+.S.obj:
+@am__fastdepCCAS_TRUE@ $(CPPASCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCCAS_TRUE@ mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCCAS_FALSE@    source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCCAS_FALSE@    DEPDIR=$(DEPDIR) $(CCASDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCCAS_FALSE@        $(CPPASCOMPILE) -c -o $@ `$(CYGPATH_W) '$<'`
+
+.S.lo:
+@am__fastdepCCAS_TRUE@ $(LTCPPASCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCCAS_TRUE@ mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCCAS_FALSE@    source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCCAS_FALSE@    DEPDIR=$(DEPDIR) $(CCASDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCCAS_FALSE@        $(LTCPPASCOMPILE) -c -o $@ $<
+
+memset.o: $(general_srcdir)/memset.S
+@am__fastdepCCAS_TRUE@ $(CCAS) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CCASFLAGS) $(CCASFLAGS) -MT memset.o -MD -MP -MF $(DEPDIR)/memset.Tpo -c -o memset.o `test -f '$(general_srcdir)/memset.S' || echo '$(srcdir)/'`$(general_srcdir)/memset.S
+@am__fastdepCCAS_TRUE@ mv -f $(DEPDIR)/memset.Tpo $(DEPDIR)/memset.Po
+@AMDEP_TRUE@@am__fastdepCCAS_FALSE@    source='$(general_srcdir)/memset.S' object='memset.o' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCCAS_FALSE@    DEPDIR=$(DEPDIR) $(CCASDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCCAS_FALSE@        $(CCAS) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CCASFLAGS) $(CCASFLAGS) -c -o memset.o `test -f '$(general_srcdir)/memset.S' || echo '$(srcdir)/'`$(general_srcdir)/memset.S
+
+memset.obj: $(general_srcdir)/memset.S
+@am__fastdepCCAS_TRUE@ $(CCAS) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CCASFLAGS) $(CCASFLAGS) -MT memset.obj -MD -MP -MF $(DEPDIR)/memset.Tpo -c -o memset.obj `if test -f '$(general_srcdir)/memset.S'; then $(CYGPATH_W) '$(general_srcdir)/memset.S'; else $(CYGPATH_W) '$(srcdir)/$(general_srcdir)/memset.S'; fi`
+@am__fastdepCCAS_TRUE@ mv -f $(DEPDIR)/memset.Tpo $(DEPDIR)/memset.Po
+@AMDEP_TRUE@@am__fastdepCCAS_FALSE@    source='$(general_srcdir)/memset.S' object='memset.obj' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCCAS_FALSE@    DEPDIR=$(DEPDIR) $(CCASDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCCAS_FALSE@        $(CCAS) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CCASFLAGS) $(CCASFLAGS) -c -o memset.obj `if test -f '$(general_srcdir)/memset.S'; then $(CYGPATH_W) '$(general_srcdir)/memset.S'; else $(CYGPATH_W) '$(srcdir)/$(general_srcdir)/memset.S'; fi`
+
+.c.o:
+@am__fastdepCC_TRUE@   $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(COMPILE) -c $<
+
+.c.obj:
+@am__fastdepCC_TRUE@   $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(COMPILE) -c `$(CYGPATH_W) '$<'`
+
+.c.lo:
+@am__fastdepCC_TRUE@   $(LTCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(LTCOMPILE) -c -o $@ $<
+
+gc_delay.o: $(runtime_srcdir)/gc_delay.c
+@am__fastdepCC_TRUE@   $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT gc_delay.o -MD -MP -MF $(DEPDIR)/gc_delay.Tpo -c -o gc_delay.o `test -f '$(runtime_srcdir)/gc_delay.c' || echo '$(srcdir)/'`$(runtime_srcdir)/gc_delay.c
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/gc_delay.Tpo $(DEPDIR)/gc_delay.Po
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$(runtime_srcdir)/gc_delay.c' object='gc_delay.o' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o gc_delay.o `test -f '$(runtime_srcdir)/gc_delay.c' || echo '$(srcdir)/'`$(runtime_srcdir)/gc_delay.c
+
+gc_delay.obj: $(runtime_srcdir)/gc_delay.c
+@am__fastdepCC_TRUE@   $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT gc_delay.obj -MD -MP -MF $(DEPDIR)/gc_delay.Tpo -c -o gc_delay.obj `if test -f '$(runtime_srcdir)/gc_delay.c'; then $(CYGPATH_W) '$(runtime_srcdir)/gc_delay.c'; else $(CYGPATH_W) '$(srcdir)/$(runtime_srcdir)/gc_delay.c'; fi`
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/gc_delay.Tpo $(DEPDIR)/gc_delay.Po
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$(runtime_srcdir)/gc_delay.c' object='gc_delay.obj' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o gc_delay.obj `if test -f '$(runtime_srcdir)/gc_delay.c'; then $(CYGPATH_W) '$(runtime_srcdir)/gc_delay.c'; else $(CYGPATH_W) '$(srcdir)/$(runtime_srcdir)/gc_delay.c'; fi`
+
+gc_spu_jd_queue.o: $(runtime_srcdir)/gc_spu_jd_queue.c
+@am__fastdepCC_TRUE@   $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT gc_spu_jd_queue.o -MD -MP -MF $(DEPDIR)/gc_spu_jd_queue.Tpo -c -o gc_spu_jd_queue.o `test -f '$(runtime_srcdir)/gc_spu_jd_queue.c' || echo '$(srcdir)/'`$(runtime_srcdir)/gc_spu_jd_queue.c
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/gc_spu_jd_queue.Tpo $(DEPDIR)/gc_spu_jd_queue.Po
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$(runtime_srcdir)/gc_spu_jd_queue.c' object='gc_spu_jd_queue.o' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o gc_spu_jd_queue.o `test -f '$(runtime_srcdir)/gc_spu_jd_queue.c' || echo '$(srcdir)/'`$(runtime_srcdir)/gc_spu_jd_queue.c
+
+gc_spu_jd_queue.obj: $(runtime_srcdir)/gc_spu_jd_queue.c
+@am__fastdepCC_TRUE@   $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT gc_spu_jd_queue.obj -MD -MP -MF $(DEPDIR)/gc_spu_jd_queue.Tpo -c -o gc_spu_jd_queue.obj `if test -f '$(runtime_srcdir)/gc_spu_jd_queue.c'; then $(CYGPATH_W) '$(runtime_srcdir)/gc_spu_jd_queue.c'; else $(CYGPATH_W) '$(srcdir)/$(runtime_srcdir)/gc_spu_jd_queue.c'; fi`
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/gc_spu_jd_queue.Tpo $(DEPDIR)/gc_spu_jd_queue.Po
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$(runtime_srcdir)/gc_spu_jd_queue.c' object='gc_spu_jd_queue.obj' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o gc_spu_jd_queue.obj `if test -f '$(runtime_srcdir)/gc_spu_jd_queue.c'; then $(CYGPATH_W) '$(runtime_srcdir)/gc_spu_jd_queue.c'; else $(CYGPATH_W) '$(srcdir)/$(runtime_srcdir)/gc_spu_jd_queue.c'; fi`
+
+spu_buffers.o: $(runtime_srcdir)/spu_buffers.c
+@am__fastdepCC_TRUE@   $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT spu_buffers.o -MD -MP -MF $(DEPDIR)/spu_buffers.Tpo -c -o spu_buffers.o `test -f '$(runtime_srcdir)/spu_buffers.c' || echo '$(srcdir)/'`$(runtime_srcdir)/spu_buffers.c
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/spu_buffers.Tpo $(DEPDIR)/spu_buffers.Po
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$(runtime_srcdir)/spu_buffers.c' object='spu_buffers.o' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o spu_buffers.o `test -f '$(runtime_srcdir)/spu_buffers.c' || echo '$(srcdir)/'`$(runtime_srcdir)/spu_buffers.c
+
+spu_buffers.obj: $(runtime_srcdir)/spu_buffers.c
+@am__fastdepCC_TRUE@   $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT spu_buffers.obj -MD -MP -MF $(DEPDIR)/spu_buffers.Tpo -c -o spu_buffers.obj `if test -f '$(runtime_srcdir)/spu_buffers.c'; then $(CYGPATH_W) '$(runtime_srcdir)/spu_buffers.c'; else $(CYGPATH_W) '$(srcdir)/$(runtime_srcdir)/spu_buffers.c'; fi`
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/spu_buffers.Tpo $(DEPDIR)/spu_buffers.Po
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$(runtime_srcdir)/spu_buffers.c' object='spu_buffers.obj' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o spu_buffers.obj `if test -f '$(runtime_srcdir)/spu_buffers.c'; then $(CYGPATH_W) '$(runtime_srcdir)/spu_buffers.c'; else $(CYGPATH_W) '$(srcdir)/$(runtime_srcdir)/spu_buffers.c'; fi`
+
+gc_logging.o: $(runtime_srcdir)/gc_logging.c
+@am__fastdepCC_TRUE@   $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT gc_logging.o -MD -MP -MF $(DEPDIR)/gc_logging.Tpo -c -o gc_logging.o `test -f '$(runtime_srcdir)/gc_logging.c' || echo '$(srcdir)/'`$(runtime_srcdir)/gc_logging.c
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/gc_logging.Tpo $(DEPDIR)/gc_logging.Po
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$(runtime_srcdir)/gc_logging.c' object='gc_logging.o' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o gc_logging.o `test -f '$(runtime_srcdir)/gc_logging.c' || echo '$(srcdir)/'`$(runtime_srcdir)/gc_logging.c
+
+gc_logging.obj: $(runtime_srcdir)/gc_logging.c
+@am__fastdepCC_TRUE@   $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT gc_logging.obj -MD -MP -MF $(DEPDIR)/gc_logging.Tpo -c -o gc_logging.obj `if test -f '$(runtime_srcdir)/gc_logging.c'; then $(CYGPATH_W) '$(runtime_srcdir)/gc_logging.c'; else $(CYGPATH_W) '$(srcdir)/$(runtime_srcdir)/gc_logging.c'; fi`
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/gc_logging.Tpo $(DEPDIR)/gc_logging.Po
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$(runtime_srcdir)/gc_logging.c' object='gc_logging.obj' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o gc_logging.obj `if test -f '$(runtime_srcdir)/gc_logging.c'; then $(CYGPATH_W) '$(runtime_srcdir)/gc_logging.c'; else $(CYGPATH_W) '$(srcdir)/$(runtime_srcdir)/gc_logging.c'; fi`
+
+gc_main.o: $(runtime_srcdir)/gc_main.c
+@am__fastdepCC_TRUE@   $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT gc_main.o -MD -MP -MF $(DEPDIR)/gc_main.Tpo -c -o gc_main.o `test -f '$(runtime_srcdir)/gc_main.c' || echo '$(srcdir)/'`$(runtime_srcdir)/gc_main.c
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/gc_main.Tpo $(DEPDIR)/gc_main.Po
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$(runtime_srcdir)/gc_main.c' object='gc_main.o' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o gc_main.o `test -f '$(runtime_srcdir)/gc_main.c' || echo '$(srcdir)/'`$(runtime_srcdir)/gc_main.c
+
+gc_main.obj: $(runtime_srcdir)/gc_main.c
+@am__fastdepCC_TRUE@   $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT gc_main.obj -MD -MP -MF $(DEPDIR)/gc_main.Tpo -c -o gc_main.obj `if test -f '$(runtime_srcdir)/gc_main.c'; then $(CYGPATH_W) '$(runtime_srcdir)/gc_main.c'; else $(CYGPATH_W) '$(srcdir)/$(runtime_srcdir)/gc_main.c'; fi`
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/gc_main.Tpo $(DEPDIR)/gc_main.Po
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$(runtime_srcdir)/gc_main.c' object='gc_main.obj' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o gc_main.obj `if test -f '$(runtime_srcdir)/gc_main.c'; then $(CYGPATH_W) '$(runtime_srcdir)/gc_main.c'; else $(CYGPATH_W) '$(srcdir)/$(runtime_srcdir)/gc_main.c'; fi`
+
+gc_random.o: $(runtime_srcdir)/gc_random.c
+@am__fastdepCC_TRUE@   $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT gc_random.o -MD -MP -MF $(DEPDIR)/gc_random.Tpo -c -o gc_random.o `test -f '$(runtime_srcdir)/gc_random.c' || echo '$(srcdir)/'`$(runtime_srcdir)/gc_random.c
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/gc_random.Tpo $(DEPDIR)/gc_random.Po
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$(runtime_srcdir)/gc_random.c' object='gc_random.o' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o gc_random.o `test -f '$(runtime_srcdir)/gc_random.c' || echo '$(srcdir)/'`$(runtime_srcdir)/gc_random.c
+
+gc_random.obj: $(runtime_srcdir)/gc_random.c
+@am__fastdepCC_TRUE@   $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT gc_random.obj -MD -MP -MF $(DEPDIR)/gc_random.Tpo -c -o gc_random.obj `if test -f '$(runtime_srcdir)/gc_random.c'; then $(CYGPATH_W) '$(runtime_srcdir)/gc_random.c'; else $(CYGPATH_W) '$(srcdir)/$(runtime_srcdir)/gc_random.c'; fi`
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/gc_random.Tpo $(DEPDIR)/gc_random.Po
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$(runtime_srcdir)/gc_random.c' object='gc_random.obj' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o gc_random.obj `if test -f '$(runtime_srcdir)/gc_random.c'; then $(CYGPATH_W) '$(runtime_srcdir)/gc_random.c'; else $(CYGPATH_W) '$(srcdir)/$(runtime_srcdir)/gc_random.c'; fi`
+
+fft_1d_r2.o: $(general_srcdir)/fft_1d_r2.c
+@am__fastdepCC_TRUE@   $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fft_1d_r2.o -MD -MP -MF $(DEPDIR)/fft_1d_r2.Tpo -c -o fft_1d_r2.o `test -f '$(general_srcdir)/fft_1d_r2.c' || echo '$(srcdir)/'`$(general_srcdir)/fft_1d_r2.c
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/fft_1d_r2.Tpo $(DEPDIR)/fft_1d_r2.Po
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$(general_srcdir)/fft_1d_r2.c' object='fft_1d_r2.o' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fft_1d_r2.o `test -f '$(general_srcdir)/fft_1d_r2.c' || echo '$(srcdir)/'`$(general_srcdir)/fft_1d_r2.c
+
+fft_1d_r2.obj: $(general_srcdir)/fft_1d_r2.c
+@am__fastdepCC_TRUE@   $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT fft_1d_r2.obj -MD -MP -MF $(DEPDIR)/fft_1d_r2.Tpo -c -o fft_1d_r2.obj `if test -f '$(general_srcdir)/fft_1d_r2.c'; then $(CYGPATH_W) '$(general_srcdir)/fft_1d_r2.c'; else $(CYGPATH_W) '$(srcdir)/$(general_srcdir)/fft_1d_r2.c'; fi`
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/fft_1d_r2.Tpo $(DEPDIR)/fft_1d_r2.Po
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$(general_srcdir)/fft_1d_r2.c' object='fft_1d_r2.obj' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o fft_1d_r2.obj `if test -f '$(general_srcdir)/fft_1d_r2.c'; then $(CYGPATH_W) '$(general_srcdir)/fft_1d_r2.c'; else $(CYGPATH_W) '$(srcdir)/$(general_srcdir)/fft_1d_r2.c'; fi`
+
+gcs_fft_1d_r2.o: $(wrapper_srcdir)/gcs_fft_1d_r2.c
+@am__fastdepCC_TRUE@   $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT gcs_fft_1d_r2.o -MD -MP -MF $(DEPDIR)/gcs_fft_1d_r2.Tpo -c -o gcs_fft_1d_r2.o `test -f '$(wrapper_srcdir)/gcs_fft_1d_r2.c' || echo '$(srcdir)/'`$(wrapper_srcdir)/gcs_fft_1d_r2.c
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/gcs_fft_1d_r2.Tpo $(DEPDIR)/gcs_fft_1d_r2.Po
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$(wrapper_srcdir)/gcs_fft_1d_r2.c' object='gcs_fft_1d_r2.o' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o gcs_fft_1d_r2.o `test -f '$(wrapper_srcdir)/gcs_fft_1d_r2.c' || echo '$(srcdir)/'`$(wrapper_srcdir)/gcs_fft_1d_r2.c
+
+gcs_fft_1d_r2.obj: $(wrapper_srcdir)/gcs_fft_1d_r2.c
+@am__fastdepCC_TRUE@   $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT gcs_fft_1d_r2.obj -MD -MP -MF $(DEPDIR)/gcs_fft_1d_r2.Tpo -c -o gcs_fft_1d_r2.obj `if test -f '$(wrapper_srcdir)/gcs_fft_1d_r2.c'; then $(CYGPATH_W) '$(wrapper_srcdir)/gcs_fft_1d_r2.c'; else $(CYGPATH_W) '$(srcdir)/$(wrapper_srcdir)/gcs_fft_1d_r2.c'; fi`
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/gcs_fft_1d_r2.Tpo $(DEPDIR)/gcs_fft_1d_r2.Po
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$(wrapper_srcdir)/gcs_fft_1d_r2.c' object='gcs_fft_1d_r2.obj' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o gcs_fft_1d_r2.obj `if test -f '$(wrapper_srcdir)/gcs_fft_1d_r2.c'; then $(CYGPATH_W) '$(wrapper_srcdir)/gcs_fft_1d_r2.c'; else $(CYGPATH_W) '$(srcdir)/$(wrapper_srcdir)/gcs_fft_1d_r2.c'; fi`
+
+qa_memset.o: $(general_srcdir)/qa_memset.c
+@am__fastdepCC_TRUE@   $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT qa_memset.o -MD -MP -MF $(DEPDIR)/qa_memset.Tpo -c -o qa_memset.o `test -f '$(general_srcdir)/qa_memset.c' || echo '$(srcdir)/'`$(general_srcdir)/qa_memset.c
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/qa_memset.Tpo $(DEPDIR)/qa_memset.Po
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$(general_srcdir)/qa_memset.c' object='qa_memset.o' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o qa_memset.o `test -f '$(general_srcdir)/qa_memset.c' || echo '$(srcdir)/'`$(general_srcdir)/qa_memset.c
+
+qa_memset.obj: $(general_srcdir)/qa_memset.c
+@am__fastdepCC_TRUE@   $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT qa_memset.obj -MD -MP -MF $(DEPDIR)/qa_memset.Tpo -c -o qa_memset.obj `if test -f '$(general_srcdir)/qa_memset.c'; then $(CYGPATH_W) '$(general_srcdir)/qa_memset.c'; else $(CYGPATH_W) '$(srcdir)/$(general_srcdir)/qa_memset.c'; fi`
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/qa_memset.Tpo $(DEPDIR)/qa_memset.Po
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$(general_srcdir)/qa_memset.c' object='qa_memset.obj' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o qa_memset.obj `if test -f '$(general_srcdir)/qa_memset.c'; then $(CYGPATH_W) '$(general_srcdir)/qa_memset.c'; else $(CYGPATH_W) '$(srcdir)/$(general_srcdir)/qa_memset.c'; fi`
+
+gcell_runtime_qa.o: $(runtime_srcdir)/gcell_runtime_qa.c
+@am__fastdepCC_TRUE@   $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT gcell_runtime_qa.o -MD -MP -MF $(DEPDIR)/gcell_runtime_qa.Tpo -c -o gcell_runtime_qa.o `test -f '$(runtime_srcdir)/gcell_runtime_qa.c' || echo '$(srcdir)/'`$(runtime_srcdir)/gcell_runtime_qa.c
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/gcell_runtime_qa.Tpo $(DEPDIR)/gcell_runtime_qa.Po
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$(runtime_srcdir)/gcell_runtime_qa.c' object='gcell_runtime_qa.o' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o gcell_runtime_qa.o `test -f '$(runtime_srcdir)/gcell_runtime_qa.c' || echo '$(srcdir)/'`$(runtime_srcdir)/gcell_runtime_qa.c
+
+gcell_runtime_qa.obj: $(runtime_srcdir)/gcell_runtime_qa.c
+@am__fastdepCC_TRUE@   $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -MT gcell_runtime_qa.obj -MD -MP -MF $(DEPDIR)/gcell_runtime_qa.Tpo -c -o gcell_runtime_qa.obj `if test -f '$(runtime_srcdir)/gcell_runtime_qa.c'; then $(CYGPATH_W) '$(runtime_srcdir)/gcell_runtime_qa.c'; else $(CYGPATH_W) '$(srcdir)/$(runtime_srcdir)/gcell_runtime_qa.c'; fi`
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/gcell_runtime_qa.Tpo $(DEPDIR)/gcell_runtime_qa.Po
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$(runtime_srcdir)/gcell_runtime_qa.c' object='gcell_runtime_qa.obj' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS) -c -o gcell_runtime_qa.obj `if test -f '$(runtime_srcdir)/gcell_runtime_qa.c'; then $(CYGPATH_W) '$(runtime_srcdir)/gcell_runtime_qa.c'; else $(CYGPATH_W) '$(srcdir)/$(runtime_srcdir)/gcell_runtime_qa.c'; fi`
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-gcellspuincludeHEADERS: $(gcellspuinclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(gcellspuincludedir)" || $(MKDIR_P) "$(DESTDIR)$(gcellspuincludedir)"
+       @list='$(gcellspuinclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(gcellspuincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(gcellspuincludedir)/$$f'"; \
+         $(gcellspuincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(gcellspuincludedir)/$$f"; \
+       done
+
+uninstall-gcellspuincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(gcellspuinclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(gcellspuincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(gcellspuincludedir)/$$f"; \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile $(LIBRARIES) $(PROGRAMS) $(HEADERS)
+installdirs:
+       for dir in "$(DESTDIR)$(libspudir)" "$(DESTDIR)$(libspudir)" "$(DESTDIR)$(gcellspuincludedir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libspuLIBRARIES clean-libspuPROGRAMS \
+       clean-libtool clean-noinstPROGRAMS mostlyclean-am
+
+distclean: distclean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+distclean-am: clean-am distclean-compile distclean-generic \
+       distclean-tags
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-gcellspuincludeHEADERS \
+       install-libspuLIBRARIES install-libspuPROGRAMS
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-compile mostlyclean-generic \
+       mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-gcellspuincludeHEADERS \
+       uninstall-libspuLIBRARIES uninstall-libspuPROGRAMS
+
+.MAKE: install-am install-strip
+
+.PHONY: CTAGS GTAGS all all-am check check-am clean clean-generic \
+       clean-libspuLIBRARIES clean-libspuPROGRAMS clean-libtool \
+       clean-noinstPROGRAMS ctags dist-hook distclean \
+       distclean-compile distclean-generic distclean-libtool \
+       distclean-tags distdir dvi dvi-am html html-am info info-am \
+       install install-am install-data install-data-am install-dvi \
+       install-dvi-am install-exec install-exec-am \
+       install-gcellspuincludeHEADERS install-html install-html-am \
+       install-info install-info-am install-libspuLIBRARIES \
+       install-libspuPROGRAMS install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-compile \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       tags uninstall uninstall-am uninstall-gcellspuincludeHEADERS \
+       uninstall-libspuLIBRARIES uninstall-libspuPROGRAMS
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gcell/lib/wrapper/Makefile.in b/gcell/lib/wrapper/Makefile.in
new file mode 100644 (file)
index 0000000..a501582
--- /dev/null
@@ -0,0 +1,1034 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License along
+# with this program; if not, write to the Free Software Foundation, Inc.,
+# 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+#
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(noinst_HEADERS) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(top_srcdir)/Makefile.common
+subdir = gcell/lib/wrapper
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+LTLIBRARIES = $(noinst_LTLIBRARIES)
+libwrapper_qa_la_DEPENDENCIES = gcell_general_qa.lo
+am_libwrapper_qa_la_OBJECTS = qa_gcell_general.lo qa_gcell_wrapper.lo
+libwrapper_qa_la_OBJECTS = $(am_libwrapper_qa_la_OBJECTS)
+libwrapper_la_DEPENDENCIES = gcell_all.lo
+am_libwrapper_la_OBJECTS = gcp_fft_1d_r2.lo
+libwrapper_la_OBJECTS = $(am_libwrapper_la_OBJECTS)
+DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
+depcomp = $(SHELL) $(top_srcdir)/depcomp
+am__depfiles_maybe = depfiles
+CXXCOMPILE = $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+LTCXXCOMPILE = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+CXXLD = $(CXX)
+CXXLINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CXXLD) $(AM_CXXFLAGS) $(CXXFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+SOURCES = $(libwrapper_qa_la_SOURCES) $(libwrapper_la_SOURCES)
+DIST_SOURCES = $(libwrapper_qa_la_SOURCES) $(libwrapper_la_SOURCES)
+HEADERS = $(noinst_HEADERS)
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+AM_CPPFLAGS = $(DEFINES) $(GCELL_INCLUDES) $(FFTW3F_CFLAGS) $(WITH_INCLUDES)
+noinst_LTLIBRARIES = libwrapper.la libwrapper-qa.la
+
+# The primary library
+libwrapper_la_SOURCES = \
+       gcp_fft_1d_r2.cc
+
+libwrapper_la_LIBADD = \
+       gcell_all.lo
+
+
+# The QA library
+libwrapper_qa_la_SOURCES = \
+       qa_gcell_general.cc \
+       qa_gcell_wrapper.cc
+
+
+# FFTW now depends on gcell, don't create circular dependency :-)
+#      qa_gcp_fft_1d_r2.cc
+libwrapper_qa_la_LIBADD = \
+       gcell_general_qa.lo
+
+
+#      -lfftw3f
+
+# Headers
+
+# Moved to include/gcell
+#gcellinclude_HEADERS = \
+#      gcp_fft_1d_r2.h
+noinst_HEADERS = \
+       qa_gcell_general.h \
+       qa_gcell_wrapper.h \
+       qa_gcp_fft_1d_r2.h
+
+CLEANFILES = gcell_all.lo gcell_general_qa.lo
+all: all-am
+
+.SUFFIXES:
+.SUFFIXES: .cc .lo .o .obj
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gcell/lib/wrapper/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gcell/lib/wrapper/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+clean-noinstLTLIBRARIES:
+       -test -z "$(noinst_LTLIBRARIES)" || rm -f $(noinst_LTLIBRARIES)
+       @list='$(noinst_LTLIBRARIES)'; for p in $$list; do \
+         dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
+         test "$$dir" != "$$p" || dir=.; \
+         echo "rm -f \"$${dir}/so_locations\""; \
+         rm -f "$${dir}/so_locations"; \
+       done
+libwrapper-qa.la: $(libwrapper_qa_la_OBJECTS) $(libwrapper_qa_la_DEPENDENCIES) 
+       $(CXXLINK)  $(libwrapper_qa_la_OBJECTS) $(libwrapper_qa_la_LIBADD) $(LIBS)
+libwrapper.la: $(libwrapper_la_OBJECTS) $(libwrapper_la_DEPENDENCIES) 
+       $(CXXLINK)  $(libwrapper_la_OBJECTS) $(libwrapper_la_LIBADD) $(LIBS)
+
+mostlyclean-compile:
+       -rm -f *.$(OBJEXT)
+
+distclean-compile:
+       -rm -f *.tab.c
+
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gcp_fft_1d_r2.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/qa_gcell_general.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/qa_gcell_wrapper.Plo@am__quote@
+
+.cc.o:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ $<
+
+.cc.obj:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ `$(CYGPATH_W) '$<'`
+
+.cc.lo:
+@am__fastdepCXX_TRUE@  $(LTCXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LTCXXCOMPILE) -c -o $@ $<
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile $(LTLIBRARIES) $(HEADERS)
+installdirs:
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+       -test -z "$(CLEANFILES)" || rm -f $(CLEANFILES)
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool clean-noinstLTLIBRARIES \
+       mostlyclean-am
+
+distclean: distclean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+distclean-am: clean-am distclean-compile distclean-generic \
+       distclean-tags
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-compile mostlyclean-generic \
+       mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: install-am install-strip
+
+.PHONY: CTAGS GTAGS all all-am check check-am clean clean-generic \
+       clean-libtool clean-noinstLTLIBRARIES ctags dist-hook \
+       distclean distclean-compile distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-compile \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       tags uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+
+#
+# generate libtool.lo's that contain embedded SPU executables
+#
+gcell_all.lo: ../spu/gcell_all
+       $(GCELL_EMBEDSPU_LIBTOOL) $< $@ 
+
+gcell_general_qa.lo: ../spu/gcell_general_qa
+       $(GCELL_EMBEDSPU_LIBTOOL) $< $@
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gnuradio-core/Makefile.in b/gnuradio-core/Makefile.in
new file mode 100644 (file)
index 0000000..cace4f7
--- /dev/null
@@ -0,0 +1,1090 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2001,2006,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(dist_etc_DATA) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(srcdir)/gnuradio-core.pc.in \
+       $(top_srcdir)/Makefile.common
+subdir = gnuradio-core
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES = gnuradio-core.pc
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(etcdir)" "$(DESTDIR)$(pkgconfigdir)"
+dist_etcDATA_INSTALL = $(INSTALL_DATA)
+pkgconfigDATA_INSTALL = $(INSTALL_DATA)
+DATA = $(dist_etc_DATA) $(pkgconfig_DATA)
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+EXTRA_DIST = \
+       gnuradio-core.pc.in
+
+SUBDIRS = src
+pkgconfigdir = $(libdir)/pkgconfig
+pkgconfig_DATA = gnuradio-core.pc
+etcdir = $(gr_sysconfdir)
+dist_etc_DATA = gnuradio-core.conf
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gnuradio-core/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gnuradio-core/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+gnuradio-core.pc: $(top_builddir)/config.status $(srcdir)/gnuradio-core.pc.in
+       cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-dist_etcDATA: $(dist_etc_DATA)
+       @$(NORMAL_INSTALL)
+       test -z "$(etcdir)" || $(MKDIR_P) "$(DESTDIR)$(etcdir)"
+       @list='$(dist_etc_DATA)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(dist_etcDATA_INSTALL) '$$d$$p' '$(DESTDIR)$(etcdir)/$$f'"; \
+         $(dist_etcDATA_INSTALL) "$$d$$p" "$(DESTDIR)$(etcdir)/$$f"; \
+       done
+
+uninstall-dist_etcDATA:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_etc_DATA)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(etcdir)/$$f'"; \
+         rm -f "$(DESTDIR)$(etcdir)/$$f"; \
+       done
+install-pkgconfigDATA: $(pkgconfig_DATA)
+       @$(NORMAL_INSTALL)
+       test -z "$(pkgconfigdir)" || $(MKDIR_P) "$(DESTDIR)$(pkgconfigdir)"
+       @list='$(pkgconfig_DATA)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(pkgconfigDATA_INSTALL) '$$d$$p' '$(DESTDIR)$(pkgconfigdir)/$$f'"; \
+         $(pkgconfigDATA_INSTALL) "$$d$$p" "$(DESTDIR)$(pkgconfigdir)/$$f"; \
+       done
+
+uninstall-pkgconfigDATA:
+       @$(NORMAL_UNINSTALL)
+       @list='$(pkgconfig_DATA)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(pkgconfigdir)/$$f'"; \
+         rm -f "$(DESTDIR)$(pkgconfigdir)/$$f"; \
+       done
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-recursive
+all-am: Makefile $(DATA)
+installdirs: installdirs-recursive
+installdirs-am:
+       for dir in "$(DESTDIR)$(etcdir)" "$(DESTDIR)$(pkgconfigdir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am: install-dist_etcDATA install-pkgconfigDATA
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am: uninstall-dist_etcDATA uninstall-pkgconfigDATA
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive dist-hook distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dist_etcDATA install-dvi \
+       install-dvi-am install-exec install-exec-am install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-pkgconfigDATA install-ps \
+       install-ps-am install-strip installcheck installcheck-am \
+       installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am uninstall-dist_etcDATA \
+       uninstall-pkgconfigDATA
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gnuradio-core/src/Makefile.in b/gnuradio-core/src/Makefile.in
new file mode 100644 (file)
index 0000000..87aa6d4
--- /dev/null
@@ -0,0 +1,1030 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2001,2004 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gnuradio-core/src
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+SUBDIRS = gen_interpolator_taps lib tests python
+DIST_SUBDIRS = gen_interpolator_taps lib tests python utils
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gnuradio-core/src/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gnuradio-core/src/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-recursive
+all-am: Makefile
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive dist-hook distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gnuradio-core/src/gen_interpolator_taps/Makefile.in b/gnuradio-core/src/gen_interpolator_taps/Makefile.in
new file mode 100644 (file)
index 0000000..107376a
--- /dev/null
@@ -0,0 +1,1011 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2002 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = README $(am__noinst_HEADERS_DIST) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(top_srcdir)/Makefile.common
+@ENABLE_FORTRAN_TRUE@noinst_PROGRAMS = gen_interpolator_taps$(EXEEXT)
+subdir = gnuradio-core/src/gen_interpolator_taps
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+PROGRAMS = $(noinst_PROGRAMS)
+am__gen_interpolator_taps_SOURCES_DIST = gen_interpolator_taps.c \
+       objective_fct.c simpson.c praxis.f
+@ENABLE_FORTRAN_TRUE@am_gen_interpolator_taps_OBJECTS =  \
+@ENABLE_FORTRAN_TRUE@  gen_interpolator_taps.$(OBJEXT) \
+@ENABLE_FORTRAN_TRUE@  objective_fct.$(OBJEXT) simpson.$(OBJEXT) \
+@ENABLE_FORTRAN_TRUE@  praxis.$(OBJEXT)
+gen_interpolator_taps_OBJECTS = $(am_gen_interpolator_taps_OBJECTS)
+am__DEPENDENCIES_1 =
+@ENABLE_FORTRAN_TRUE@gen_interpolator_taps_DEPENDENCIES =  \
+@ENABLE_FORTRAN_TRUE@  $(am__DEPENDENCIES_1)
+DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
+depcomp = $(SHELL) $(top_srcdir)/depcomp
+am__depfiles_maybe = depfiles
+COMPILE = $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) \
+       $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
+LTCOMPILE = $(LIBTOOL) --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
+CCLD = $(CC)
+LINK = $(LIBTOOL) --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CCLD) $(AM_CFLAGS) $(CFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+F77COMPILE = $(F77) $(AM_FFLAGS) $(FFLAGS)
+LTF77COMPILE = $(LIBTOOL) --tag=F77 $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(F77) $(AM_FFLAGS) $(FFLAGS)
+F77LD = $(F77)
+F77LINK = $(LIBTOOL) --tag=F77 $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(F77LD) $(AM_FFLAGS) $(FFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+SOURCES = $(gen_interpolator_taps_SOURCES)
+DIST_SOURCES = $(am__gen_interpolator_taps_SOURCES_DIST)
+am__noinst_HEADERS_DIST = simpson.h
+HEADERS = $(noinst_HEADERS)
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+EXTRA_DIST = praxis.txt simpson.h
+@ENABLE_FORTRAN_TRUE@noinst_HEADERS = simpson.h
+@ENABLE_FORTRAN_TRUE@gen_interpolator_taps_SOURCES = gen_interpolator_taps.c objective_fct.c simpson.c praxis.f
+@ENABLE_FORTRAN_TRUE@gen_interpolator_taps_LDADD = $(FLIBS) -lm
+all: all-am
+
+.SUFFIXES:
+.SUFFIXES: .c .f .lo .o .obj
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gnuradio-core/src/gen_interpolator_taps/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gnuradio-core/src/gen_interpolator_taps/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+clean-noinstPROGRAMS:
+       @list='$(noinst_PROGRAMS)'; for p in $$list; do \
+         f=`echo $$p|sed 's/$(EXEEXT)$$//'`; \
+         echo " rm -f $$p $$f"; \
+         rm -f $$p $$f ; \
+       done
+gen_interpolator_taps$(EXEEXT): $(gen_interpolator_taps_OBJECTS) $(gen_interpolator_taps_DEPENDENCIES) 
+       @rm -f gen_interpolator_taps$(EXEEXT)
+       $(F77LINK) $(gen_interpolator_taps_OBJECTS) $(gen_interpolator_taps_LDADD) $(LIBS)
+
+mostlyclean-compile:
+       -rm -f *.$(OBJEXT)
+
+distclean-compile:
+       -rm -f *.tab.c
+
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gen_interpolator_taps.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/objective_fct.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/simpson.Po@am__quote@
+
+.c.o:
+@am__fastdepCC_TRUE@   $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(COMPILE) -c $<
+
+.c.obj:
+@am__fastdepCC_TRUE@   $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(COMPILE) -c `$(CYGPATH_W) '$<'`
+
+.c.lo:
+@am__fastdepCC_TRUE@   $(LTCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(LTCOMPILE) -c -o $@ $<
+
+.f.o:
+       $(F77COMPILE) -c -o $@ $<
+
+.f.obj:
+       $(F77COMPILE) -c -o $@ `$(CYGPATH_W) '$<'`
+
+.f.lo:
+       $(LTF77COMPILE) -c -o $@ $<
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile $(PROGRAMS) $(HEADERS)
+installdirs:
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool clean-noinstPROGRAMS \
+       mostlyclean-am
+
+distclean: distclean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+distclean-am: clean-am distclean-compile distclean-generic \
+       distclean-tags
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-compile mostlyclean-generic \
+       mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: install-am install-strip
+
+.PHONY: CTAGS GTAGS all all-am check check-am clean clean-generic \
+       clean-libtool clean-noinstPROGRAMS ctags dist-hook distclean \
+       distclean-compile distclean-generic distclean-libtool \
+       distclean-tags distdir dvi dvi-am html html-am info info-am \
+       install install-am install-data install-data-am install-dvi \
+       install-dvi-am install-exec install-exec-am install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-ps install-ps-am \
+       install-strip installcheck installcheck-am installdirs \
+       maintainer-clean maintainer-clean-generic mostlyclean \
+       mostlyclean-compile mostlyclean-generic mostlyclean-libtool \
+       pdf pdf-am ps ps-am tags uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gnuradio-core/src/lib/Makefile.in b/gnuradio-core/src/lib/Makefile.in
new file mode 100644 (file)
index 0000000..df48431
--- /dev/null
@@ -0,0 +1,1181 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2001,2004 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gnuradio-core/src/lib
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(libdir)"
+libLTLIBRARIES_INSTALL = $(INSTALL)
+LTLIBRARIES = $(lib_LTLIBRARIES)
+am__DEPENDENCIES_1 =
+libgnuradio_core_qa_la_DEPENDENCIES = filter/libfilter-qa.la \
+       general/libgeneral-qa.la runtime/libruntime-qa.la \
+       libgnuradio-core.la $(am__DEPENDENCIES_1)
+am_libgnuradio_core_qa_la_OBJECTS = bug_work_around_6.lo
+libgnuradio_core_qa_la_OBJECTS = $(am_libgnuradio_core_qa_la_OBJECTS)
+libgnuradio_core_qa_la_LINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) \
+       $(LIBTOOLFLAGS) --mode=link $(CXXLD) $(AM_CXXFLAGS) \
+       $(CXXFLAGS) $(libgnuradio_core_qa_la_LDFLAGS) $(LDFLAGS) -o $@
+libgnuradio_core_la_DEPENDENCIES = filter/libfilter.la \
+       g72x/libccitt.la viterbi/libviterbi.la general/libgeneral.la \
+       gengen/libgengen.la io/libio.la missing/libmissing.la \
+       reed-solomon/librs.la runtime/libruntime.la hier/libhier.la \
+       $(am__DEPENDENCIES_1) $(am__DEPENDENCIES_1) \
+       $(am__DEPENDENCIES_1) $(am__DEPENDENCIES_1) \
+       $(am__DEPENDENCIES_1)
+am_libgnuradio_core_la_OBJECTS = bug_work_around_6.lo
+libgnuradio_core_la_OBJECTS = $(am_libgnuradio_core_la_OBJECTS)
+libgnuradio_core_la_LINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) \
+       $(LIBTOOLFLAGS) --mode=link $(CXXLD) $(AM_CXXFLAGS) \
+       $(CXXFLAGS) $(libgnuradio_core_la_LDFLAGS) $(LDFLAGS) -o $@
+DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
+depcomp = $(SHELL) $(top_srcdir)/depcomp
+am__depfiles_maybe = depfiles
+CXXCOMPILE = $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+LTCXXCOMPILE = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+CXXLD = $(CXX)
+CXXLINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CXXLD) $(AM_CXXFLAGS) $(CXXFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+SOURCES = $(libgnuradio_core_qa_la_SOURCES) \
+       $(libgnuradio_core_la_SOURCES)
+DIST_SOURCES = $(libgnuradio_core_qa_la_SOURCES) \
+       $(libgnuradio_core_la_SOURCES)
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+
+# We've got to build . before swig
+SUBDIRS = missing runtime filter viterbi general gengen g72x reed-solomon io hier . swig
+
+# generate libgnuradio-core.la from the convenience libraries in subdirs
+lib_LTLIBRARIES = libgnuradio-core.la libgnuradio-core-qa.la
+libgnuradio_core_la_SOURCES = bug_work_around_6.cc
+libgnuradio_core_la_LDFLAGS = $(NO_UNDEFINED) -version-info 0:0:0
+libgnuradio_core_qa_la_SOURCES = bug_work_around_6.cc
+libgnuradio_core_qa_la_LDFLAGS = $(NO_UNDEFINED) -version-info 0:0:0 \
+                                $(LIBGNURADIO_CORE_EXTRA_LDFLAGS)
+
+libgnuradio_core_la_LIBADD = \
+       filter/libfilter.la             \
+       g72x/libccitt.la                \
+       viterbi/libviterbi.la           \
+       general/libgeneral.la           \
+       gengen/libgengen.la             \
+       io/libio.la                     \
+       missing/libmissing.la           \
+       reed-solomon/librs.la           \
+       runtime/libruntime.la           \
+       hier/libhier.la                 \
+       $(OMNITHREAD_LA)                \
+       $(GRUEL_LA)                     \
+       $(FFTW3F_LIBS)                  \
+       $(GSL_LIBS)                     \
+       $(CBLAS_LIBS)                   
+
+libgnuradio_core_qa_la_LIBADD = \
+       filter/libfilter-qa.la          \
+       general/libgeneral-qa.la        \
+       runtime/libruntime-qa.la        \
+       libgnuradio-core.la             \
+       $(CPPUNIT_LIBS)                 
+
+all: all-recursive
+
+.SUFFIXES:
+.SUFFIXES: .cc .lo .o .obj
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gnuradio-core/src/lib/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gnuradio-core/src/lib/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+install-libLTLIBRARIES: $(lib_LTLIBRARIES)
+       @$(NORMAL_INSTALL)
+       test -z "$(libdir)" || $(MKDIR_P) "$(DESTDIR)$(libdir)"
+       @list='$(lib_LTLIBRARIES)'; for p in $$list; do \
+         if test -f $$p; then \
+           f=$(am__strip_dir) \
+           echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(libLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) '$$p' '$(DESTDIR)$(libdir)/$$f'"; \
+           $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(libLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) "$$p" "$(DESTDIR)$(libdir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-libLTLIBRARIES:
+       @$(NORMAL_UNINSTALL)
+       @list='$(lib_LTLIBRARIES)'; for p in $$list; do \
+         p=$(am__strip_dir) \
+         echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f '$(DESTDIR)$(libdir)/$$p'"; \
+         $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f "$(DESTDIR)$(libdir)/$$p"; \
+       done
+
+clean-libLTLIBRARIES:
+       -test -z "$(lib_LTLIBRARIES)" || rm -f $(lib_LTLIBRARIES)
+       @list='$(lib_LTLIBRARIES)'; for p in $$list; do \
+         dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
+         test "$$dir" != "$$p" || dir=.; \
+         echo "rm -f \"$${dir}/so_locations\""; \
+         rm -f "$${dir}/so_locations"; \
+       done
+libgnuradio-core-qa.la: $(libgnuradio_core_qa_la_OBJECTS) $(libgnuradio_core_qa_la_DEPENDENCIES) 
+       $(libgnuradio_core_qa_la_LINK) -rpath $(libdir) $(libgnuradio_core_qa_la_OBJECTS) $(libgnuradio_core_qa_la_LIBADD) $(LIBS)
+libgnuradio-core.la: $(libgnuradio_core_la_OBJECTS) $(libgnuradio_core_la_DEPENDENCIES) 
+       $(libgnuradio_core_la_LINK) -rpath $(libdir) $(libgnuradio_core_la_OBJECTS) $(libgnuradio_core_la_LIBADD) $(LIBS)
+
+mostlyclean-compile:
+       -rm -f *.$(OBJEXT)
+
+distclean-compile:
+       -rm -f *.tab.c
+
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/bug_work_around_6.Plo@am__quote@
+
+.cc.o:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ $<
+
+.cc.obj:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ `$(CYGPATH_W) '$<'`
+
+.cc.lo:
+@am__fastdepCXX_TRUE@  $(LTCXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LTCXXCOMPILE) -c -o $@ $<
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-recursive
+all-am: Makefile $(LTLIBRARIES)
+installdirs: installdirs-recursive
+installdirs-am:
+       for dir in "$(DESTDIR)$(libdir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libLTLIBRARIES clean-libtool \
+       mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+distclean-am: clean-am distclean-compile distclean-generic \
+       distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am: install-libLTLIBRARIES
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-compile mostlyclean-generic \
+       mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am: uninstall-libLTLIBRARIES
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic \
+       clean-libLTLIBRARIES clean-libtool ctags ctags-recursive \
+       dist-hook distclean distclean-compile distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-libLTLIBRARIES install-man install-pdf \
+       install-pdf-am install-ps install-ps-am install-strip \
+       installcheck installcheck-am installdirs installdirs-am \
+       maintainer-clean maintainer-clean-generic mostlyclean \
+       mostlyclean-compile mostlyclean-generic mostlyclean-libtool \
+       pdf pdf-am ps ps-am tags tags-recursive uninstall uninstall-am \
+       uninstall-libLTLIBRARIES
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gnuradio-core/src/lib/filter/Makefile.in b/gnuradio-core/src/lib/filter/Makefile.in
new file mode 100644 (file)
index 0000000..785d4f8
--- /dev/null
@@ -0,0 +1,1856 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2001,2002,2004,2005,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = README $(grinclude_HEADERS) $(noinst_HEADERS) \
+       $(srcdir)/Makefile.am $(srcdir)/Makefile.gen \
+       $(srcdir)/Makefile.in $(swiginclude_HEADERS) \
+       $(top_srcdir)/Makefile.common $(top_srcdir)/Makefile.gen.gen \
+       $(top_srcdir)/Makefile.par.gen
+subdir = gnuradio-core/src/lib/filter
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+LTLIBRARIES = $(noinst_LTLIBRARIES)
+libfilter_qa_la_LIBADD =
+am__libfilter_qa_la_SOURCES_DIST = qa_filter.cc qa_gr_fir_ccf.cc \
+       qa_gr_fir_fcc.cc qa_gr_fir_fff.cc qa_gr_fir_ccc.cc \
+       qa_gr_fir_scc.cc qa_gr_rotator.cc \
+       qa_gri_mmse_fir_interpolator.cc \
+       qa_gri_mmse_fir_interpolator_cc.cc qa_dotprod_generic.cc \
+       qa_dotprod_powerpc.cc qa_dotprod_x86.cc \
+       qa_float_dotprod_x86.cc qa_complex_dotprod_x86.cc \
+       qa_ccomplex_dotprod_x86.cc
+am__objects_1 = qa_filter.lo qa_gr_fir_ccf.lo qa_gr_fir_fcc.lo \
+       qa_gr_fir_fff.lo qa_gr_fir_ccc.lo qa_gr_fir_scc.lo \
+       qa_gr_rotator.lo qa_gri_mmse_fir_interpolator.lo \
+       qa_gri_mmse_fir_interpolator_cc.lo
+am__objects_2 = qa_dotprod_generic.lo
+am__objects_3 = qa_dotprod_powerpc.lo
+am__objects_4 = qa_dotprod_x86.lo qa_float_dotprod_x86.lo \
+       qa_complex_dotprod_x86.lo qa_ccomplex_dotprod_x86.lo
+@MD_CPU_generic_FALSE@@MD_CPU_powerpc_FALSE@@MD_CPU_x86_TRUE@am_libfilter_qa_la_OBJECTS = $(am__objects_1) \
+@MD_CPU_generic_FALSE@@MD_CPU_powerpc_FALSE@@MD_CPU_x86_TRUE@  $(am__objects_4)
+@MD_CPU_generic_FALSE@@MD_CPU_powerpc_TRUE@am_libfilter_qa_la_OBJECTS =  \
+@MD_CPU_generic_FALSE@@MD_CPU_powerpc_TRUE@    $(am__objects_1) \
+@MD_CPU_generic_FALSE@@MD_CPU_powerpc_TRUE@    $(am__objects_3)
+@MD_CPU_generic_TRUE@am_libfilter_qa_la_OBJECTS = $(am__objects_1) \
+@MD_CPU_generic_TRUE@  $(am__objects_2)
+libfilter_qa_la_OBJECTS = $(am_libfilter_qa_la_OBJECTS)
+libfilter_la_LIBADD =
+am__libfilter_la_SOURCES_DIST = gr_fir_ccc.cc gr_fir_ccc_generic.cc \
+       gr_fir_ccf.cc gr_fir_ccf_generic.cc gr_fir_fcc.cc \
+       gr_fir_fcc_generic.cc gr_fir_fff.cc gr_fir_fff_generic.cc \
+       gr_fir_filter_ccc.cc gr_fir_filter_ccf.cc gr_fir_filter_fcc.cc \
+       gr_fir_filter_fff.cc gr_fir_filter_fsf.cc gr_fir_filter_scc.cc \
+       gr_fir_fsf.cc gr_fir_fsf_generic.cc gr_fir_scc.cc \
+       gr_fir_scc_generic.cc gr_fir_sysconfig.cc \
+       gr_fir_sysconfig_generic.cc gr_fir_util.cc \
+       gr_freq_xlating_fir_filter_ccc.cc \
+       gr_freq_xlating_fir_filter_ccf.cc \
+       gr_freq_xlating_fir_filter_fcc.cc \
+       gr_freq_xlating_fir_filter_fcf.cc \
+       gr_freq_xlating_fir_filter_scc.cc \
+       gr_freq_xlating_fir_filter_scf.cc gr_interp_fir_filter_ccc.cc \
+       gr_interp_fir_filter_ccf.cc gr_interp_fir_filter_fcc.cc \
+       gr_interp_fir_filter_fff.cc gr_interp_fir_filter_fsf.cc \
+       gr_interp_fir_filter_scc.cc gr_rational_resampler_base_ccc.cc \
+       gr_rational_resampler_base_ccf.cc \
+       gr_rational_resampler_base_fcc.cc \
+       gr_rational_resampler_base_fff.cc \
+       gr_rational_resampler_base_fsf.cc \
+       gr_rational_resampler_base_scc.cc gr_adaptive_fir_ccf.cc \
+       gr_cma_equalizer_cc.cc gr_fft_filter_ccc.cc \
+       gr_fft_filter_fff.cc gr_goertzel_fc.cc gr_filter_delay_fc.cc \
+       gr_fractional_interpolator_ff.cc \
+       gr_fractional_interpolator_cc.cc gr_hilbert_fc.cc \
+       gr_iir_filter_ffd.cc gr_sincos.c \
+       gr_single_pole_iir_filter_ff.cc \
+       gr_single_pole_iir_filter_cc.cc gri_goertzel.cc \
+       gri_mmse_fir_interpolator.cc gri_mmse_fir_interpolator_cc.cc \
+       complex_dotprod_generic.cc ccomplex_dotprod_generic.cc \
+       float_dotprod_generic.c short_dotprod_generic.c \
+       sysconfig_generic.cc sysconfig_powerpc.cc \
+       gr_fir_sysconfig_powerpc.cc gr_cpu_powerpc.cc \
+       gr_fir_fff_altivec.cc gr_altivec.c dotprod_fff_altivec.c \
+       float_dotprod_sse.S float_dotprod_3dnow.S \
+       complex_dotprod_3dnowext.S complex_dotprod_3dnow.S \
+       complex_dotprod_sse.S ccomplex_dotprod_3dnowext.S \
+       ccomplex_dotprod_3dnow.S ccomplex_dotprod_sse.S \
+       fcomplex_dotprod_3dnow.S fcomplex_dotprod_sse.S \
+       short_dotprod_mmx.S cpuid_x86.S sysconfig_x86.cc \
+       gr_fir_sysconfig_x86.cc gr_cpu_x86.cc gr_fir_ccc_simd.cc \
+       gr_fir_ccc_x86.cc gr_fir_fff_simd.cc gr_fir_fff_x86.cc \
+       gr_fir_fsf_simd.cc gr_fir_fsf_x86.cc gr_fir_scc_simd.cc \
+       gr_fir_scc_x86.cc gr_fir_fcc_simd.cc gr_fir_fcc_x86.cc \
+       gr_fir_ccf_simd.cc gr_fir_ccf_x86.cc sse_debug.c \
+       float_dotprod_sse64.S float_dotprod_3dnow64.S \
+       complex_dotprod_3dnowext64.S complex_dotprod_3dnow64.S \
+       complex_dotprod_sse64.S ccomplex_dotprod_3dnowext64.S \
+       ccomplex_dotprod_3dnow64.S ccomplex_dotprod_sse64.S \
+       fcomplex_dotprod_3dnow64.S fcomplex_dotprod_sse64.S \
+       short_dotprod_mmx64.S cpuid_x86_64.S
+am__objects_5 = gr_fir_ccc.lo gr_fir_ccc_generic.lo gr_fir_ccf.lo \
+       gr_fir_ccf_generic.lo gr_fir_fcc.lo gr_fir_fcc_generic.lo \
+       gr_fir_fff.lo gr_fir_fff_generic.lo gr_fir_filter_ccc.lo \
+       gr_fir_filter_ccf.lo gr_fir_filter_fcc.lo gr_fir_filter_fff.lo \
+       gr_fir_filter_fsf.lo gr_fir_filter_scc.lo gr_fir_fsf.lo \
+       gr_fir_fsf_generic.lo gr_fir_scc.lo gr_fir_scc_generic.lo \
+       gr_fir_sysconfig.lo gr_fir_sysconfig_generic.lo gr_fir_util.lo \
+       gr_freq_xlating_fir_filter_ccc.lo \
+       gr_freq_xlating_fir_filter_ccf.lo \
+       gr_freq_xlating_fir_filter_fcc.lo \
+       gr_freq_xlating_fir_filter_fcf.lo \
+       gr_freq_xlating_fir_filter_scc.lo \
+       gr_freq_xlating_fir_filter_scf.lo gr_interp_fir_filter_ccc.lo \
+       gr_interp_fir_filter_ccf.lo gr_interp_fir_filter_fcc.lo \
+       gr_interp_fir_filter_fff.lo gr_interp_fir_filter_fsf.lo \
+       gr_interp_fir_filter_scc.lo gr_rational_resampler_base_ccc.lo \
+       gr_rational_resampler_base_ccf.lo \
+       gr_rational_resampler_base_fcc.lo \
+       gr_rational_resampler_base_fff.lo \
+       gr_rational_resampler_base_fsf.lo \
+       gr_rational_resampler_base_scc.lo
+am__objects_6 = $(am__objects_5) gr_adaptive_fir_ccf.lo \
+       gr_cma_equalizer_cc.lo gr_fft_filter_ccc.lo \
+       gr_fft_filter_fff.lo gr_goertzel_fc.lo gr_filter_delay_fc.lo \
+       gr_fractional_interpolator_ff.lo \
+       gr_fractional_interpolator_cc.lo gr_hilbert_fc.lo \
+       gr_iir_filter_ffd.lo gr_sincos.lo \
+       gr_single_pole_iir_filter_ff.lo \
+       gr_single_pole_iir_filter_cc.lo gri_goertzel.lo \
+       gri_mmse_fir_interpolator.lo gri_mmse_fir_interpolator_cc.lo \
+       complex_dotprod_generic.lo ccomplex_dotprod_generic.lo \
+       float_dotprod_generic.lo short_dotprod_generic.lo
+am__objects_7 = sysconfig_generic.lo
+am__objects_8 = sysconfig_powerpc.lo gr_fir_sysconfig_powerpc.lo \
+       gr_cpu_powerpc.lo gr_fir_fff_altivec.lo gr_altivec.lo \
+       dotprod_fff_altivec.lo
+am__objects_9 = float_dotprod_sse.lo float_dotprod_3dnow.lo \
+       complex_dotprod_3dnowext.lo complex_dotprod_3dnow.lo \
+       complex_dotprod_sse.lo ccomplex_dotprod_3dnowext.lo \
+       ccomplex_dotprod_3dnow.lo ccomplex_dotprod_sse.lo \
+       fcomplex_dotprod_3dnow.lo fcomplex_dotprod_sse.lo \
+       short_dotprod_mmx.lo cpuid_x86.lo
+am__objects_10 = sysconfig_x86.lo gr_fir_sysconfig_x86.lo \
+       gr_cpu_x86.lo gr_fir_ccc_simd.lo gr_fir_ccc_x86.lo \
+       gr_fir_fff_simd.lo gr_fir_fff_x86.lo gr_fir_fsf_simd.lo \
+       gr_fir_fsf_x86.lo gr_fir_scc_simd.lo gr_fir_scc_x86.lo \
+       gr_fir_fcc_simd.lo gr_fir_fcc_x86.lo gr_fir_ccf_simd.lo \
+       gr_fir_ccf_x86.lo sse_debug.lo
+am__objects_11 = float_dotprod_sse64.lo float_dotprod_3dnow64.lo \
+       complex_dotprod_3dnowext64.lo complex_dotprod_3dnow64.lo \
+       complex_dotprod_sse64.lo ccomplex_dotprod_3dnowext64.lo \
+       ccomplex_dotprod_3dnow64.lo ccomplex_dotprod_sse64.lo \
+       fcomplex_dotprod_3dnow64.lo fcomplex_dotprod_sse64.lo \
+       short_dotprod_mmx64.lo cpuid_x86_64.lo
+@MD_CPU_generic_FALSE@@MD_CPU_powerpc_FALSE@@MD_CPU_x86_TRUE@@MD_SUBCPU_x86_64_FALSE@am_libfilter_la_OBJECTS = $(am__objects_6) \
+@MD_CPU_generic_FALSE@@MD_CPU_powerpc_FALSE@@MD_CPU_x86_TRUE@@MD_SUBCPU_x86_64_FALSE@  $(am__objects_9) \
+@MD_CPU_generic_FALSE@@MD_CPU_powerpc_FALSE@@MD_CPU_x86_TRUE@@MD_SUBCPU_x86_64_FALSE@  $(am__objects_10)
+@MD_CPU_generic_FALSE@@MD_CPU_powerpc_FALSE@@MD_CPU_x86_TRUE@@MD_SUBCPU_x86_64_TRUE@am_libfilter_la_OBJECTS = $(am__objects_6) \
+@MD_CPU_generic_FALSE@@MD_CPU_powerpc_FALSE@@MD_CPU_x86_TRUE@@MD_SUBCPU_x86_64_TRUE@   $(am__objects_11) \
+@MD_CPU_generic_FALSE@@MD_CPU_powerpc_FALSE@@MD_CPU_x86_TRUE@@MD_SUBCPU_x86_64_TRUE@   $(am__objects_10)
+@MD_CPU_generic_FALSE@@MD_CPU_powerpc_TRUE@am_libfilter_la_OBJECTS =  \
+@MD_CPU_generic_FALSE@@MD_CPU_powerpc_TRUE@    $(am__objects_6) \
+@MD_CPU_generic_FALSE@@MD_CPU_powerpc_TRUE@    $(am__objects_8)
+@MD_CPU_generic_TRUE@am_libfilter_la_OBJECTS = $(am__objects_6) \
+@MD_CPU_generic_TRUE@  $(am__objects_7)
+libfilter_la_OBJECTS = $(am_libfilter_la_OBJECTS)
+DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
+depcomp = $(SHELL) $(top_srcdir)/depcomp
+am__depfiles_maybe = depfiles
+CPPASCOMPILE = $(CCAS) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CCASFLAGS) $(CCASFLAGS)
+LTCPPASCOMPILE = $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CCAS) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CCASFLAGS) $(CCASFLAGS)
+COMPILE = $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) \
+       $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
+LTCOMPILE = $(LIBTOOL) --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
+CCLD = $(CC)
+LINK = $(LIBTOOL) --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CCLD) $(AM_CFLAGS) $(CFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+CXXCOMPILE = $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+LTCXXCOMPILE = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+CXXLD = $(CXX)
+CXXLINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CXXLD) $(AM_CXXFLAGS) $(CXXFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+SOURCES = $(libfilter_qa_la_SOURCES) $(libfilter_la_SOURCES) \
+       $(EXTRA_libfilter_la_SOURCES)
+DIST_SOURCES = $(am__libfilter_qa_la_SOURCES_DIST) \
+       $(am__libfilter_la_SOURCES_DIST) $(EXTRA_libfilter_la_SOURCES)
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(grincludedir)" \
+       "$(DESTDIR)$(swigincludedir)"
+grincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+swigincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+HEADERS = $(grinclude_HEADERS) $(noinst_HEADERS) \
+       $(swiginclude_HEADERS)
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = $(DEPDIR)/Makefile.gen-generate-generate-* \
+       $(DEPDIR)/stamp-sources-generate*
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp \
+       *.loT stamp-sources-generate
+
+#
+# This directory contains mostly filter routines, plus a few
+# other performance critical items
+#
+AM_CPPFLAGS = $(STD_DEFINES_AND_INCLUDES) $(CPPUNIT_INCLUDES) $(WITH_INCLUDES)
+noinst_LTLIBRARIES = libfilter.la libfilter-qa.la
+
+# ----------------------------------------------------------------
+# these scripts generate FIR code
+#
+code_generator = \
+       generate_all.py                                 \
+       generate_gr_fir_XXX.py                          \
+       generate_gr_fir_filter_XXX.py                   \
+       generate_gr_interp_fir_filter_XXX.py            \
+       generate_gr_rational_resampler_base_XXX.py      \
+       generate_gr_fir_sysconfig.py                    \
+       generate_gr_fir_sysconfig_generic.py            \
+       generate_gr_fir_util.py                         \
+       generate_gr_freq_xlating_fir_filter_XXX.py      \
+       generate_utils.py                               \
+       gr_fir_XXX.cc.t                                 \
+       gr_fir_XXX.h.t                                  \
+       gr_fir_XXX_generic.cc.t                         \
+       gr_fir_XXX_generic.h.t                          \
+       gr_fir_filter_XXX.cc.t                          \
+       gr_fir_filter_XXX.h.t                           \
+       gr_fir_filter_XXX.i.t                           \
+       gr_interp_fir_filter_XXX.cc.t                   \
+       gr_interp_fir_filter_XXX.h.t                    \
+       gr_interp_fir_filter_XXX.i.t                    \
+       gr_rational_resampler_base_XXX.cc.t             \
+       gr_rational_resampler_base_XXX.h.t              \
+       gr_rational_resampler_base_XXX.i.t              \
+       gr_freq_xlating_fir_filter_XXX.cc.t             \
+       gr_freq_xlating_fir_filter_XXX.h.t              \
+       gr_freq_xlating_fir_filter_XXX.i.t
+
+
+# Source built by Python into $(builddir)
+BUILT_SOURCES = \
+       $(GENERATED_H)          \
+       $(GENERATED_I)          \
+       $(GENERATED_CC)         \
+       filter_generated.i
+
+
+# ----------------------------------------------------------------
+# MD_CPU and MD_SUBCPU are set at configure time by way of 
+#   gnuradio/config/gr_set_md_cpu.m4.  
+#   It indicates which set of machine dependent code we should be building.
+#   We currently implement "generic" and "x86"
+
+#
+# <foo>_CODE entry for each set of machine specific speedups
+#
+generic_CODE = \
+       sysconfig_generic.cc            
+
+generic_qa_CODE = \
+       qa_dotprod_generic.cc
+
+x86_CODE = \
+       sysconfig_x86.cc                \
+       gr_fir_sysconfig_x86.cc         \
+       gr_cpu_x86.cc                   \
+       gr_fir_ccc_simd.cc              \
+       gr_fir_ccc_x86.cc               \
+       gr_fir_fff_simd.cc              \
+       gr_fir_fff_x86.cc               \
+       gr_fir_fsf_simd.cc              \
+       gr_fir_fsf_x86.cc               \
+       gr_fir_scc_simd.cc              \
+       gr_fir_scc_x86.cc               \
+       gr_fir_fcc_simd.cc              \
+       gr_fir_fcc_x86.cc               \
+       gr_fir_ccf_simd.cc              \
+       gr_fir_ccf_x86.cc               \
+       sse_debug.c
+
+x86_SUBCODE = \
+       float_dotprod_sse.S             \
+       float_dotprod_3dnow.S           \
+       complex_dotprod_3dnowext.S      \
+       complex_dotprod_3dnow.S         \
+       complex_dotprod_sse.S           \
+       ccomplex_dotprod_3dnowext.S     \
+       ccomplex_dotprod_3dnow.S        \
+       ccomplex_dotprod_sse.S          \
+       fcomplex_dotprod_3dnow.S        \
+       fcomplex_dotprod_sse.S          \
+       short_dotprod_mmx.S             \
+       cpuid_x86.S
+
+x86_64_SUBCODE = \
+       float_dotprod_sse64.S           \
+       float_dotprod_3dnow64.S         \
+       complex_dotprod_3dnowext64.S    \
+       complex_dotprod_3dnow64.S       \
+       complex_dotprod_sse64.S         \
+       ccomplex_dotprod_3dnowext64.S   \
+       ccomplex_dotprod_3dnow64.S      \
+       ccomplex_dotprod_sse64.S        \
+       fcomplex_dotprod_3dnow64.S      \
+       fcomplex_dotprod_sse64.S        \
+       short_dotprod_mmx64.S           \
+       cpuid_x86_64.S
+
+x86_qa_CODE = \
+       qa_dotprod_x86.cc               \
+       qa_float_dotprod_x86.cc         \
+       qa_complex_dotprod_x86.cc       \
+       qa_ccomplex_dotprod_x86.cc      
+
+powerpc_CODE = \
+       sysconfig_powerpc.cc \
+       gr_fir_sysconfig_powerpc.cc \
+       gr_cpu_powerpc.cc \
+       gr_fir_fff_altivec.cc \
+       gr_altivec.c \
+       dotprod_fff_altivec.c
+
+powerpc_qa_CODE = \
+       qa_dotprod_powerpc.cc
+
+
+#
+# include each <foo>_CODE entry here...
+#
+EXTRA_libfilter_la_SOURCES = \
+       $(generic_CODE)                 \
+       $(generic_qa_CODE)              \
+       $(x86_CODE)                     \
+       $(x86_SUBCODE)                  \
+       $(x86_64_SUBCODE)               \
+       $(x86_qa_CODE)                  \
+       $(powerpc_CODE)                 \
+       $(powerpc_qa_CODE)
+
+EXTRA_DIST = 3dnow_float_dotprod_really_simple.S \
+       3dnow_float_dotprod_simple.S $(code_generator) \
+       stamp-sources-generate
+
+# work around automake deficiency
+libfilter_la_common_SOURCES = \
+       $(GENERATED_CC)                 \
+       gr_adaptive_fir_ccf.cc          \
+       gr_cma_equalizer_cc.cc          \
+       gr_fft_filter_ccc.cc            \
+       gr_fft_filter_fff.cc            \
+       gr_goertzel_fc.cc               \
+       gr_filter_delay_fc.cc           \
+       gr_fractional_interpolator_ff.cc \
+       gr_fractional_interpolator_cc.cc \
+       gr_hilbert_fc.cc                \
+       gr_iir_filter_ffd.cc            \
+       gr_sincos.c                     \
+       gr_single_pole_iir_filter_ff.cc \
+       gr_single_pole_iir_filter_cc.cc \
+       gri_goertzel.cc                 \
+       gri_mmse_fir_interpolator.cc    \
+       gri_mmse_fir_interpolator_cc.cc \
+       complex_dotprod_generic.cc      \
+       ccomplex_dotprod_generic.cc     \
+       float_dotprod_generic.c         \
+       short_dotprod_generic.c         
+
+libfilter_qa_la_common_SOURCES = \
+       qa_filter.cc                    \
+       qa_gr_fir_ccf.cc                \
+       qa_gr_fir_fcc.cc                \
+       qa_gr_fir_fff.cc                \
+       qa_gr_fir_ccc.cc                \
+       qa_gr_fir_scc.cc                \
+       qa_gr_rotator.cc                \
+       qa_gri_mmse_fir_interpolator.cc \
+       qa_gri_mmse_fir_interpolator_cc.cc      
+
+@MD_CPU_generic_TRUE@libfilter_la_SOURCES = $(libfilter_la_common_SOURCES) $(generic_CODE)
+@MD_CPU_powerpc_TRUE@libfilter_la_SOURCES = $(libfilter_la_common_SOURCES) $(powerpc_CODE)
+@MD_CPU_x86_TRUE@@MD_SUBCPU_x86_64_FALSE@libfilter_la_SOURCES = $(libfilter_la_common_SOURCES) $(x86_SUBCODE) $(x86_CODE)
+@MD_CPU_x86_TRUE@@MD_SUBCPU_x86_64_TRUE@libfilter_la_SOURCES = $(libfilter_la_common_SOURCES) $(x86_64_SUBCODE) $(x86_CODE)
+@MD_CPU_generic_TRUE@libfilter_qa_la_SOURCES = $(libfilter_qa_la_common_SOURCES) $(generic_qa_CODE)
+@MD_CPU_powerpc_TRUE@libfilter_qa_la_SOURCES = $(libfilter_qa_la_common_SOURCES) $(powerpc_qa_CODE)
+@MD_CPU_x86_TRUE@libfilter_qa_la_SOURCES = $(libfilter_qa_la_common_SOURCES) $(x86_qa_CODE)
+grinclude_HEADERS = \
+       $(GENERATED_H)                  \
+       complex_dotprod_generic.h       \
+       complex_dotprod_x86.h           \
+       fcomplex_dotprod_x86.h          \
+       ccomplex_dotprod_generic.h      \
+       ccomplex_dotprod_x86.h          \
+       float_dotprod_generic.h         \
+       float_dotprod_x86.h             \
+       gr_adaptive_fir_ccf.h           \
+       gr_altivec.h                    \
+       gr_cma_equalizer_cc.h           \
+       gr_cpu.h                        \
+       gr_fft_filter_ccc.h             \
+       gr_fft_filter_fff.h             \
+       gr_filter_delay_fc.h            \
+       gr_fir_sysconfig_x86.h          \
+       gr_fir_sysconfig_powerpc.h      \
+       gr_fractional_interpolator_ff.h \
+       gr_fractional_interpolator_cc.h \
+       gr_goertzel_fc.h                \
+       gr_hilbert_fc.h                 \
+       gr_iir_filter_ffd.h             \
+       gr_rotator.h                    \
+       gr_sincos.h                     \
+       gr_single_pole_iir.h            \
+       gr_single_pole_iir_filter_ff.h  \
+       gr_single_pole_iir_filter_cc.h  \
+       gr_vec_types.h                  \
+       gri_goertzel.h                  \
+       gri_iir.h                       \
+       gri_mmse_fir_interpolator.h     \
+       gri_mmse_fir_interpolator_cc.h  \
+       qa_filter.h                     \
+       short_dotprod_generic.h         \
+       short_dotprod_x86.h             \
+       sse_debug.h
+
+noinst_HEADERS = \
+       assembly.h                      \
+       dotprod_fff_altivec.h           \
+       gr_fir_scc_simd.h               \
+       gr_fir_scc_x86.h                \
+       gr_fir_fcc_simd.h               \
+       gr_fir_fcc_x86.h                \
+       gr_fir_ccf_simd.h               \
+       gr_fir_ccf_x86.h                \
+       gr_fir_ccc_simd.h               \
+       gr_fir_ccc_x86.h                \
+       gr_fir_fff_altivec.h            \
+       gr_fir_fff_simd.h               \
+       gr_fir_fff_x86.h                \
+       gr_fir_fsf_simd.h               \
+       gr_fir_fsf_x86.h                \
+       interpolator_taps.h             \
+       qa_complex_dotprod_x86.h        \
+       qa_ccomplex_dotprod_x86.h       \
+       qa_dotprod.h                    \
+       qa_float_dotprod_x86.h          \
+       qa_gr_fir_ccf.h                 \
+       qa_gr_fir_fcc.h                 \
+       qa_gr_fir_fff.h                 \
+       qa_gr_fir_ccc.h                 \
+       qa_gr_fir_scc.h                 \
+       qa_gr_rotator.h                 \
+       qa_gri_mmse_fir_interpolator.h  \
+       qa_gri_mmse_fir_interpolator_cc.h       
+
+swiginclude_HEADERS = \
+       filter.i                        \
+       filter_generated.i              \
+       gr_adaptive_fir_ccf.i           \
+       gr_cma_equalizer_cc.i           \
+       gr_fft_filter_ccc.i             \
+       gr_fft_filter_fff.i             \
+       gr_filter_delay_fc.i            \
+       gr_fractional_interpolator_ff.i \
+       gr_fractional_interpolator_cc.i \
+       gr_goertzel_fc.i                \
+       gr_hilbert_fc.i                 \
+       gr_iir_filter_ffd.i             \
+       gr_single_pole_iir_filter_ff.i  \
+       gr_single_pole_iir_filter_cc.i  \
+       $(GENERATED_I)
+
+
+#
+# This file is machine generated.  All edits will be overwritten
+#
+GENERATED_H = \
+       gr_fir_ccc.h \
+       gr_fir_ccc_generic.h \
+       gr_fir_ccf.h \
+       gr_fir_ccf_generic.h \
+       gr_fir_fcc.h \
+       gr_fir_fcc_generic.h \
+       gr_fir_fff.h \
+       gr_fir_fff_generic.h \
+       gr_fir_filter_ccc.h \
+       gr_fir_filter_ccf.h \
+       gr_fir_filter_fcc.h \
+       gr_fir_filter_fff.h \
+       gr_fir_filter_fsf.h \
+       gr_fir_filter_scc.h \
+       gr_fir_fsf.h \
+       gr_fir_fsf_generic.h \
+       gr_fir_scc.h \
+       gr_fir_scc_generic.h \
+       gr_fir_sysconfig.h \
+       gr_fir_sysconfig_generic.h \
+       gr_fir_util.h \
+       gr_freq_xlating_fir_filter_ccc.h \
+       gr_freq_xlating_fir_filter_ccf.h \
+       gr_freq_xlating_fir_filter_fcc.h \
+       gr_freq_xlating_fir_filter_fcf.h \
+       gr_freq_xlating_fir_filter_scc.h \
+       gr_freq_xlating_fir_filter_scf.h \
+       gr_interp_fir_filter_ccc.h \
+       gr_interp_fir_filter_ccf.h \
+       gr_interp_fir_filter_fcc.h \
+       gr_interp_fir_filter_fff.h \
+       gr_interp_fir_filter_fsf.h \
+       gr_interp_fir_filter_scc.h \
+       gr_rational_resampler_base_ccc.h \
+       gr_rational_resampler_base_ccf.h \
+       gr_rational_resampler_base_fcc.h \
+       gr_rational_resampler_base_fff.h \
+       gr_rational_resampler_base_fsf.h \
+       gr_rational_resampler_base_scc.h
+
+GENERATED_I = \
+       gr_fir_filter_ccc.i \
+       gr_fir_filter_ccf.i \
+       gr_fir_filter_fcc.i \
+       gr_fir_filter_fff.i \
+       gr_fir_filter_fsf.i \
+       gr_fir_filter_scc.i \
+       gr_freq_xlating_fir_filter_ccc.i \
+       gr_freq_xlating_fir_filter_ccf.i \
+       gr_freq_xlating_fir_filter_fcc.i \
+       gr_freq_xlating_fir_filter_fcf.i \
+       gr_freq_xlating_fir_filter_scc.i \
+       gr_freq_xlating_fir_filter_scf.i \
+       gr_interp_fir_filter_ccc.i \
+       gr_interp_fir_filter_ccf.i \
+       gr_interp_fir_filter_fcc.i \
+       gr_interp_fir_filter_fff.i \
+       gr_interp_fir_filter_fsf.i \
+       gr_interp_fir_filter_scc.i \
+       gr_rational_resampler_base_ccc.i \
+       gr_rational_resampler_base_ccf.i \
+       gr_rational_resampler_base_fcc.i \
+       gr_rational_resampler_base_fff.i \
+       gr_rational_resampler_base_fsf.i \
+       gr_rational_resampler_base_scc.i
+
+GENERATED_CC = \
+       gr_fir_ccc.cc \
+       gr_fir_ccc_generic.cc \
+       gr_fir_ccf.cc \
+       gr_fir_ccf_generic.cc \
+       gr_fir_fcc.cc \
+       gr_fir_fcc_generic.cc \
+       gr_fir_fff.cc \
+       gr_fir_fff_generic.cc \
+       gr_fir_filter_ccc.cc \
+       gr_fir_filter_ccf.cc \
+       gr_fir_filter_fcc.cc \
+       gr_fir_filter_fff.cc \
+       gr_fir_filter_fsf.cc \
+       gr_fir_filter_scc.cc \
+       gr_fir_fsf.cc \
+       gr_fir_fsf_generic.cc \
+       gr_fir_scc.cc \
+       gr_fir_scc_generic.cc \
+       gr_fir_sysconfig.cc \
+       gr_fir_sysconfig_generic.cc \
+       gr_fir_util.cc \
+       gr_freq_xlating_fir_filter_ccc.cc \
+       gr_freq_xlating_fir_filter_ccf.cc \
+       gr_freq_xlating_fir_filter_fcc.cc \
+       gr_freq_xlating_fir_filter_fcf.cc \
+       gr_freq_xlating_fir_filter_scc.cc \
+       gr_freq_xlating_fir_filter_scf.cc \
+       gr_interp_fir_filter_ccc.cc \
+       gr_interp_fir_filter_ccf.cc \
+       gr_interp_fir_filter_fcc.cc \
+       gr_interp_fir_filter_fff.cc \
+       gr_interp_fir_filter_fsf.cc \
+       gr_interp_fir_filter_scc.cc \
+       gr_rational_resampler_base_ccc.cc \
+       gr_rational_resampler_base_ccf.cc \
+       gr_rational_resampler_base_fcc.cc \
+       gr_rational_resampler_base_fff.cc \
+       gr_rational_resampler_base_fsf.cc \
+       gr_rational_resampler_base_scc.cc
+
+
+# Do creation and inclusion of other Makefiles last
+
+# include the srcdir's Makefile.gen; doing this creates an implicit
+# dependency between $(srcdir)/Makefile.in and $(srcdir)/Makefile.gen.
+
+# common way for generating local Makefile.gen
+makefile_gen_gen_command = PYTHONPATH=$(top_srcdir)/gnuradio-core/src/python srcdir=$(srcdir) do_makefile=1 do_sources=0 $(PYTHON) $(srcdir)/generate_all.py
+
+# common way for generating sources from templates when using
+# BUILT_SOURCES, using parallel build protection.
+gen_sources = $(BUILT_SOURCES)
+gen_sources_deps = $(core_generator)
+par_gen_command = PYTHONPATH=$(top_srcdir)/gnuradio-core/src/python srcdir=$(srcdir) $(PYTHON) $(srcdir)/generate_all.py
+all: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) all-am
+
+.SUFFIXES:
+.SUFFIXES: .S .c .cc .lo .o .obj
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(srcdir)/Makefile.gen $(top_srcdir)/Makefile.gen.gen $(top_srcdir)/Makefile.par.gen $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gnuradio-core/src/lib/filter/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gnuradio-core/src/lib/filter/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+clean-noinstLTLIBRARIES:
+       -test -z "$(noinst_LTLIBRARIES)" || rm -f $(noinst_LTLIBRARIES)
+       @list='$(noinst_LTLIBRARIES)'; for p in $$list; do \
+         dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
+         test "$$dir" != "$$p" || dir=.; \
+         echo "rm -f \"$${dir}/so_locations\""; \
+         rm -f "$${dir}/so_locations"; \
+       done
+libfilter-qa.la: $(libfilter_qa_la_OBJECTS) $(libfilter_qa_la_DEPENDENCIES) 
+       $(CXXLINK)  $(libfilter_qa_la_OBJECTS) $(libfilter_qa_la_LIBADD) $(LIBS)
+libfilter.la: $(libfilter_la_OBJECTS) $(libfilter_la_DEPENDENCIES) 
+       $(CXXLINK)  $(libfilter_la_OBJECTS) $(libfilter_la_LIBADD) $(LIBS)
+
+mostlyclean-compile:
+       -rm -f *.$(OBJEXT)
+
+distclean-compile:
+       -rm -f *.tab.c
+
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/ccomplex_dotprod_3dnow.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/ccomplex_dotprod_3dnow64.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/ccomplex_dotprod_3dnowext.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/ccomplex_dotprod_3dnowext64.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/ccomplex_dotprod_generic.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/ccomplex_dotprod_sse.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/ccomplex_dotprod_sse64.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/complex_dotprod_3dnow.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/complex_dotprod_3dnow64.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/complex_dotprod_3dnowext.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/complex_dotprod_3dnowext64.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/complex_dotprod_generic.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/complex_dotprod_sse.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/complex_dotprod_sse64.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/cpuid_x86.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/cpuid_x86_64.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/dotprod_fff_altivec.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/fcomplex_dotprod_3dnow.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/fcomplex_dotprod_3dnow64.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/fcomplex_dotprod_sse.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/fcomplex_dotprod_sse64.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/float_dotprod_3dnow.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/float_dotprod_3dnow64.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/float_dotprod_generic.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/float_dotprod_sse.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/float_dotprod_sse64.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_adaptive_fir_ccf.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_altivec.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_cma_equalizer_cc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_cpu_powerpc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_cpu_x86.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_fft_filter_ccc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_fft_filter_fff.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_filter_delay_fc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_fir_ccc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_fir_ccc_generic.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_fir_ccc_simd.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_fir_ccc_x86.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_fir_ccf.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_fir_ccf_generic.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_fir_ccf_simd.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_fir_ccf_x86.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_fir_fcc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_fir_fcc_generic.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_fir_fcc_simd.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_fir_fcc_x86.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_fir_fff.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_fir_fff_altivec.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_fir_fff_generic.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_fir_fff_simd.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_fir_fff_x86.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_fir_filter_ccc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_fir_filter_ccf.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_fir_filter_fcc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_fir_filter_fff.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_fir_filter_fsf.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_fir_filter_scc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_fir_fsf.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_fir_fsf_generic.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_fir_fsf_simd.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_fir_fsf_x86.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_fir_scc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_fir_scc_generic.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_fir_scc_simd.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_fir_scc_x86.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_fir_sysconfig.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_fir_sysconfig_generic.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_fir_sysconfig_powerpc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_fir_sysconfig_x86.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_fir_util.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_fractional_interpolator_cc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_fractional_interpolator_ff.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_freq_xlating_fir_filter_ccc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_freq_xlating_fir_filter_ccf.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_freq_xlating_fir_filter_fcc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_freq_xlating_fir_filter_fcf.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_freq_xlating_fir_filter_scc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_freq_xlating_fir_filter_scf.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_goertzel_fc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_hilbert_fc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_iir_filter_ffd.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_interp_fir_filter_ccc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_interp_fir_filter_ccf.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_interp_fir_filter_fcc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_interp_fir_filter_fff.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_interp_fir_filter_fsf.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_interp_fir_filter_scc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_rational_resampler_base_ccc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_rational_resampler_base_ccf.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_rational_resampler_base_fcc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_rational_resampler_base_fff.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_rational_resampler_base_fsf.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_rational_resampler_base_scc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_sincos.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_single_pole_iir_filter_cc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_single_pole_iir_filter_ff.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gri_goertzel.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gri_mmse_fir_interpolator.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gri_mmse_fir_interpolator_cc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/qa_ccomplex_dotprod_x86.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/qa_complex_dotprod_x86.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/qa_dotprod_generic.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/qa_dotprod_powerpc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/qa_dotprod_x86.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/qa_filter.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/qa_float_dotprod_x86.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/qa_gr_fir_ccc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/qa_gr_fir_ccf.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/qa_gr_fir_fcc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/qa_gr_fir_fff.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/qa_gr_fir_scc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/qa_gr_rotator.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/qa_gri_mmse_fir_interpolator.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/qa_gri_mmse_fir_interpolator_cc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/short_dotprod_generic.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/short_dotprod_mmx.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/short_dotprod_mmx64.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/sse_debug.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/sysconfig_generic.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/sysconfig_powerpc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/sysconfig_x86.Plo@am__quote@
+
+.S.o:
+@am__fastdepCCAS_TRUE@ $(CPPASCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCCAS_TRUE@ mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCCAS_FALSE@    source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCCAS_FALSE@    DEPDIR=$(DEPDIR) $(CCASDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCCAS_FALSE@        $(CPPASCOMPILE) -c -o $@ $<
+
+.S.obj:
+@am__fastdepCCAS_TRUE@ $(CPPASCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCCAS_TRUE@ mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCCAS_FALSE@    source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCCAS_FALSE@    DEPDIR=$(DEPDIR) $(CCASDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCCAS_FALSE@        $(CPPASCOMPILE) -c -o $@ `$(CYGPATH_W) '$<'`
+
+.S.lo:
+@am__fastdepCCAS_TRUE@ $(LTCPPASCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCCAS_TRUE@ mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCCAS_FALSE@    source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCCAS_FALSE@    DEPDIR=$(DEPDIR) $(CCASDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCCAS_FALSE@        $(LTCPPASCOMPILE) -c -o $@ $<
+
+.c.o:
+@am__fastdepCC_TRUE@   $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(COMPILE) -c $<
+
+.c.obj:
+@am__fastdepCC_TRUE@   $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(COMPILE) -c `$(CYGPATH_W) '$<'`
+
+.c.lo:
+@am__fastdepCC_TRUE@   $(LTCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(LTCOMPILE) -c -o $@ $<
+
+.cc.o:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ $<
+
+.cc.obj:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ `$(CYGPATH_W) '$<'`
+
+.cc.lo:
+@am__fastdepCXX_TRUE@  $(LTCXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LTCXXCOMPILE) -c -o $@ $<
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-grincludeHEADERS: $(grinclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(grincludedir)" || $(MKDIR_P) "$(DESTDIR)$(grincludedir)"
+       @list='$(grinclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(grincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(grincludedir)/$$f'"; \
+         $(grincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(grincludedir)/$$f"; \
+       done
+
+uninstall-grincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(grinclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(grincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(grincludedir)/$$f"; \
+       done
+install-swigincludeHEADERS: $(swiginclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(swigincludedir)" || $(MKDIR_P) "$(DESTDIR)$(swigincludedir)"
+       @list='$(swiginclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(swigincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(swigincludedir)/$$f'"; \
+         $(swigincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(swigincludedir)/$$f"; \
+       done
+
+uninstall-swigincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(swiginclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(swigincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(swigincludedir)/$$f"; \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) check-am
+all-am: Makefile $(LTLIBRARIES) $(HEADERS)
+installdirs:
+       for dir in "$(DESTDIR)$(grincludedir)" "$(DESTDIR)$(swigincludedir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+       -test -z "$(BUILT_SOURCES)" || rm -f $(BUILT_SOURCES)
+clean: clean-am
+
+clean-am: clean-generic clean-libtool clean-noinstLTLIBRARIES \
+       mostlyclean-am
+
+distclean: distclean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+distclean-am: clean-am distclean-compile distclean-generic \
+       distclean-tags
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-grincludeHEADERS install-swigincludeHEADERS
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-compile mostlyclean-generic \
+       mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-grincludeHEADERS uninstall-swigincludeHEADERS
+
+.MAKE: install-am install-strip
+
+.PHONY: CTAGS GTAGS all all-am check check-am clean clean-generic \
+       clean-libtool clean-noinstLTLIBRARIES ctags dist-hook \
+       distclean distclean-compile distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-grincludeHEADERS install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-ps install-ps-am \
+       install-strip install-swigincludeHEADERS installcheck \
+       installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-compile \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       tags uninstall uninstall-am uninstall-grincludeHEADERS \
+       uninstall-swigincludeHEADERS
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+
+generate-makefile $(srcdir)/Makefile.gen:
+       @trap 'rm -rf $(DEPDIR)/Makefile.gen-generate-*' 1 2 13 15; \
+       if mkdir $(DEPDIR)/Makefile.gen-generate-lock 2>/dev/null; then \
+               rm -f $(DEPDIR)/Makefile.gen-generate-stamp; \
+               rm -f $(DEPDIR)/Makefile.gen-generate-tmp; \
+               touch $(DEPDIR)/Makefile.gen-generate-tmp; \
+               do_recreate=0; \
+               if test -f $(srcdir)/Makefile.gen; then \
+                       if $(RM) $(srcdir)/Makefile.gen 2>/dev/null; then \
+                               do_recreate=1; \
+                       fi; \
+               else \
+                       if touch $(srcdir)/Makefile.gen 2>/dev/null; then \
+                               do_recreate=1; \
+                       fi; \
+               fi; \
+               if test "$$do_recreate" == "1"; then \
+                       echo "Regenerating $(srcdir)/Makefile.gen"; \
+                       $(makefile_gen_gen_command); \
+               else \
+                       echo "Cannot recreate $(srcdir)/Makefile.gen because the directory or file is write-protected."; \
+                       exit -1; \
+               fi; \
+               mv -f $(DEPDIR)/Makefile.gen-generate-tmp $(DEPDIR)/Makefile.gen-generate-stamp; \
+               rmdir $(DEPDIR)/Makefile.gen-generate-lock; \
+       else \
+               while test -d $(DEPDIR)/Makefile.gen-generate-lock; do sleep 1; done; \
+               test -f $(DEPDIR)/Makefile.gen-generate-stamp; exit $$?; \
+       fi;
+
+STAMPS ?=
+EXTRA_DIST ?=
+MOSTLYCLEANFILES ?=
+
+stamp-sources-generate: $(gen_sources_deps)
+       @rm -f $(DEPDIR)/stamp-sources-generate-tmp
+       @touch $(DEPDIR)/stamp-sources-generate-tmp
+       $(par_gen_command)
+       @mv -f $(DEPDIR)/stamp-sources-generate-tmp $@
+
+$(gen_sources): stamp-sources-generate
+       @if test -f $@; then :; else \
+               trap 'rm -rf $(DEPDIR)/stamp-sources-generate-*' 1 2 13 15; \
+               if mkdir $(DEPDIR)/stamp-sources-generate-lock 2>/dev/null; then \
+                       rm -f stamp-sources-generate; \
+                       $(MAKE) $(AM_MAKEFLAGS) stamp-sources-generate; \
+                       rmdir $(DEPDIR)/stamp-sources-generate-lock; \
+               else \
+                       while test -d $(DEPDIR)/stamp-sources-generate-lock; do sleep 1; done; \
+                       test -f stamp-sources-generate; exit $$?; \
+               fi; \
+       fi;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gnuradio-core/src/lib/filter/filter_generated.i b/gnuradio-core/src/lib/filter/filter_generated.i
new file mode 100644 (file)
index 0000000..0be0d89
--- /dev/null
@@ -0,0 +1,54 @@
+//
+// This file is machine generated.  All edits will be overwritten
+//
+%{
+#include <gr_fir_filter_ccc.h>
+#include <gr_fir_filter_ccf.h>
+#include <gr_fir_filter_fcc.h>
+#include <gr_fir_filter_fff.h>
+#include <gr_fir_filter_fsf.h>
+#include <gr_fir_filter_scc.h>
+#include <gr_freq_xlating_fir_filter_ccc.h>
+#include <gr_freq_xlating_fir_filter_ccf.h>
+#include <gr_freq_xlating_fir_filter_fcc.h>
+#include <gr_freq_xlating_fir_filter_fcf.h>
+#include <gr_freq_xlating_fir_filter_scc.h>
+#include <gr_freq_xlating_fir_filter_scf.h>
+#include <gr_interp_fir_filter_ccc.h>
+#include <gr_interp_fir_filter_ccf.h>
+#include <gr_interp_fir_filter_fcc.h>
+#include <gr_interp_fir_filter_fff.h>
+#include <gr_interp_fir_filter_fsf.h>
+#include <gr_interp_fir_filter_scc.h>
+#include <gr_rational_resampler_base_ccc.h>
+#include <gr_rational_resampler_base_ccf.h>
+#include <gr_rational_resampler_base_fcc.h>
+#include <gr_rational_resampler_base_fff.h>
+#include <gr_rational_resampler_base_fsf.h>
+#include <gr_rational_resampler_base_scc.h>
+%}
+
+%include <gr_fir_filter_ccc.i>
+%include <gr_fir_filter_ccf.i>
+%include <gr_fir_filter_fcc.i>
+%include <gr_fir_filter_fff.i>
+%include <gr_fir_filter_fsf.i>
+%include <gr_fir_filter_scc.i>
+%include <gr_freq_xlating_fir_filter_ccc.i>
+%include <gr_freq_xlating_fir_filter_ccf.i>
+%include <gr_freq_xlating_fir_filter_fcc.i>
+%include <gr_freq_xlating_fir_filter_fcf.i>
+%include <gr_freq_xlating_fir_filter_scc.i>
+%include <gr_freq_xlating_fir_filter_scf.i>
+%include <gr_interp_fir_filter_ccc.i>
+%include <gr_interp_fir_filter_ccf.i>
+%include <gr_interp_fir_filter_fcc.i>
+%include <gr_interp_fir_filter_fff.i>
+%include <gr_interp_fir_filter_fsf.i>
+%include <gr_interp_fir_filter_scc.i>
+%include <gr_rational_resampler_base_ccc.i>
+%include <gr_rational_resampler_base_ccf.i>
+%include <gr_rational_resampler_base_fcc.i>
+%include <gr_rational_resampler_base_fff.i>
+%include <gr_rational_resampler_base_fsf.i>
+%include <gr_rational_resampler_base_scc.i>
diff --git a/gnuradio-core/src/lib/filter/gr_fir_ccc.cc b/gnuradio-core/src/lib/filter/gr_fir_ccc.cc
new file mode 100644 (file)
index 0000000..912aaa7
--- /dev/null
@@ -0,0 +1,30 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2002 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <gr_fir_ccc.h>
+
+gr_fir_ccc::~gr_fir_ccc ()
+{
+}
diff --git a/gnuradio-core/src/lib/filter/gr_fir_ccc.h b/gnuradio-core/src/lib/filter/gr_fir_ccc.h
new file mode 100644 (file)
index 0000000..77e3f6a
--- /dev/null
@@ -0,0 +1,123 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2002,2003 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by generate_gr_fir_XXX.py
+ * Any changes made to this file will be overwritten.
+ */
+
+
+#ifndef INCLUDED_GR_FIR_CCC_H
+#define INCLUDED_GR_FIR_CCC_H
+
+#include <vector>
+#include <gr_types.h>
+#include <gr_reverse.h>
+
+/*!
+ * \brief Abstract class for FIR with gr_complex input, gr_complex output and gr_complex taps
+ * \ingroup filter_primitive
+ * 
+ * This is the abstract class for a Finite Impulse Response filter.
+ *
+ * The trailing suffix has the form _IOT where I codes the input type,
+ * O codes the output type, and T codes the tap type.
+ * I,O,T are elements of the set 's' (short), 'f' (float), 'c' (gr_complex), 'i' (int)
+ */
+
+class gr_fir_ccc {
+
+protected:
+  std::vector<gr_complex>      d_taps;         // reversed taps
+
+public:
+
+  // CONSTRUCTORS
+
+  /*!
+   * \brief construct new FIR with given taps.
+   *
+   * Note that taps must be in forward order, e.g., coefficient 0 is
+   * stored in new_taps[0], coefficient 1 is stored in
+   * new_taps[1], etc.
+   */
+  gr_fir_ccc () {}
+  gr_fir_ccc (const std::vector<gr_complex> &taps) : d_taps (gr_reverse(taps)) {}
+
+  virtual ~gr_fir_ccc ();
+
+  // MANIPULATORS
+
+  /*!
+   * \brief compute a single output value.
+   *
+   * \p input must have ntaps() valid entries.
+   * input[0] .. input[ntaps() - 1] are referenced to compute the output value.
+   *
+   * \returns the filtered input value.
+   */
+  virtual gr_complex filter (const gr_complex input[]) = 0;
+
+  /*!
+   * \brief compute an array of N output values.
+   *
+   * \p input must have (n - 1 + ntaps()) valid entries.
+   * input[0] .. input[n - 1 + ntaps() - 1] are referenced to compute the output values.
+   */
+  virtual void filterN (gr_complex output[], const gr_complex input[],
+                       unsigned long n) = 0;
+
+  /*!
+   * \brief compute an array of N output values, decimating the input
+   *
+   * \p input must have (decimate * (n - 1) + ntaps()) valid entries.
+   * input[0] .. input[decimate * (n - 1) + ntaps() - 1] are referenced to 
+   * compute the output values.
+   */
+  virtual void filterNdec (gr_complex output[], const gr_complex input[],
+                          unsigned long n, unsigned decimate) = 0;
+
+  /*!
+   * \brief install \p new_taps as the current taps.
+   */
+  virtual void set_taps (const std::vector<gr_complex> &taps)
+  {
+    d_taps = gr_reverse(taps);
+  }
+
+  // ACCESSORS
+
+  /*!
+   * \return number of taps in filter.
+   */
+  unsigned ntaps () const { return d_taps.size (); }
+
+  /*!
+   * \return current taps
+   */
+  virtual const std::vector<gr_complex> get_taps () const
+  {
+    return gr_reverse(d_taps);
+  }
+};
+
+#endif /* INCLUDED_GR_FIR_CCC_H */
diff --git a/gnuradio-core/src/lib/filter/gr_fir_ccc_generic.cc b/gnuradio-core/src/lib/filter/gr_fir_ccc_generic.cc
new file mode 100644 (file)
index 0000000..25d92f7
--- /dev/null
@@ -0,0 +1,103 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2002 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <gr_fir_ccc_generic.h>
+
+#if (2 == 4)
+
+gr_complex
+gr_fir_ccc_generic::filter (const gr_complex input[])
+{
+  static const int N_UNROLL = 4;
+
+  gr_complex   acc0 = 0;
+  gr_complex   acc1 = 0;
+  gr_complex   acc2 = 0;
+  gr_complex   acc3 = 0;
+
+
+  unsigned     i = 0;
+  unsigned     n = (ntaps () / N_UNROLL) * N_UNROLL;
+
+  for (i = 0; i < n; i += N_UNROLL){
+    acc0 += d_taps[i + 0] *  input[i + 0];
+    acc1 += d_taps[i + 1] *  input[i + 1];
+    acc2 += d_taps[i + 2] *  input[i + 2];
+    acc3 += d_taps[i + 3] *  input[i + 3];
+  }
+
+  for (; i < ntaps (); i++)
+    acc0 += d_taps[i] *  input[i];
+
+  return (gr_complex) (acc0 + acc1 + acc2 + acc3);
+}
+
+#else 
+
+gr_complex
+gr_fir_ccc_generic::filter (const gr_complex input[])
+{
+  static const int N_UNROLL = 2;
+
+  gr_complex   acc0 = 0;
+  gr_complex   acc1 = 0;
+
+  unsigned     i = 0;
+  unsigned     n = (ntaps () / N_UNROLL) * N_UNROLL;
+
+  for (i = 0; i < n; i += N_UNROLL){
+    acc0 += d_taps[i + 0] *  input[i + 0];
+    acc1 += d_taps[i + 1] *  input[i + 1];
+  }
+
+  for (; i < ntaps (); i++)
+    acc0 += d_taps[i] *  input[i];
+
+  return (gr_complex) (acc0 + acc1);
+}
+
+#endif // N_UNROLL
+
+void 
+gr_fir_ccc_generic::filterN (gr_complex output[],
+                            const gr_complex input[],
+                            unsigned long n)
+{
+  for (unsigned i = 0; i < n; i++)
+    output[i] = filter (&input[i]);
+}
+
+void 
+gr_fir_ccc_generic::filterNdec (gr_complex output[],
+                               const gr_complex input[],
+                               unsigned long n,
+                               unsigned decimate)
+{
+  unsigned j = 0;
+  for (unsigned i = 0; i < n; i++){
+    output[i] = filter (&input[j]);
+    j += decimate;
+  }
+}
diff --git a/gnuradio-core/src/lib/filter/gr_fir_ccc_generic.h b/gnuradio-core/src/lib/filter/gr_fir_ccc_generic.h
new file mode 100644 (file)
index 0000000..e71de8a
--- /dev/null
@@ -0,0 +1,77 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2002 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+#ifndef INCLUDED_GR_FIR_CCC_GENERIC_H
+#define INCLUDED_GR_FIR_CCC_GENERIC_H
+
+#include <gr_fir_ccc.h>
+
+/*!
+ * \brief Concrete class for generic implementation of FIR with gr_complex input, gr_complex output and gr_complex taps
+ *
+ * The trailing suffix has the form _IOT where I codes the input type,
+ * O codes the output type, and T codes the tap type.
+ * I,O,T are elements of the set 's' (short), 'f' (float), 'c' (gr_complex), 'i' (int)
+ */
+
+class gr_fir_ccc_generic : public gr_fir_ccc {
+
+public:
+
+  // CREATORS
+  
+  gr_fir_ccc_generic () {}
+  gr_fir_ccc_generic (const std::vector<gr_complex> &taps) : gr_fir_ccc (taps) {}
+
+  // MANIPULATORS
+
+  /*!
+   * \brief compute a single output value.
+   *
+   * \p input must have ntaps() valid entries.
+   * input[0] .. input[ntaps() - 1] are referenced to compute the output value.
+   *
+   * \returns the filtered input value.
+   */
+  virtual gr_complex filter (const gr_complex input[]);
+
+  /*!
+   * \brief compute an array of N output values.
+   *
+   * \p input must have (n - 1 + ntaps()) valid entries.
+   * input[0] .. input[n - 1 + ntaps() - 1] are referenced to compute the output values.
+   */
+  virtual void filterN (gr_complex output[], const gr_complex input[],
+                       unsigned long n);
+
+  /*!
+   * \brief compute an array of N output values, decimating the input
+   *
+   * \p input must have (decimate * (n - 1) + ntaps()) valid entries.
+   * input[0] .. input[decimate * (n - 1) + ntaps() - 1] are referenced to 
+   * compute the output values.
+   */
+  virtual void filterNdec (gr_complex output[], const gr_complex input[],
+                          unsigned long n, unsigned decimate);
+
+};
+
+#endif /* INCLUDED_GR_FIR_CCC_GENERIC_H */
diff --git a/gnuradio-core/src/lib/filter/gr_fir_ccf.cc b/gnuradio-core/src/lib/filter/gr_fir_ccf.cc
new file mode 100644 (file)
index 0000000..1a61038
--- /dev/null
@@ -0,0 +1,30 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2002 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <gr_fir_ccf.h>
+
+gr_fir_ccf::~gr_fir_ccf ()
+{
+}
diff --git a/gnuradio-core/src/lib/filter/gr_fir_ccf.h b/gnuradio-core/src/lib/filter/gr_fir_ccf.h
new file mode 100644 (file)
index 0000000..3e0f437
--- /dev/null
@@ -0,0 +1,123 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2002,2003 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by generate_gr_fir_XXX.py
+ * Any changes made to this file will be overwritten.
+ */
+
+
+#ifndef INCLUDED_GR_FIR_CCF_H
+#define INCLUDED_GR_FIR_CCF_H
+
+#include <vector>
+#include <gr_types.h>
+#include <gr_reverse.h>
+
+/*!
+ * \brief Abstract class for FIR with gr_complex input, gr_complex output and float taps
+ * \ingroup filter_primitive
+ * 
+ * This is the abstract class for a Finite Impulse Response filter.
+ *
+ * The trailing suffix has the form _IOT where I codes the input type,
+ * O codes the output type, and T codes the tap type.
+ * I,O,T are elements of the set 's' (short), 'f' (float), 'c' (gr_complex), 'i' (int)
+ */
+
+class gr_fir_ccf {
+
+protected:
+  std::vector<float>   d_taps;         // reversed taps
+
+public:
+
+  // CONSTRUCTORS
+
+  /*!
+   * \brief construct new FIR with given taps.
+   *
+   * Note that taps must be in forward order, e.g., coefficient 0 is
+   * stored in new_taps[0], coefficient 1 is stored in
+   * new_taps[1], etc.
+   */
+  gr_fir_ccf () {}
+  gr_fir_ccf (const std::vector<float> &taps) : d_taps (gr_reverse(taps)) {}
+
+  virtual ~gr_fir_ccf ();
+
+  // MANIPULATORS
+
+  /*!
+   * \brief compute a single output value.
+   *
+   * \p input must have ntaps() valid entries.
+   * input[0] .. input[ntaps() - 1] are referenced to compute the output value.
+   *
+   * \returns the filtered input value.
+   */
+  virtual gr_complex filter (const gr_complex input[]) = 0;
+
+  /*!
+   * \brief compute an array of N output values.
+   *
+   * \p input must have (n - 1 + ntaps()) valid entries.
+   * input[0] .. input[n - 1 + ntaps() - 1] are referenced to compute the output values.
+   */
+  virtual void filterN (gr_complex output[], const gr_complex input[],
+                       unsigned long n) = 0;
+
+  /*!
+   * \brief compute an array of N output values, decimating the input
+   *
+   * \p input must have (decimate * (n - 1) + ntaps()) valid entries.
+   * input[0] .. input[decimate * (n - 1) + ntaps() - 1] are referenced to 
+   * compute the output values.
+   */
+  virtual void filterNdec (gr_complex output[], const gr_complex input[],
+                          unsigned long n, unsigned decimate) = 0;
+
+  /*!
+   * \brief install \p new_taps as the current taps.
+   */
+  virtual void set_taps (const std::vector<float> &taps)
+  {
+    d_taps = gr_reverse(taps);
+  }
+
+  // ACCESSORS
+
+  /*!
+   * \return number of taps in filter.
+   */
+  unsigned ntaps () const { return d_taps.size (); }
+
+  /*!
+   * \return current taps
+   */
+  virtual const std::vector<float> get_taps () const
+  {
+    return gr_reverse(d_taps);
+  }
+};
+
+#endif /* INCLUDED_GR_FIR_CCF_H */
diff --git a/gnuradio-core/src/lib/filter/gr_fir_ccf_generic.cc b/gnuradio-core/src/lib/filter/gr_fir_ccf_generic.cc
new file mode 100644 (file)
index 0000000..6b0e154
--- /dev/null
@@ -0,0 +1,103 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2002 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <gr_fir_ccf_generic.h>
+
+#if (2 == 4)
+
+gr_complex
+gr_fir_ccf_generic::filter (const gr_complex input[])
+{
+  static const int N_UNROLL = 4;
+
+  gr_complex   acc0 = 0;
+  gr_complex   acc1 = 0;
+  gr_complex   acc2 = 0;
+  gr_complex   acc3 = 0;
+
+
+  unsigned     i = 0;
+  unsigned     n = (ntaps () / N_UNROLL) * N_UNROLL;
+
+  for (i = 0; i < n; i += N_UNROLL){
+    acc0 += d_taps[i + 0] *  input[i + 0];
+    acc1 += d_taps[i + 1] *  input[i + 1];
+    acc2 += d_taps[i + 2] *  input[i + 2];
+    acc3 += d_taps[i + 3] *  input[i + 3];
+  }
+
+  for (; i < ntaps (); i++)
+    acc0 += d_taps[i] *  input[i];
+
+  return (gr_complex) (acc0 + acc1 + acc2 + acc3);
+}
+
+#else 
+
+gr_complex
+gr_fir_ccf_generic::filter (const gr_complex input[])
+{
+  static const int N_UNROLL = 2;
+
+  gr_complex   acc0 = 0;
+  gr_complex   acc1 = 0;
+
+  unsigned     i = 0;
+  unsigned     n = (ntaps () / N_UNROLL) * N_UNROLL;
+
+  for (i = 0; i < n; i += N_UNROLL){
+    acc0 += d_taps[i + 0] *  input[i + 0];
+    acc1 += d_taps[i + 1] *  input[i + 1];
+  }
+
+  for (; i < ntaps (); i++)
+    acc0 += d_taps[i] *  input[i];
+
+  return (gr_complex) (acc0 + acc1);
+}
+
+#endif // N_UNROLL
+
+void 
+gr_fir_ccf_generic::filterN (gr_complex output[],
+                            const gr_complex input[],
+                            unsigned long n)
+{
+  for (unsigned i = 0; i < n; i++)
+    output[i] = filter (&input[i]);
+}
+
+void 
+gr_fir_ccf_generic::filterNdec (gr_complex output[],
+                               const gr_complex input[],
+                               unsigned long n,
+                               unsigned decimate)
+{
+  unsigned j = 0;
+  for (unsigned i = 0; i < n; i++){
+    output[i] = filter (&input[j]);
+    j += decimate;
+  }
+}
diff --git a/gnuradio-core/src/lib/filter/gr_fir_ccf_generic.h b/gnuradio-core/src/lib/filter/gr_fir_ccf_generic.h
new file mode 100644 (file)
index 0000000..63a2651
--- /dev/null
@@ -0,0 +1,77 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2002 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+#ifndef INCLUDED_GR_FIR_CCF_GENERIC_H
+#define INCLUDED_GR_FIR_CCF_GENERIC_H
+
+#include <gr_fir_ccf.h>
+
+/*!
+ * \brief Concrete class for generic implementation of FIR with gr_complex input, gr_complex output and float taps
+ *
+ * The trailing suffix has the form _IOT where I codes the input type,
+ * O codes the output type, and T codes the tap type.
+ * I,O,T are elements of the set 's' (short), 'f' (float), 'c' (gr_complex), 'i' (int)
+ */
+
+class gr_fir_ccf_generic : public gr_fir_ccf {
+
+public:
+
+  // CREATORS
+  
+  gr_fir_ccf_generic () {}
+  gr_fir_ccf_generic (const std::vector<float> &taps) : gr_fir_ccf (taps) {}
+
+  // MANIPULATORS
+
+  /*!
+   * \brief compute a single output value.
+   *
+   * \p input must have ntaps() valid entries.
+   * input[0] .. input[ntaps() - 1] are referenced to compute the output value.
+   *
+   * \returns the filtered input value.
+   */
+  virtual gr_complex filter (const gr_complex input[]);
+
+  /*!
+   * \brief compute an array of N output values.
+   *
+   * \p input must have (n - 1 + ntaps()) valid entries.
+   * input[0] .. input[n - 1 + ntaps() - 1] are referenced to compute the output values.
+   */
+  virtual void filterN (gr_complex output[], const gr_complex input[],
+                       unsigned long n);
+
+  /*!
+   * \brief compute an array of N output values, decimating the input
+   *
+   * \p input must have (decimate * (n - 1) + ntaps()) valid entries.
+   * input[0] .. input[decimate * (n - 1) + ntaps() - 1] are referenced to 
+   * compute the output values.
+   */
+  virtual void filterNdec (gr_complex output[], const gr_complex input[],
+                          unsigned long n, unsigned decimate);
+
+};
+
+#endif /* INCLUDED_GR_FIR_CCF_GENERIC_H */
diff --git a/gnuradio-core/src/lib/filter/gr_fir_fcc.cc b/gnuradio-core/src/lib/filter/gr_fir_fcc.cc
new file mode 100644 (file)
index 0000000..c3f27fd
--- /dev/null
@@ -0,0 +1,30 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2002 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <gr_fir_fcc.h>
+
+gr_fir_fcc::~gr_fir_fcc ()
+{
+}
diff --git a/gnuradio-core/src/lib/filter/gr_fir_fcc.h b/gnuradio-core/src/lib/filter/gr_fir_fcc.h
new file mode 100644 (file)
index 0000000..eb6ea39
--- /dev/null
@@ -0,0 +1,123 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2002,2003 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by generate_gr_fir_XXX.py
+ * Any changes made to this file will be overwritten.
+ */
+
+
+#ifndef INCLUDED_GR_FIR_FCC_H
+#define INCLUDED_GR_FIR_FCC_H
+
+#include <vector>
+#include <gr_types.h>
+#include <gr_reverse.h>
+
+/*!
+ * \brief Abstract class for FIR with float input, gr_complex output and gr_complex taps
+ * \ingroup filter_primitive
+ * 
+ * This is the abstract class for a Finite Impulse Response filter.
+ *
+ * The trailing suffix has the form _IOT where I codes the input type,
+ * O codes the output type, and T codes the tap type.
+ * I,O,T are elements of the set 's' (short), 'f' (float), 'c' (gr_complex), 'i' (int)
+ */
+
+class gr_fir_fcc {
+
+protected:
+  std::vector<gr_complex>      d_taps;         // reversed taps
+
+public:
+
+  // CONSTRUCTORS
+
+  /*!
+   * \brief construct new FIR with given taps.
+   *
+   * Note that taps must be in forward order, e.g., coefficient 0 is
+   * stored in new_taps[0], coefficient 1 is stored in
+   * new_taps[1], etc.
+   */
+  gr_fir_fcc () {}
+  gr_fir_fcc (const std::vector<gr_complex> &taps) : d_taps (gr_reverse(taps)) {}
+
+  virtual ~gr_fir_fcc ();
+
+  // MANIPULATORS
+
+  /*!
+   * \brief compute a single output value.
+   *
+   * \p input must have ntaps() valid entries.
+   * input[0] .. input[ntaps() - 1] are referenced to compute the output value.
+   *
+   * \returns the filtered input value.
+   */
+  virtual gr_complex filter (const float input[]) = 0;
+
+  /*!
+   * \brief compute an array of N output values.
+   *
+   * \p input must have (n - 1 + ntaps()) valid entries.
+   * input[0] .. input[n - 1 + ntaps() - 1] are referenced to compute the output values.
+   */
+  virtual void filterN (gr_complex output[], const float input[],
+                       unsigned long n) = 0;
+
+  /*!
+   * \brief compute an array of N output values, decimating the input
+   *
+   * \p input must have (decimate * (n - 1) + ntaps()) valid entries.
+   * input[0] .. input[decimate * (n - 1) + ntaps() - 1] are referenced to 
+   * compute the output values.
+   */
+  virtual void filterNdec (gr_complex output[], const float input[],
+                          unsigned long n, unsigned decimate) = 0;
+
+  /*!
+   * \brief install \p new_taps as the current taps.
+   */
+  virtual void set_taps (const std::vector<gr_complex> &taps)
+  {
+    d_taps = gr_reverse(taps);
+  }
+
+  // ACCESSORS
+
+  /*!
+   * \return number of taps in filter.
+   */
+  unsigned ntaps () const { return d_taps.size (); }
+
+  /*!
+   * \return current taps
+   */
+  virtual const std::vector<gr_complex> get_taps () const
+  {
+    return gr_reverse(d_taps);
+  }
+};
+
+#endif /* INCLUDED_GR_FIR_FCC_H */
diff --git a/gnuradio-core/src/lib/filter/gr_fir_fcc_generic.cc b/gnuradio-core/src/lib/filter/gr_fir_fcc_generic.cc
new file mode 100644 (file)
index 0000000..aa99a92
--- /dev/null
@@ -0,0 +1,103 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2002 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <gr_fir_fcc_generic.h>
+
+#if (2 == 4)
+
+gr_complex
+gr_fir_fcc_generic::filter (const float input[])
+{
+  static const int N_UNROLL = 4;
+
+  gr_complex   acc0 = 0;
+  gr_complex   acc1 = 0;
+  gr_complex   acc2 = 0;
+  gr_complex   acc3 = 0;
+
+
+  unsigned     i = 0;
+  unsigned     n = (ntaps () / N_UNROLL) * N_UNROLL;
+
+  for (i = 0; i < n; i += N_UNROLL){
+    acc0 += d_taps[i + 0] *  input[i + 0];
+    acc1 += d_taps[i + 1] *  input[i + 1];
+    acc2 += d_taps[i + 2] *  input[i + 2];
+    acc3 += d_taps[i + 3] *  input[i + 3];
+  }
+
+  for (; i < ntaps (); i++)
+    acc0 += d_taps[i] *  input[i];
+
+  return (gr_complex) (acc0 + acc1 + acc2 + acc3);
+}
+
+#else 
+
+gr_complex
+gr_fir_fcc_generic::filter (const float input[])
+{
+  static const int N_UNROLL = 2;
+
+  gr_complex   acc0 = 0;
+  gr_complex   acc1 = 0;
+
+  unsigned     i = 0;
+  unsigned     n = (ntaps () / N_UNROLL) * N_UNROLL;
+
+  for (i = 0; i < n; i += N_UNROLL){
+    acc0 += d_taps[i + 0] *  input[i + 0];
+    acc1 += d_taps[i + 1] *  input[i + 1];
+  }
+
+  for (; i < ntaps (); i++)
+    acc0 += d_taps[i] *  input[i];
+
+  return (gr_complex) (acc0 + acc1);
+}
+
+#endif // N_UNROLL
+
+void 
+gr_fir_fcc_generic::filterN (gr_complex output[],
+                            const float input[],
+                            unsigned long n)
+{
+  for (unsigned i = 0; i < n; i++)
+    output[i] = filter (&input[i]);
+}
+
+void 
+gr_fir_fcc_generic::filterNdec (gr_complex output[],
+                               const float input[],
+                               unsigned long n,
+                               unsigned decimate)
+{
+  unsigned j = 0;
+  for (unsigned i = 0; i < n; i++){
+    output[i] = filter (&input[j]);
+    j += decimate;
+  }
+}
diff --git a/gnuradio-core/src/lib/filter/gr_fir_fcc_generic.h b/gnuradio-core/src/lib/filter/gr_fir_fcc_generic.h
new file mode 100644 (file)
index 0000000..e63de12
--- /dev/null
@@ -0,0 +1,77 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2002 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+#ifndef INCLUDED_GR_FIR_FCC_GENERIC_H
+#define INCLUDED_GR_FIR_FCC_GENERIC_H
+
+#include <gr_fir_fcc.h>
+
+/*!
+ * \brief Concrete class for generic implementation of FIR with float input, gr_complex output and gr_complex taps
+ *
+ * The trailing suffix has the form _IOT where I codes the input type,
+ * O codes the output type, and T codes the tap type.
+ * I,O,T are elements of the set 's' (short), 'f' (float), 'c' (gr_complex), 'i' (int)
+ */
+
+class gr_fir_fcc_generic : public gr_fir_fcc {
+
+public:
+
+  // CREATORS
+  
+  gr_fir_fcc_generic () {}
+  gr_fir_fcc_generic (const std::vector<gr_complex> &taps) : gr_fir_fcc (taps) {}
+
+  // MANIPULATORS
+
+  /*!
+   * \brief compute a single output value.
+   *
+   * \p input must have ntaps() valid entries.
+   * input[0] .. input[ntaps() - 1] are referenced to compute the output value.
+   *
+   * \returns the filtered input value.
+   */
+  virtual gr_complex filter (const float input[]);
+
+  /*!
+   * \brief compute an array of N output values.
+   *
+   * \p input must have (n - 1 + ntaps()) valid entries.
+   * input[0] .. input[n - 1 + ntaps() - 1] are referenced to compute the output values.
+   */
+  virtual void filterN (gr_complex output[], const float input[],
+                       unsigned long n);
+
+  /*!
+   * \brief compute an array of N output values, decimating the input
+   *
+   * \p input must have (decimate * (n - 1) + ntaps()) valid entries.
+   * input[0] .. input[decimate * (n - 1) + ntaps() - 1] are referenced to 
+   * compute the output values.
+   */
+  virtual void filterNdec (gr_complex output[], const float input[],
+                          unsigned long n, unsigned decimate);
+
+};
+
+#endif /* INCLUDED_GR_FIR_FCC_GENERIC_H */
diff --git a/gnuradio-core/src/lib/filter/gr_fir_fff.cc b/gnuradio-core/src/lib/filter/gr_fir_fff.cc
new file mode 100644 (file)
index 0000000..e8300b5
--- /dev/null
@@ -0,0 +1,30 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2002 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <gr_fir_fff.h>
+
+gr_fir_fff::~gr_fir_fff ()
+{
+}
diff --git a/gnuradio-core/src/lib/filter/gr_fir_fff.h b/gnuradio-core/src/lib/filter/gr_fir_fff.h
new file mode 100644 (file)
index 0000000..be0be49
--- /dev/null
@@ -0,0 +1,123 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2002,2003 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by generate_gr_fir_XXX.py
+ * Any changes made to this file will be overwritten.
+ */
+
+
+#ifndef INCLUDED_GR_FIR_FFF_H
+#define INCLUDED_GR_FIR_FFF_H
+
+#include <vector>
+
+#include <gr_reverse.h>
+
+/*!
+ * \brief Abstract class for FIR with float input, float output and float taps
+ * \ingroup filter_primitive
+ * 
+ * This is the abstract class for a Finite Impulse Response filter.
+ *
+ * The trailing suffix has the form _IOT where I codes the input type,
+ * O codes the output type, and T codes the tap type.
+ * I,O,T are elements of the set 's' (short), 'f' (float), 'c' (gr_complex), 'i' (int)
+ */
+
+class gr_fir_fff {
+
+protected:
+  std::vector<float>   d_taps;         // reversed taps
+
+public:
+
+  // CONSTRUCTORS
+
+  /*!
+   * \brief construct new FIR with given taps.
+   *
+   * Note that taps must be in forward order, e.g., coefficient 0 is
+   * stored in new_taps[0], coefficient 1 is stored in
+   * new_taps[1], etc.
+   */
+  gr_fir_fff () {}
+  gr_fir_fff (const std::vector<float> &taps) : d_taps (gr_reverse(taps)) {}
+
+  virtual ~gr_fir_fff ();
+
+  // MANIPULATORS
+
+  /*!
+   * \brief compute a single output value.
+   *
+   * \p input must have ntaps() valid entries.
+   * input[0] .. input[ntaps() - 1] are referenced to compute the output value.
+   *
+   * \returns the filtered input value.
+   */
+  virtual float filter (const float input[]) = 0;
+
+  /*!
+   * \brief compute an array of N output values.
+   *
+   * \p input must have (n - 1 + ntaps()) valid entries.
+   * input[0] .. input[n - 1 + ntaps() - 1] are referenced to compute the output values.
+   */
+  virtual void filterN (float output[], const float input[],
+                       unsigned long n) = 0;
+
+  /*!
+   * \brief compute an array of N output values, decimating the input
+   *
+   * \p input must have (decimate * (n - 1) + ntaps()) valid entries.
+   * input[0] .. input[decimate * (n - 1) + ntaps() - 1] are referenced to 
+   * compute the output values.
+   */
+  virtual void filterNdec (float output[], const float input[],
+                          unsigned long n, unsigned decimate) = 0;
+
+  /*!
+   * \brief install \p new_taps as the current taps.
+   */
+  virtual void set_taps (const std::vector<float> &taps)
+  {
+    d_taps = gr_reverse(taps);
+  }
+
+  // ACCESSORS
+
+  /*!
+   * \return number of taps in filter.
+   */
+  unsigned ntaps () const { return d_taps.size (); }
+
+  /*!
+   * \return current taps
+   */
+  virtual const std::vector<float> get_taps () const
+  {
+    return gr_reverse(d_taps);
+  }
+};
+
+#endif /* INCLUDED_GR_FIR_FFF_H */
diff --git a/gnuradio-core/src/lib/filter/gr_fir_fff_generic.cc b/gnuradio-core/src/lib/filter/gr_fir_fff_generic.cc
new file mode 100644 (file)
index 0000000..cc2dcaa
--- /dev/null
@@ -0,0 +1,103 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2002 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <gr_fir_fff_generic.h>
+
+#if (4 == 4)
+
+float
+gr_fir_fff_generic::filter (const float input[])
+{
+  static const int N_UNROLL = 4;
+
+  float        acc0 = 0;
+  float        acc1 = 0;
+  float        acc2 = 0;
+  float        acc3 = 0;
+
+
+  unsigned     i = 0;
+  unsigned     n = (ntaps () / N_UNROLL) * N_UNROLL;
+
+  for (i = 0; i < n; i += N_UNROLL){
+    acc0 += d_taps[i + 0] *  input[i + 0];
+    acc1 += d_taps[i + 1] *  input[i + 1];
+    acc2 += d_taps[i + 2] *  input[i + 2];
+    acc3 += d_taps[i + 3] *  input[i + 3];
+  }
+
+  for (; i < ntaps (); i++)
+    acc0 += d_taps[i] *  input[i];
+
+  return (float) (acc0 + acc1 + acc2 + acc3);
+}
+
+#else 
+
+float
+gr_fir_fff_generic::filter (const float input[])
+{
+  static const int N_UNROLL = 2;
+
+  float        acc0 = 0;
+  float        acc1 = 0;
+
+  unsigned     i = 0;
+  unsigned     n = (ntaps () / N_UNROLL) * N_UNROLL;
+
+  for (i = 0; i < n; i += N_UNROLL){
+    acc0 += d_taps[i + 0] *  input[i + 0];
+    acc1 += d_taps[i + 1] *  input[i + 1];
+  }
+
+  for (; i < ntaps (); i++)
+    acc0 += d_taps[i] *  input[i];
+
+  return (float) (acc0 + acc1);
+}
+
+#endif // N_UNROLL
+
+void 
+gr_fir_fff_generic::filterN (float output[],
+                            const float input[],
+                            unsigned long n)
+{
+  for (unsigned i = 0; i < n; i++)
+    output[i] = filter (&input[i]);
+}
+
+void 
+gr_fir_fff_generic::filterNdec (float output[],
+                               const float input[],
+                               unsigned long n,
+                               unsigned decimate)
+{
+  unsigned j = 0;
+  for (unsigned i = 0; i < n; i++){
+    output[i] = filter (&input[j]);
+    j += decimate;
+  }
+}
diff --git a/gnuradio-core/src/lib/filter/gr_fir_fff_generic.h b/gnuradio-core/src/lib/filter/gr_fir_fff_generic.h
new file mode 100644 (file)
index 0000000..86bc71b
--- /dev/null
@@ -0,0 +1,77 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2002 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+#ifndef INCLUDED_GR_FIR_FFF_GENERIC_H
+#define INCLUDED_GR_FIR_FFF_GENERIC_H
+
+#include <gr_fir_fff.h>
+
+/*!
+ * \brief Concrete class for generic implementation of FIR with float input, float output and float taps
+ *
+ * The trailing suffix has the form _IOT where I codes the input type,
+ * O codes the output type, and T codes the tap type.
+ * I,O,T are elements of the set 's' (short), 'f' (float), 'c' (gr_complex), 'i' (int)
+ */
+
+class gr_fir_fff_generic : public gr_fir_fff {
+
+public:
+
+  // CREATORS
+  
+  gr_fir_fff_generic () {}
+  gr_fir_fff_generic (const std::vector<float> &taps) : gr_fir_fff (taps) {}
+
+  // MANIPULATORS
+
+  /*!
+   * \brief compute a single output value.
+   *
+   * \p input must have ntaps() valid entries.
+   * input[0] .. input[ntaps() - 1] are referenced to compute the output value.
+   *
+   * \returns the filtered input value.
+   */
+  virtual float filter (const float input[]);
+
+  /*!
+   * \brief compute an array of N output values.
+   *
+   * \p input must have (n - 1 + ntaps()) valid entries.
+   * input[0] .. input[n - 1 + ntaps() - 1] are referenced to compute the output values.
+   */
+  virtual void filterN (float output[], const float input[],
+                       unsigned long n);
+
+  /*!
+   * \brief compute an array of N output values, decimating the input
+   *
+   * \p input must have (decimate * (n - 1) + ntaps()) valid entries.
+   * input[0] .. input[decimate * (n - 1) + ntaps() - 1] are referenced to 
+   * compute the output values.
+   */
+  virtual void filterNdec (float output[], const float input[],
+                          unsigned long n, unsigned decimate);
+
+};
+
+#endif /* INCLUDED_GR_FIR_FFF_GENERIC_H */
diff --git a/gnuradio-core/src/lib/filter/gr_fir_filter_ccc.cc b/gnuradio-core/src/lib/filter/gr_fir_filter_ccc.cc
new file mode 100644 (file)
index 0000000..5f5909f
--- /dev/null
@@ -0,0 +1,88 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by generate_gr_fir_filter_XXX.py
+ * Any changes made to this file will be overwritten.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_fir_filter_ccc.h>
+#include <gr_fir_ccc.h>
+#include <gr_fir_util.h>
+#include <gr_io_signature.h>
+
+gr_fir_filter_ccc_sptr gr_make_fir_filter_ccc (int decimation, const std::vector<gr_complex> &taps)
+{
+  return gr_fir_filter_ccc_sptr (new gr_fir_filter_ccc (decimation, taps));
+}
+
+
+gr_fir_filter_ccc::gr_fir_filter_ccc (int decimation, const std::vector<gr_complex> &taps)
+  : gr_sync_decimator ("fir_filter_ccc",
+                      gr_make_io_signature (1, 1, sizeof (gr_complex)),
+                      gr_make_io_signature (1, 1, sizeof (gr_complex)),
+                      decimation),
+    d_updated (false)
+{
+  d_fir = gr_fir_util::create_gr_fir_ccc (taps);
+  set_history (d_fir->ntaps ());
+}
+
+gr_fir_filter_ccc::~gr_fir_filter_ccc ()
+{
+  delete d_fir;
+}
+
+void
+gr_fir_filter_ccc::set_taps (const std::vector<gr_complex> &taps)
+{
+  d_new_taps = taps;
+  d_updated = true;
+}
+
+int
+gr_fir_filter_ccc::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  gr_complex *in = (gr_complex *) input_items[0];
+  gr_complex *out = (gr_complex *) output_items[0];
+
+  if (d_updated) {
+    d_fir->set_taps (d_new_taps);
+    set_history (d_fir->ntaps ());
+    d_updated = false;
+    return 0;               // history requirements may have changed.
+  }
+
+  if (decimation() == 1)
+    d_fir->filterN (out, in, noutput_items);
+
+  else
+    d_fir->filterNdec (out, in, noutput_items, decimation());
+
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/filter/gr_fir_filter_ccc.h b/gnuradio-core/src/lib/filter/gr_fir_filter_ccc.h
new file mode 100644 (file)
index 0000000..f33b2dc
--- /dev/null
@@ -0,0 +1,67 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by generate_gr_fir_filter_XXX.py
+ * Any changes made to this file will be overwritten.
+ */
+
+#ifndef INCLUDED_GR_FIR_FILTER_CCC_H
+#define        INCLUDED_GR_FIR_FILTER_CCC_H
+
+#include <gr_sync_decimator.h>
+
+class gr_fir_filter_ccc;
+typedef boost::shared_ptr<gr_fir_filter_ccc> gr_fir_filter_ccc_sptr;
+gr_fir_filter_ccc_sptr gr_make_fir_filter_ccc (int decimation, const std::vector<gr_complex> &taps);
+
+class gr_fir_ccc;
+
+/*!
+ * \brief FIR filter with gr_complex input, gr_complex output and gr_complex taps
+ * \ingroup filter_blk
+ */
+class gr_fir_filter_ccc : public gr_sync_decimator
+{
+ private:
+  friend gr_fir_filter_ccc_sptr gr_make_fir_filter_ccc (int decimation, const std::vector<gr_complex> &taps);
+
+  gr_fir_ccc           *d_fir;
+  std::vector<gr_complex>      d_new_taps;
+  bool                 d_updated;
+
+  /*!
+   * Construct a FIR filter with the given taps
+   */
+  gr_fir_filter_ccc (int decimation, const std::vector<gr_complex> &taps);
+
+ public:
+  ~gr_fir_filter_ccc ();
+
+  void set_taps (const std::vector<gr_complex> &taps);
+
+  int work (int noutput_items,
+                gr_vector_const_void_star &input_items,
+                gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/filter/gr_fir_filter_ccc.i b/gnuradio-core/src/lib/filter/gr_fir_filter_ccc.i
new file mode 100644 (file)
index 0000000..8207b4b
--- /dev/null
@@ -0,0 +1,41 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by generate_GrFIRfilterXXX.py
+ * Any changes made to this file will be overwritten.
+ */
+
+GR_SWIG_BLOCK_MAGIC(gr,fir_filter_ccc)
+
+gr_fir_filter_ccc_sptr gr_make_fir_filter_ccc (int decimation, const std::vector<gr_complex> &taps);
+
+class gr_fir_filter_ccc : public gr_sync_decimator
+{
+ private:
+  gr_fir_filter_ccc (int decimation, const std::vector<gr_complex> &taps);
+
+ public:
+  ~gr_fir_filter_ccc ();
+
+  void set_taps (const std::vector<gr_complex> &taps);
+};
diff --git a/gnuradio-core/src/lib/filter/gr_fir_filter_ccf.cc b/gnuradio-core/src/lib/filter/gr_fir_filter_ccf.cc
new file mode 100644 (file)
index 0000000..c36c8f3
--- /dev/null
@@ -0,0 +1,88 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by generate_gr_fir_filter_XXX.py
+ * Any changes made to this file will be overwritten.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_fir_filter_ccf.h>
+#include <gr_fir_ccf.h>
+#include <gr_fir_util.h>
+#include <gr_io_signature.h>
+
+gr_fir_filter_ccf_sptr gr_make_fir_filter_ccf (int decimation, const std::vector<float> &taps)
+{
+  return gr_fir_filter_ccf_sptr (new gr_fir_filter_ccf (decimation, taps));
+}
+
+
+gr_fir_filter_ccf::gr_fir_filter_ccf (int decimation, const std::vector<float> &taps)
+  : gr_sync_decimator ("fir_filter_ccf",
+                      gr_make_io_signature (1, 1, sizeof (gr_complex)),
+                      gr_make_io_signature (1, 1, sizeof (gr_complex)),
+                      decimation),
+    d_updated (false)
+{
+  d_fir = gr_fir_util::create_gr_fir_ccf (taps);
+  set_history (d_fir->ntaps ());
+}
+
+gr_fir_filter_ccf::~gr_fir_filter_ccf ()
+{
+  delete d_fir;
+}
+
+void
+gr_fir_filter_ccf::set_taps (const std::vector<float> &taps)
+{
+  d_new_taps = taps;
+  d_updated = true;
+}
+
+int
+gr_fir_filter_ccf::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  gr_complex *in = (gr_complex *) input_items[0];
+  gr_complex *out = (gr_complex *) output_items[0];
+
+  if (d_updated) {
+    d_fir->set_taps (d_new_taps);
+    set_history (d_fir->ntaps ());
+    d_updated = false;
+    return 0;               // history requirements may have changed.
+  }
+
+  if (decimation() == 1)
+    d_fir->filterN (out, in, noutput_items);
+
+  else
+    d_fir->filterNdec (out, in, noutput_items, decimation());
+
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/filter/gr_fir_filter_ccf.h b/gnuradio-core/src/lib/filter/gr_fir_filter_ccf.h
new file mode 100644 (file)
index 0000000..6f74532
--- /dev/null
@@ -0,0 +1,67 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by generate_gr_fir_filter_XXX.py
+ * Any changes made to this file will be overwritten.
+ */
+
+#ifndef INCLUDED_GR_FIR_FILTER_CCF_H
+#define        INCLUDED_GR_FIR_FILTER_CCF_H
+
+#include <gr_sync_decimator.h>
+
+class gr_fir_filter_ccf;
+typedef boost::shared_ptr<gr_fir_filter_ccf> gr_fir_filter_ccf_sptr;
+gr_fir_filter_ccf_sptr gr_make_fir_filter_ccf (int decimation, const std::vector<float> &taps);
+
+class gr_fir_ccf;
+
+/*!
+ * \brief FIR filter with gr_complex input, gr_complex output and float taps
+ * \ingroup filter_blk
+ */
+class gr_fir_filter_ccf : public gr_sync_decimator
+{
+ private:
+  friend gr_fir_filter_ccf_sptr gr_make_fir_filter_ccf (int decimation, const std::vector<float> &taps);
+
+  gr_fir_ccf           *d_fir;
+  std::vector<float>   d_new_taps;
+  bool                 d_updated;
+
+  /*!
+   * Construct a FIR filter with the given taps
+   */
+  gr_fir_filter_ccf (int decimation, const std::vector<float> &taps);
+
+ public:
+  ~gr_fir_filter_ccf ();
+
+  void set_taps (const std::vector<float> &taps);
+
+  int work (int noutput_items,
+                gr_vector_const_void_star &input_items,
+                gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/filter/gr_fir_filter_ccf.i b/gnuradio-core/src/lib/filter/gr_fir_filter_ccf.i
new file mode 100644 (file)
index 0000000..ba9cee1
--- /dev/null
@@ -0,0 +1,41 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by generate_GrFIRfilterXXX.py
+ * Any changes made to this file will be overwritten.
+ */
+
+GR_SWIG_BLOCK_MAGIC(gr,fir_filter_ccf)
+
+gr_fir_filter_ccf_sptr gr_make_fir_filter_ccf (int decimation, const std::vector<float> &taps);
+
+class gr_fir_filter_ccf : public gr_sync_decimator
+{
+ private:
+  gr_fir_filter_ccf (int decimation, const std::vector<float> &taps);
+
+ public:
+  ~gr_fir_filter_ccf ();
+
+  void set_taps (const std::vector<float> &taps);
+};
diff --git a/gnuradio-core/src/lib/filter/gr_fir_filter_fcc.cc b/gnuradio-core/src/lib/filter/gr_fir_filter_fcc.cc
new file mode 100644 (file)
index 0000000..1785653
--- /dev/null
@@ -0,0 +1,88 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by generate_gr_fir_filter_XXX.py
+ * Any changes made to this file will be overwritten.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_fir_filter_fcc.h>
+#include <gr_fir_fcc.h>
+#include <gr_fir_util.h>
+#include <gr_io_signature.h>
+
+gr_fir_filter_fcc_sptr gr_make_fir_filter_fcc (int decimation, const std::vector<gr_complex> &taps)
+{
+  return gr_fir_filter_fcc_sptr (new gr_fir_filter_fcc (decimation, taps));
+}
+
+
+gr_fir_filter_fcc::gr_fir_filter_fcc (int decimation, const std::vector<gr_complex> &taps)
+  : gr_sync_decimator ("fir_filter_fcc",
+                      gr_make_io_signature (1, 1, sizeof (float)),
+                      gr_make_io_signature (1, 1, sizeof (gr_complex)),
+                      decimation),
+    d_updated (false)
+{
+  d_fir = gr_fir_util::create_gr_fir_fcc (taps);
+  set_history (d_fir->ntaps ());
+}
+
+gr_fir_filter_fcc::~gr_fir_filter_fcc ()
+{
+  delete d_fir;
+}
+
+void
+gr_fir_filter_fcc::set_taps (const std::vector<gr_complex> &taps)
+{
+  d_new_taps = taps;
+  d_updated = true;
+}
+
+int
+gr_fir_filter_fcc::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  float *in = (float *) input_items[0];
+  gr_complex *out = (gr_complex *) output_items[0];
+
+  if (d_updated) {
+    d_fir->set_taps (d_new_taps);
+    set_history (d_fir->ntaps ());
+    d_updated = false;
+    return 0;               // history requirements may have changed.
+  }
+
+  if (decimation() == 1)
+    d_fir->filterN (out, in, noutput_items);
+
+  else
+    d_fir->filterNdec (out, in, noutput_items, decimation());
+
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/filter/gr_fir_filter_fcc.h b/gnuradio-core/src/lib/filter/gr_fir_filter_fcc.h
new file mode 100644 (file)
index 0000000..e9d06d2
--- /dev/null
@@ -0,0 +1,67 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by generate_gr_fir_filter_XXX.py
+ * Any changes made to this file will be overwritten.
+ */
+
+#ifndef INCLUDED_GR_FIR_FILTER_FCC_H
+#define        INCLUDED_GR_FIR_FILTER_FCC_H
+
+#include <gr_sync_decimator.h>
+
+class gr_fir_filter_fcc;
+typedef boost::shared_ptr<gr_fir_filter_fcc> gr_fir_filter_fcc_sptr;
+gr_fir_filter_fcc_sptr gr_make_fir_filter_fcc (int decimation, const std::vector<gr_complex> &taps);
+
+class gr_fir_fcc;
+
+/*!
+ * \brief FIR filter with float input, gr_complex output and gr_complex taps
+ * \ingroup filter_blk
+ */
+class gr_fir_filter_fcc : public gr_sync_decimator
+{
+ private:
+  friend gr_fir_filter_fcc_sptr gr_make_fir_filter_fcc (int decimation, const std::vector<gr_complex> &taps);
+
+  gr_fir_fcc           *d_fir;
+  std::vector<gr_complex>      d_new_taps;
+  bool                 d_updated;
+
+  /*!
+   * Construct a FIR filter with the given taps
+   */
+  gr_fir_filter_fcc (int decimation, const std::vector<gr_complex> &taps);
+
+ public:
+  ~gr_fir_filter_fcc ();
+
+  void set_taps (const std::vector<gr_complex> &taps);
+
+  int work (int noutput_items,
+                gr_vector_const_void_star &input_items,
+                gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/filter/gr_fir_filter_fcc.i b/gnuradio-core/src/lib/filter/gr_fir_filter_fcc.i
new file mode 100644 (file)
index 0000000..7dcbc38
--- /dev/null
@@ -0,0 +1,41 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by generate_GrFIRfilterXXX.py
+ * Any changes made to this file will be overwritten.
+ */
+
+GR_SWIG_BLOCK_MAGIC(gr,fir_filter_fcc)
+
+gr_fir_filter_fcc_sptr gr_make_fir_filter_fcc (int decimation, const std::vector<gr_complex> &taps);
+
+class gr_fir_filter_fcc : public gr_sync_decimator
+{
+ private:
+  gr_fir_filter_fcc (int decimation, const std::vector<gr_complex> &taps);
+
+ public:
+  ~gr_fir_filter_fcc ();
+
+  void set_taps (const std::vector<gr_complex> &taps);
+};
diff --git a/gnuradio-core/src/lib/filter/gr_fir_filter_fff.cc b/gnuradio-core/src/lib/filter/gr_fir_filter_fff.cc
new file mode 100644 (file)
index 0000000..ccd0334
--- /dev/null
@@ -0,0 +1,88 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by generate_gr_fir_filter_XXX.py
+ * Any changes made to this file will be overwritten.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_fir_filter_fff.h>
+#include <gr_fir_fff.h>
+#include <gr_fir_util.h>
+#include <gr_io_signature.h>
+
+gr_fir_filter_fff_sptr gr_make_fir_filter_fff (int decimation, const std::vector<float> &taps)
+{
+  return gr_fir_filter_fff_sptr (new gr_fir_filter_fff (decimation, taps));
+}
+
+
+gr_fir_filter_fff::gr_fir_filter_fff (int decimation, const std::vector<float> &taps)
+  : gr_sync_decimator ("fir_filter_fff",
+                      gr_make_io_signature (1, 1, sizeof (float)),
+                      gr_make_io_signature (1, 1, sizeof (float)),
+                      decimation),
+    d_updated (false)
+{
+  d_fir = gr_fir_util::create_gr_fir_fff (taps);
+  set_history (d_fir->ntaps ());
+}
+
+gr_fir_filter_fff::~gr_fir_filter_fff ()
+{
+  delete d_fir;
+}
+
+void
+gr_fir_filter_fff::set_taps (const std::vector<float> &taps)
+{
+  d_new_taps = taps;
+  d_updated = true;
+}
+
+int
+gr_fir_filter_fff::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  float *in = (float *) input_items[0];
+  float *out = (float *) output_items[0];
+
+  if (d_updated) {
+    d_fir->set_taps (d_new_taps);
+    set_history (d_fir->ntaps ());
+    d_updated = false;
+    return 0;               // history requirements may have changed.
+  }
+
+  if (decimation() == 1)
+    d_fir->filterN (out, in, noutput_items);
+
+  else
+    d_fir->filterNdec (out, in, noutput_items, decimation());
+
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/filter/gr_fir_filter_fff.h b/gnuradio-core/src/lib/filter/gr_fir_filter_fff.h
new file mode 100644 (file)
index 0000000..d761514
--- /dev/null
@@ -0,0 +1,67 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by generate_gr_fir_filter_XXX.py
+ * Any changes made to this file will be overwritten.
+ */
+
+#ifndef INCLUDED_GR_FIR_FILTER_FFF_H
+#define        INCLUDED_GR_FIR_FILTER_FFF_H
+
+#include <gr_sync_decimator.h>
+
+class gr_fir_filter_fff;
+typedef boost::shared_ptr<gr_fir_filter_fff> gr_fir_filter_fff_sptr;
+gr_fir_filter_fff_sptr gr_make_fir_filter_fff (int decimation, const std::vector<float> &taps);
+
+class gr_fir_fff;
+
+/*!
+ * \brief FIR filter with float input, float output and float taps
+ * \ingroup filter_blk
+ */
+class gr_fir_filter_fff : public gr_sync_decimator
+{
+ private:
+  friend gr_fir_filter_fff_sptr gr_make_fir_filter_fff (int decimation, const std::vector<float> &taps);
+
+  gr_fir_fff           *d_fir;
+  std::vector<float>   d_new_taps;
+  bool                 d_updated;
+
+  /*!
+   * Construct a FIR filter with the given taps
+   */
+  gr_fir_filter_fff (int decimation, const std::vector<float> &taps);
+
+ public:
+  ~gr_fir_filter_fff ();
+
+  void set_taps (const std::vector<float> &taps);
+
+  int work (int noutput_items,
+                gr_vector_const_void_star &input_items,
+                gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/filter/gr_fir_filter_fff.i b/gnuradio-core/src/lib/filter/gr_fir_filter_fff.i
new file mode 100644 (file)
index 0000000..2848943
--- /dev/null
@@ -0,0 +1,41 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by generate_GrFIRfilterXXX.py
+ * Any changes made to this file will be overwritten.
+ */
+
+GR_SWIG_BLOCK_MAGIC(gr,fir_filter_fff)
+
+gr_fir_filter_fff_sptr gr_make_fir_filter_fff (int decimation, const std::vector<float> &taps);
+
+class gr_fir_filter_fff : public gr_sync_decimator
+{
+ private:
+  gr_fir_filter_fff (int decimation, const std::vector<float> &taps);
+
+ public:
+  ~gr_fir_filter_fff ();
+
+  void set_taps (const std::vector<float> &taps);
+};
diff --git a/gnuradio-core/src/lib/filter/gr_fir_filter_fsf.cc b/gnuradio-core/src/lib/filter/gr_fir_filter_fsf.cc
new file mode 100644 (file)
index 0000000..25b5a27
--- /dev/null
@@ -0,0 +1,88 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by generate_gr_fir_filter_XXX.py
+ * Any changes made to this file will be overwritten.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_fir_filter_fsf.h>
+#include <gr_fir_fsf.h>
+#include <gr_fir_util.h>
+#include <gr_io_signature.h>
+
+gr_fir_filter_fsf_sptr gr_make_fir_filter_fsf (int decimation, const std::vector<float> &taps)
+{
+  return gr_fir_filter_fsf_sptr (new gr_fir_filter_fsf (decimation, taps));
+}
+
+
+gr_fir_filter_fsf::gr_fir_filter_fsf (int decimation, const std::vector<float> &taps)
+  : gr_sync_decimator ("fir_filter_fsf",
+                      gr_make_io_signature (1, 1, sizeof (float)),
+                      gr_make_io_signature (1, 1, sizeof (short)),
+                      decimation),
+    d_updated (false)
+{
+  d_fir = gr_fir_util::create_gr_fir_fsf (taps);
+  set_history (d_fir->ntaps ());
+}
+
+gr_fir_filter_fsf::~gr_fir_filter_fsf ()
+{
+  delete d_fir;
+}
+
+void
+gr_fir_filter_fsf::set_taps (const std::vector<float> &taps)
+{
+  d_new_taps = taps;
+  d_updated = true;
+}
+
+int
+gr_fir_filter_fsf::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  float *in = (float *) input_items[0];
+  short *out = (short *) output_items[0];
+
+  if (d_updated) {
+    d_fir->set_taps (d_new_taps);
+    set_history (d_fir->ntaps ());
+    d_updated = false;
+    return 0;               // history requirements may have changed.
+  }
+
+  if (decimation() == 1)
+    d_fir->filterN (out, in, noutput_items);
+
+  else
+    d_fir->filterNdec (out, in, noutput_items, decimation());
+
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/filter/gr_fir_filter_fsf.h b/gnuradio-core/src/lib/filter/gr_fir_filter_fsf.h
new file mode 100644 (file)
index 0000000..dcb6e03
--- /dev/null
@@ -0,0 +1,67 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by generate_gr_fir_filter_XXX.py
+ * Any changes made to this file will be overwritten.
+ */
+
+#ifndef INCLUDED_GR_FIR_FILTER_FSF_H
+#define        INCLUDED_GR_FIR_FILTER_FSF_H
+
+#include <gr_sync_decimator.h>
+
+class gr_fir_filter_fsf;
+typedef boost::shared_ptr<gr_fir_filter_fsf> gr_fir_filter_fsf_sptr;
+gr_fir_filter_fsf_sptr gr_make_fir_filter_fsf (int decimation, const std::vector<float> &taps);
+
+class gr_fir_fsf;
+
+/*!
+ * \brief FIR filter with float input, short output and float taps
+ * \ingroup filter_blk
+ */
+class gr_fir_filter_fsf : public gr_sync_decimator
+{
+ private:
+  friend gr_fir_filter_fsf_sptr gr_make_fir_filter_fsf (int decimation, const std::vector<float> &taps);
+
+  gr_fir_fsf           *d_fir;
+  std::vector<float>   d_new_taps;
+  bool                 d_updated;
+
+  /*!
+   * Construct a FIR filter with the given taps
+   */
+  gr_fir_filter_fsf (int decimation, const std::vector<float> &taps);
+
+ public:
+  ~gr_fir_filter_fsf ();
+
+  void set_taps (const std::vector<float> &taps);
+
+  int work (int noutput_items,
+                gr_vector_const_void_star &input_items,
+                gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/filter/gr_fir_filter_fsf.i b/gnuradio-core/src/lib/filter/gr_fir_filter_fsf.i
new file mode 100644 (file)
index 0000000..196a98d
--- /dev/null
@@ -0,0 +1,41 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by generate_GrFIRfilterXXX.py
+ * Any changes made to this file will be overwritten.
+ */
+
+GR_SWIG_BLOCK_MAGIC(gr,fir_filter_fsf)
+
+gr_fir_filter_fsf_sptr gr_make_fir_filter_fsf (int decimation, const std::vector<float> &taps);
+
+class gr_fir_filter_fsf : public gr_sync_decimator
+{
+ private:
+  gr_fir_filter_fsf (int decimation, const std::vector<float> &taps);
+
+ public:
+  ~gr_fir_filter_fsf ();
+
+  void set_taps (const std::vector<float> &taps);
+};
diff --git a/gnuradio-core/src/lib/filter/gr_fir_filter_scc.cc b/gnuradio-core/src/lib/filter/gr_fir_filter_scc.cc
new file mode 100644 (file)
index 0000000..a59c7ab
--- /dev/null
@@ -0,0 +1,88 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by generate_gr_fir_filter_XXX.py
+ * Any changes made to this file will be overwritten.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_fir_filter_scc.h>
+#include <gr_fir_scc.h>
+#include <gr_fir_util.h>
+#include <gr_io_signature.h>
+
+gr_fir_filter_scc_sptr gr_make_fir_filter_scc (int decimation, const std::vector<gr_complex> &taps)
+{
+  return gr_fir_filter_scc_sptr (new gr_fir_filter_scc (decimation, taps));
+}
+
+
+gr_fir_filter_scc::gr_fir_filter_scc (int decimation, const std::vector<gr_complex> &taps)
+  : gr_sync_decimator ("fir_filter_scc",
+                      gr_make_io_signature (1, 1, sizeof (short)),
+                      gr_make_io_signature (1, 1, sizeof (gr_complex)),
+                      decimation),
+    d_updated (false)
+{
+  d_fir = gr_fir_util::create_gr_fir_scc (taps);
+  set_history (d_fir->ntaps ());
+}
+
+gr_fir_filter_scc::~gr_fir_filter_scc ()
+{
+  delete d_fir;
+}
+
+void
+gr_fir_filter_scc::set_taps (const std::vector<gr_complex> &taps)
+{
+  d_new_taps = taps;
+  d_updated = true;
+}
+
+int
+gr_fir_filter_scc::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  short *in = (short *) input_items[0];
+  gr_complex *out = (gr_complex *) output_items[0];
+
+  if (d_updated) {
+    d_fir->set_taps (d_new_taps);
+    set_history (d_fir->ntaps ());
+    d_updated = false;
+    return 0;               // history requirements may have changed.
+  }
+
+  if (decimation() == 1)
+    d_fir->filterN (out, in, noutput_items);
+
+  else
+    d_fir->filterNdec (out, in, noutput_items, decimation());
+
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/filter/gr_fir_filter_scc.h b/gnuradio-core/src/lib/filter/gr_fir_filter_scc.h
new file mode 100644 (file)
index 0000000..a48de05
--- /dev/null
@@ -0,0 +1,67 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by generate_gr_fir_filter_XXX.py
+ * Any changes made to this file will be overwritten.
+ */
+
+#ifndef INCLUDED_GR_FIR_FILTER_SCC_H
+#define        INCLUDED_GR_FIR_FILTER_SCC_H
+
+#include <gr_sync_decimator.h>
+
+class gr_fir_filter_scc;
+typedef boost::shared_ptr<gr_fir_filter_scc> gr_fir_filter_scc_sptr;
+gr_fir_filter_scc_sptr gr_make_fir_filter_scc (int decimation, const std::vector<gr_complex> &taps);
+
+class gr_fir_scc;
+
+/*!
+ * \brief FIR filter with short input, gr_complex output and gr_complex taps
+ * \ingroup filter_blk
+ */
+class gr_fir_filter_scc : public gr_sync_decimator
+{
+ private:
+  friend gr_fir_filter_scc_sptr gr_make_fir_filter_scc (int decimation, const std::vector<gr_complex> &taps);
+
+  gr_fir_scc           *d_fir;
+  std::vector<gr_complex>      d_new_taps;
+  bool                 d_updated;
+
+  /*!
+   * Construct a FIR filter with the given taps
+   */
+  gr_fir_filter_scc (int decimation, const std::vector<gr_complex> &taps);
+
+ public:
+  ~gr_fir_filter_scc ();
+
+  void set_taps (const std::vector<gr_complex> &taps);
+
+  int work (int noutput_items,
+                gr_vector_const_void_star &input_items,
+                gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/filter/gr_fir_filter_scc.i b/gnuradio-core/src/lib/filter/gr_fir_filter_scc.i
new file mode 100644 (file)
index 0000000..503870e
--- /dev/null
@@ -0,0 +1,41 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by generate_GrFIRfilterXXX.py
+ * Any changes made to this file will be overwritten.
+ */
+
+GR_SWIG_BLOCK_MAGIC(gr,fir_filter_scc)
+
+gr_fir_filter_scc_sptr gr_make_fir_filter_scc (int decimation, const std::vector<gr_complex> &taps);
+
+class gr_fir_filter_scc : public gr_sync_decimator
+{
+ private:
+  gr_fir_filter_scc (int decimation, const std::vector<gr_complex> &taps);
+
+ public:
+  ~gr_fir_filter_scc ();
+
+  void set_taps (const std::vector<gr_complex> &taps);
+};
diff --git a/gnuradio-core/src/lib/filter/gr_fir_fsf.cc b/gnuradio-core/src/lib/filter/gr_fir_fsf.cc
new file mode 100644 (file)
index 0000000..1eb7af5
--- /dev/null
@@ -0,0 +1,30 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2002 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <gr_fir_fsf.h>
+
+gr_fir_fsf::~gr_fir_fsf ()
+{
+}
diff --git a/gnuradio-core/src/lib/filter/gr_fir_fsf.h b/gnuradio-core/src/lib/filter/gr_fir_fsf.h
new file mode 100644 (file)
index 0000000..fba0ff4
--- /dev/null
@@ -0,0 +1,123 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2002,2003 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by generate_gr_fir_XXX.py
+ * Any changes made to this file will be overwritten.
+ */
+
+
+#ifndef INCLUDED_GR_FIR_FSF_H
+#define INCLUDED_GR_FIR_FSF_H
+
+#include <vector>
+
+#include <gr_reverse.h>
+
+/*!
+ * \brief Abstract class for FIR with float input, short output and float taps
+ * \ingroup filter_primitive
+ * 
+ * This is the abstract class for a Finite Impulse Response filter.
+ *
+ * The trailing suffix has the form _IOT where I codes the input type,
+ * O codes the output type, and T codes the tap type.
+ * I,O,T are elements of the set 's' (short), 'f' (float), 'c' (gr_complex), 'i' (int)
+ */
+
+class gr_fir_fsf {
+
+protected:
+  std::vector<float>   d_taps;         // reversed taps
+
+public:
+
+  // CONSTRUCTORS
+
+  /*!
+   * \brief construct new FIR with given taps.
+   *
+   * Note that taps must be in forward order, e.g., coefficient 0 is
+   * stored in new_taps[0], coefficient 1 is stored in
+   * new_taps[1], etc.
+   */
+  gr_fir_fsf () {}
+  gr_fir_fsf (const std::vector<float> &taps) : d_taps (gr_reverse(taps)) {}
+
+  virtual ~gr_fir_fsf ();
+
+  // MANIPULATORS
+
+  /*!
+   * \brief compute a single output value.
+   *
+   * \p input must have ntaps() valid entries.
+   * input[0] .. input[ntaps() - 1] are referenced to compute the output value.
+   *
+   * \returns the filtered input value.
+   */
+  virtual short filter (const float input[]) = 0;
+
+  /*!
+   * \brief compute an array of N output values.
+   *
+   * \p input must have (n - 1 + ntaps()) valid entries.
+   * input[0] .. input[n - 1 + ntaps() - 1] are referenced to compute the output values.
+   */
+  virtual void filterN (short output[], const float input[],
+                       unsigned long n) = 0;
+
+  /*!
+   * \brief compute an array of N output values, decimating the input
+   *
+   * \p input must have (decimate * (n - 1) + ntaps()) valid entries.
+   * input[0] .. input[decimate * (n - 1) + ntaps() - 1] are referenced to 
+   * compute the output values.
+   */
+  virtual void filterNdec (short output[], const float input[],
+                          unsigned long n, unsigned decimate) = 0;
+
+  /*!
+   * \brief install \p new_taps as the current taps.
+   */
+  virtual void set_taps (const std::vector<float> &taps)
+  {
+    d_taps = gr_reverse(taps);
+  }
+
+  // ACCESSORS
+
+  /*!
+   * \return number of taps in filter.
+   */
+  unsigned ntaps () const { return d_taps.size (); }
+
+  /*!
+   * \return current taps
+   */
+  virtual const std::vector<float> get_taps () const
+  {
+    return gr_reverse(d_taps);
+  }
+};
+
+#endif /* INCLUDED_GR_FIR_FSF_H */
diff --git a/gnuradio-core/src/lib/filter/gr_fir_fsf_generic.cc b/gnuradio-core/src/lib/filter/gr_fir_fsf_generic.cc
new file mode 100644 (file)
index 0000000..e8f7239
--- /dev/null
@@ -0,0 +1,103 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2002 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <gr_fir_fsf_generic.h>
+
+#if (4 == 4)
+
+short
+gr_fir_fsf_generic::filter (const float input[])
+{
+  static const int N_UNROLL = 4;
+
+  float        acc0 = 0;
+  float        acc1 = 0;
+  float        acc2 = 0;
+  float        acc3 = 0;
+
+
+  unsigned     i = 0;
+  unsigned     n = (ntaps () / N_UNROLL) * N_UNROLL;
+
+  for (i = 0; i < n; i += N_UNROLL){
+    acc0 += d_taps[i + 0] *  input[i + 0];
+    acc1 += d_taps[i + 1] *  input[i + 1];
+    acc2 += d_taps[i + 2] *  input[i + 2];
+    acc3 += d_taps[i + 3] *  input[i + 3];
+  }
+
+  for (; i < ntaps (); i++)
+    acc0 += d_taps[i] *  input[i];
+
+  return (short) (acc0 + acc1 + acc2 + acc3);
+}
+
+#else 
+
+short
+gr_fir_fsf_generic::filter (const float input[])
+{
+  static const int N_UNROLL = 2;
+
+  float        acc0 = 0;
+  float        acc1 = 0;
+
+  unsigned     i = 0;
+  unsigned     n = (ntaps () / N_UNROLL) * N_UNROLL;
+
+  for (i = 0; i < n; i += N_UNROLL){
+    acc0 += d_taps[i + 0] *  input[i + 0];
+    acc1 += d_taps[i + 1] *  input[i + 1];
+  }
+
+  for (; i < ntaps (); i++)
+    acc0 += d_taps[i] *  input[i];
+
+  return (short) (acc0 + acc1);
+}
+
+#endif // N_UNROLL
+
+void 
+gr_fir_fsf_generic::filterN (short output[],
+                            const float input[],
+                            unsigned long n)
+{
+  for (unsigned i = 0; i < n; i++)
+    output[i] = filter (&input[i]);
+}
+
+void 
+gr_fir_fsf_generic::filterNdec (short output[],
+                               const float input[],
+                               unsigned long n,
+                               unsigned decimate)
+{
+  unsigned j = 0;
+  for (unsigned i = 0; i < n; i++){
+    output[i] = filter (&input[j]);
+    j += decimate;
+  }
+}
diff --git a/gnuradio-core/src/lib/filter/gr_fir_fsf_generic.h b/gnuradio-core/src/lib/filter/gr_fir_fsf_generic.h
new file mode 100644 (file)
index 0000000..318c7d9
--- /dev/null
@@ -0,0 +1,77 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2002 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+#ifndef INCLUDED_GR_FIR_FSF_GENERIC_H
+#define INCLUDED_GR_FIR_FSF_GENERIC_H
+
+#include <gr_fir_fsf.h>
+
+/*!
+ * \brief Concrete class for generic implementation of FIR with float input, short output and float taps
+ *
+ * The trailing suffix has the form _IOT where I codes the input type,
+ * O codes the output type, and T codes the tap type.
+ * I,O,T are elements of the set 's' (short), 'f' (float), 'c' (gr_complex), 'i' (int)
+ */
+
+class gr_fir_fsf_generic : public gr_fir_fsf {
+
+public:
+
+  // CREATORS
+  
+  gr_fir_fsf_generic () {}
+  gr_fir_fsf_generic (const std::vector<float> &taps) : gr_fir_fsf (taps) {}
+
+  // MANIPULATORS
+
+  /*!
+   * \brief compute a single output value.
+   *
+   * \p input must have ntaps() valid entries.
+   * input[0] .. input[ntaps() - 1] are referenced to compute the output value.
+   *
+   * \returns the filtered input value.
+   */
+  virtual short filter (const float input[]);
+
+  /*!
+   * \brief compute an array of N output values.
+   *
+   * \p input must have (n - 1 + ntaps()) valid entries.
+   * input[0] .. input[n - 1 + ntaps() - 1] are referenced to compute the output values.
+   */
+  virtual void filterN (short output[], const float input[],
+                       unsigned long n);
+
+  /*!
+   * \brief compute an array of N output values, decimating the input
+   *
+   * \p input must have (decimate * (n - 1) + ntaps()) valid entries.
+   * input[0] .. input[decimate * (n - 1) + ntaps() - 1] are referenced to 
+   * compute the output values.
+   */
+  virtual void filterNdec (short output[], const float input[],
+                          unsigned long n, unsigned decimate);
+
+};
+
+#endif /* INCLUDED_GR_FIR_FSF_GENERIC_H */
diff --git a/gnuradio-core/src/lib/filter/gr_fir_scc.cc b/gnuradio-core/src/lib/filter/gr_fir_scc.cc
new file mode 100644 (file)
index 0000000..5e0342a
--- /dev/null
@@ -0,0 +1,30 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2002 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <gr_fir_scc.h>
+
+gr_fir_scc::~gr_fir_scc ()
+{
+}
diff --git a/gnuradio-core/src/lib/filter/gr_fir_scc.h b/gnuradio-core/src/lib/filter/gr_fir_scc.h
new file mode 100644 (file)
index 0000000..37f0305
--- /dev/null
@@ -0,0 +1,123 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2002,2003 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by generate_gr_fir_XXX.py
+ * Any changes made to this file will be overwritten.
+ */
+
+
+#ifndef INCLUDED_GR_FIR_SCC_H
+#define INCLUDED_GR_FIR_SCC_H
+
+#include <vector>
+#include <gr_types.h>
+#include <gr_reverse.h>
+
+/*!
+ * \brief Abstract class for FIR with short input, gr_complex output and gr_complex taps
+ * \ingroup filter_primitive
+ * 
+ * This is the abstract class for a Finite Impulse Response filter.
+ *
+ * The trailing suffix has the form _IOT where I codes the input type,
+ * O codes the output type, and T codes the tap type.
+ * I,O,T are elements of the set 's' (short), 'f' (float), 'c' (gr_complex), 'i' (int)
+ */
+
+class gr_fir_scc {
+
+protected:
+  std::vector<gr_complex>      d_taps;         // reversed taps
+
+public:
+
+  // CONSTRUCTORS
+
+  /*!
+   * \brief construct new FIR with given taps.
+   *
+   * Note that taps must be in forward order, e.g., coefficient 0 is
+   * stored in new_taps[0], coefficient 1 is stored in
+   * new_taps[1], etc.
+   */
+  gr_fir_scc () {}
+  gr_fir_scc (const std::vector<gr_complex> &taps) : d_taps (gr_reverse(taps)) {}
+
+  virtual ~gr_fir_scc ();
+
+  // MANIPULATORS
+
+  /*!
+   * \brief compute a single output value.
+   *
+   * \p input must have ntaps() valid entries.
+   * input[0] .. input[ntaps() - 1] are referenced to compute the output value.
+   *
+   * \returns the filtered input value.
+   */
+  virtual gr_complex filter (const short input[]) = 0;
+
+  /*!
+   * \brief compute an array of N output values.
+   *
+   * \p input must have (n - 1 + ntaps()) valid entries.
+   * input[0] .. input[n - 1 + ntaps() - 1] are referenced to compute the output values.
+   */
+  virtual void filterN (gr_complex output[], const short input[],
+                       unsigned long n) = 0;
+
+  /*!
+   * \brief compute an array of N output values, decimating the input
+   *
+   * \p input must have (decimate * (n - 1) + ntaps()) valid entries.
+   * input[0] .. input[decimate * (n - 1) + ntaps() - 1] are referenced to 
+   * compute the output values.
+   */
+  virtual void filterNdec (gr_complex output[], const short input[],
+                          unsigned long n, unsigned decimate) = 0;
+
+  /*!
+   * \brief install \p new_taps as the current taps.
+   */
+  virtual void set_taps (const std::vector<gr_complex> &taps)
+  {
+    d_taps = gr_reverse(taps);
+  }
+
+  // ACCESSORS
+
+  /*!
+   * \return number of taps in filter.
+   */
+  unsigned ntaps () const { return d_taps.size (); }
+
+  /*!
+   * \return current taps
+   */
+  virtual const std::vector<gr_complex> get_taps () const
+  {
+    return gr_reverse(d_taps);
+  }
+};
+
+#endif /* INCLUDED_GR_FIR_SCC_H */
diff --git a/gnuradio-core/src/lib/filter/gr_fir_scc_generic.cc b/gnuradio-core/src/lib/filter/gr_fir_scc_generic.cc
new file mode 100644 (file)
index 0000000..8a2e5ad
--- /dev/null
@@ -0,0 +1,103 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2002 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <gr_fir_scc_generic.h>
+
+#if (2 == 4)
+
+gr_complex
+gr_fir_scc_generic::filter (const short input[])
+{
+  static const int N_UNROLL = 4;
+
+  gr_complex   acc0 = 0;
+  gr_complex   acc1 = 0;
+  gr_complex   acc2 = 0;
+  gr_complex   acc3 = 0;
+
+
+  unsigned     i = 0;
+  unsigned     n = (ntaps () / N_UNROLL) * N_UNROLL;
+
+  for (i = 0; i < n; i += N_UNROLL){
+    acc0 += d_taps[i + 0] * (float) input[i + 0];
+    acc1 += d_taps[i + 1] * (float) input[i + 1];
+    acc2 += d_taps[i + 2] * (float) input[i + 2];
+    acc3 += d_taps[i + 3] * (float) input[i + 3];
+  }
+
+  for (; i < ntaps (); i++)
+    acc0 += d_taps[i] * (float) input[i];
+
+  return (gr_complex) (acc0 + acc1 + acc2 + acc3);
+}
+
+#else 
+
+gr_complex
+gr_fir_scc_generic::filter (const short input[])
+{
+  static const int N_UNROLL = 2;
+
+  gr_complex   acc0 = 0;
+  gr_complex   acc1 = 0;
+
+  unsigned     i = 0;
+  unsigned     n = (ntaps () / N_UNROLL) * N_UNROLL;
+
+  for (i = 0; i < n; i += N_UNROLL){
+    acc0 += d_taps[i + 0] * (float) input[i + 0];
+    acc1 += d_taps[i + 1] * (float) input[i + 1];
+  }
+
+  for (; i < ntaps (); i++)
+    acc0 += d_taps[i] * (float) input[i];
+
+  return (gr_complex) (acc0 + acc1);
+}
+
+#endif // N_UNROLL
+
+void 
+gr_fir_scc_generic::filterN (gr_complex output[],
+                            const short input[],
+                            unsigned long n)
+{
+  for (unsigned i = 0; i < n; i++)
+    output[i] = filter (&input[i]);
+}
+
+void 
+gr_fir_scc_generic::filterNdec (gr_complex output[],
+                               const short input[],
+                               unsigned long n,
+                               unsigned decimate)
+{
+  unsigned j = 0;
+  for (unsigned i = 0; i < n; i++){
+    output[i] = filter (&input[j]);
+    j += decimate;
+  }
+}
diff --git a/gnuradio-core/src/lib/filter/gr_fir_scc_generic.h b/gnuradio-core/src/lib/filter/gr_fir_scc_generic.h
new file mode 100644 (file)
index 0000000..650c15e
--- /dev/null
@@ -0,0 +1,77 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2002 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+#ifndef INCLUDED_GR_FIR_SCC_GENERIC_H
+#define INCLUDED_GR_FIR_SCC_GENERIC_H
+
+#include <gr_fir_scc.h>
+
+/*!
+ * \brief Concrete class for generic implementation of FIR with short input, gr_complex output and gr_complex taps
+ *
+ * The trailing suffix has the form _IOT where I codes the input type,
+ * O codes the output type, and T codes the tap type.
+ * I,O,T are elements of the set 's' (short), 'f' (float), 'c' (gr_complex), 'i' (int)
+ */
+
+class gr_fir_scc_generic : public gr_fir_scc {
+
+public:
+
+  // CREATORS
+  
+  gr_fir_scc_generic () {}
+  gr_fir_scc_generic (const std::vector<gr_complex> &taps) : gr_fir_scc (taps) {}
+
+  // MANIPULATORS
+
+  /*!
+   * \brief compute a single output value.
+   *
+   * \p input must have ntaps() valid entries.
+   * input[0] .. input[ntaps() - 1] are referenced to compute the output value.
+   *
+   * \returns the filtered input value.
+   */
+  virtual gr_complex filter (const short input[]);
+
+  /*!
+   * \brief compute an array of N output values.
+   *
+   * \p input must have (n - 1 + ntaps()) valid entries.
+   * input[0] .. input[n - 1 + ntaps() - 1] are referenced to compute the output values.
+   */
+  virtual void filterN (gr_complex output[], const short input[],
+                       unsigned long n);
+
+  /*!
+   * \brief compute an array of N output values, decimating the input
+   *
+   * \p input must have (decimate * (n - 1) + ntaps()) valid entries.
+   * input[0] .. input[decimate * (n - 1) + ntaps() - 1] are referenced to 
+   * compute the output values.
+   */
+  virtual void filterNdec (gr_complex output[], const short input[],
+                          unsigned long n, unsigned decimate);
+
+};
+
+#endif /* INCLUDED_GR_FIR_SCC_GENERIC_H */
diff --git a/gnuradio-core/src/lib/filter/gr_fir_sysconfig.cc b/gnuradio-core/src/lib/filter/gr_fir_sysconfig.cc
new file mode 100644 (file)
index 0000000..947db4e
--- /dev/null
@@ -0,0 +1,35 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2003,2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by generate_gr_fir_sysconfig.py
+ * Any changes made to this file will be overwritten.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <gr_fir_sysconfig.h>
+
+gr_fir_sysconfig::~gr_fir_sysconfig ()
+{
+}
diff --git a/gnuradio-core/src/lib/filter/gr_fir_sysconfig.h b/gnuradio-core/src/lib/filter/gr_fir_sysconfig.h
new file mode 100644 (file)
index 0000000..b988e49
--- /dev/null
@@ -0,0 +1,71 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2003,2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by generate_gr_fir_sysconfig.py
+ * Any changes made to this file will be overwritten.
+ */
+
+#ifndef INCLUDED_GR_FIR_SYSCONFIG_H
+#define INCLUDED_GR_FIR_SYSCONFIG_H
+
+#include <gr_types.h>
+
+#include <gr_fir_util.h>
+
+/*!
+ * \brief abstract base class for configuring the automatic selection of the
+ * fastest gr_fir for your platform.
+ *
+ * This is used internally by gr_fir_util.
+ */
+
+class gr_fir_sysconfig {
+public:
+  virtual ~gr_fir_sysconfig ();
+
+  virtual gr_fir_ccf *create_gr_fir_ccf (const std::vector<float> &taps) = 0;
+  virtual gr_fir_fcc *create_gr_fir_fcc (const std::vector<gr_complex> &taps) = 0;
+  virtual gr_fir_ccc *create_gr_fir_ccc (const std::vector<gr_complex> &taps) = 0;
+  virtual gr_fir_fff *create_gr_fir_fff (const std::vector<float> &taps) = 0;
+  virtual gr_fir_scc *create_gr_fir_scc (const std::vector<gr_complex> &taps) = 0;
+  virtual gr_fir_fsf *create_gr_fir_fsf (const std::vector<float> &taps) = 0;
+
+  virtual void get_gr_fir_ccf_info (std::vector<gr_fir_ccf_info> *info) = 0;
+  virtual void get_gr_fir_fcc_info (std::vector<gr_fir_fcc_info> *info) = 0;
+  virtual void get_gr_fir_ccc_info (std::vector<gr_fir_ccc_info> *info) = 0;
+  virtual void get_gr_fir_fff_info (std::vector<gr_fir_fff_info> *info) = 0;
+  virtual void get_gr_fir_scc_info (std::vector<gr_fir_scc_info> *info) = 0;
+  virtual void get_gr_fir_fsf_info (std::vector<gr_fir_fsf_info> *info) = 0;
+
+};
+
+/*
+ * This returns the single instance of the appropriate derived class.
+ * This function must be defined only once in the system, and should be defined 
+ * in the platform specific code.
+ */
+
+gr_fir_sysconfig *gr_fir_sysconfig_singleton ();
+
+
+#endif /* INCLUDED_GR_FIR_SYSCONFIG_H */
diff --git a/gnuradio-core/src/lib/filter/gr_fir_sysconfig_generic.cc b/gnuradio-core/src/lib/filter/gr_fir_sysconfig_generic.cc
new file mode 100644 (file)
index 0000000..f5ba6d4
--- /dev/null
@@ -0,0 +1,180 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2003,2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by
+ * generate_gr_fir_sysconfig_generic.py.
+ *
+ * Any changes made to this file will be overwritten.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <gr_fir_sysconfig_generic.h>
+
+#include <gr_fir_ccf_generic.h>
+#include <gr_fir_fcc_generic.h>
+#include <gr_fir_ccc_generic.h>
+#include <gr_fir_fff_generic.h>
+#include <gr_fir_scc_generic.h>
+#include <gr_fir_fsf_generic.h>
+
+/*
+ * ----------------------------------------------------------------
+ * static functions that serve as constructors returned by info
+ * ----------------------------------------------------------------
+ */
+
+static gr_fir_ccf *
+make_gr_fir_ccf (const std::vector<float> &taps)
+{
+  return new gr_fir_ccf_generic (taps);
+}
+
+static gr_fir_fcc *
+make_gr_fir_fcc (const std::vector<gr_complex> &taps)
+{
+  return new gr_fir_fcc_generic (taps);
+}
+
+static gr_fir_ccc *
+make_gr_fir_ccc (const std::vector<gr_complex> &taps)
+{
+  return new gr_fir_ccc_generic (taps);
+}
+
+static gr_fir_fff *
+make_gr_fir_fff (const std::vector<float> &taps)
+{
+  return new gr_fir_fff_generic (taps);
+}
+
+static gr_fir_scc *
+make_gr_fir_scc (const std::vector<gr_complex> &taps)
+{
+  return new gr_fir_scc_generic (taps);
+}
+
+static gr_fir_fsf *
+make_gr_fir_fsf (const std::vector<float> &taps)
+{
+  return new gr_fir_fsf_generic (taps);
+}
+
+/*
+ * ----------------------------------------------------------------
+ * return instances of the generic C++ versions of these classes.
+ * ----------------------------------------------------------------
+ */
+
+gr_fir_ccf *
+gr_fir_sysconfig_generic::create_gr_fir_ccf (const std::vector<float> &taps)
+{
+  return make_gr_fir_ccf (taps);
+}
+
+gr_fir_fcc *
+gr_fir_sysconfig_generic::create_gr_fir_fcc (const std::vector<gr_complex> &taps)
+{
+  return make_gr_fir_fcc (taps);
+}
+
+gr_fir_ccc *
+gr_fir_sysconfig_generic::create_gr_fir_ccc (const std::vector<gr_complex> &taps)
+{
+  return make_gr_fir_ccc (taps);
+}
+
+gr_fir_fff *
+gr_fir_sysconfig_generic::create_gr_fir_fff (const std::vector<float> &taps)
+{
+  return make_gr_fir_fff (taps);
+}
+
+gr_fir_scc *
+gr_fir_sysconfig_generic::create_gr_fir_scc (const std::vector<gr_complex> &taps)
+{
+  return make_gr_fir_scc (taps);
+}
+
+gr_fir_fsf *
+gr_fir_sysconfig_generic::create_gr_fir_fsf (const std::vector<float> &taps)
+{
+  return make_gr_fir_fsf (taps);
+}
+
+/*
+ * Return info about available implementations.
+ *
+ * This is the bottom of the concrete hierarchy, so we set the
+ * size of the vector to 1, and install our info.  Classes derived
+ * from us invoke us first, then append their own info.
+ */
+
+void
+gr_fir_sysconfig_generic::get_gr_fir_ccf_info (std::vector<gr_fir_ccf_info> *info)
+{
+  info->resize (1);
+  (*info)[0].name = "generic";
+  (*info)[0].create = make_gr_fir_ccf;
+}
+
+void
+gr_fir_sysconfig_generic::get_gr_fir_fcc_info (std::vector<gr_fir_fcc_info> *info)
+{
+  info->resize (1);
+  (*info)[0].name = "generic";
+  (*info)[0].create = make_gr_fir_fcc;
+}
+
+void
+gr_fir_sysconfig_generic::get_gr_fir_ccc_info (std::vector<gr_fir_ccc_info> *info)
+{
+  info->resize (1);
+  (*info)[0].name = "generic";
+  (*info)[0].create = make_gr_fir_ccc;
+}
+
+void
+gr_fir_sysconfig_generic::get_gr_fir_fff_info (std::vector<gr_fir_fff_info> *info)
+{
+  info->resize (1);
+  (*info)[0].name = "generic";
+  (*info)[0].create = make_gr_fir_fff;
+}
+
+void
+gr_fir_sysconfig_generic::get_gr_fir_scc_info (std::vector<gr_fir_scc_info> *info)
+{
+  info->resize (1);
+  (*info)[0].name = "generic";
+  (*info)[0].create = make_gr_fir_scc;
+}
+
+void
+gr_fir_sysconfig_generic::get_gr_fir_fsf_info (std::vector<gr_fir_fsf_info> *info)
+{
+  info->resize (1);
+  (*info)[0].name = "generic";
+  (*info)[0].create = make_gr_fir_fsf;
+}
diff --git a/gnuradio-core/src/lib/filter/gr_fir_sysconfig_generic.h b/gnuradio-core/src/lib/filter/gr_fir_sysconfig_generic.h
new file mode 100644 (file)
index 0000000..747c8c0
--- /dev/null
@@ -0,0 +1,55 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2003,2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by
+ * generate_gr_fir_sysconfig_generic.py.
+ *
+ * Any changes made to this file will be overwritten.
+ */
+
+#ifndef _GR_FIR_SYSCONFIG_GENERIC_H_
+#define _GR_FIR_SYSCONFIG_GENERIC_H_
+
+#include <gr_fir_sysconfig.h>
+
+
+class gr_fir_sysconfig_generic : public gr_fir_sysconfig {
+public:
+  virtual gr_fir_ccf *create_gr_fir_ccf (const std::vector<float> &taps);
+  virtual gr_fir_fcc *create_gr_fir_fcc (const std::vector<gr_complex> &taps);
+  virtual gr_fir_ccc *create_gr_fir_ccc (const std::vector<gr_complex> &taps);
+  virtual gr_fir_fff *create_gr_fir_fff (const std::vector<float> &taps);
+  virtual gr_fir_scc *create_gr_fir_scc (const std::vector<gr_complex> &taps);
+  virtual gr_fir_fsf *create_gr_fir_fsf (const std::vector<float> &taps);
+
+  virtual void get_gr_fir_ccf_info (std::vector<gr_fir_ccf_info> *info);
+  virtual void get_gr_fir_fcc_info (std::vector<gr_fir_fcc_info> *info);
+  virtual void get_gr_fir_ccc_info (std::vector<gr_fir_ccc_info> *info);
+  virtual void get_gr_fir_fff_info (std::vector<gr_fir_fff_info> *info);
+  virtual void get_gr_fir_scc_info (std::vector<gr_fir_scc_info> *info);
+  virtual void get_gr_fir_fsf_info (std::vector<gr_fir_fsf_info> *info);
+
+};
+
+
+#endif /* _GR_FIR_SYSCONFIG_GENERIC_H_ */
diff --git a/gnuradio-core/src/lib/filter/gr_fir_util.cc b/gnuradio-core/src/lib/filter/gr_fir_util.cc
new file mode 100644 (file)
index 0000000..747f56e
--- /dev/null
@@ -0,0 +1,111 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2003,2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <gr_fir_util.h>
+#include <gr_fir_sysconfig.h>
+
+//
+// There's no problem that can't be solved by the addition of
+// another layer of indirection...
+//
+
+// --- constructors ---
+
+
+gr_fir_ccf *
+gr_fir_util::create_gr_fir_ccf (const std::vector<float> &taps)
+{
+  return gr_fir_sysconfig_singleton()->create_gr_fir_ccf (taps);
+}
+
+gr_fir_fcc *
+gr_fir_util::create_gr_fir_fcc (const std::vector<gr_complex> &taps)
+{
+  return gr_fir_sysconfig_singleton()->create_gr_fir_fcc (taps);
+}
+
+gr_fir_ccc *
+gr_fir_util::create_gr_fir_ccc (const std::vector<gr_complex> &taps)
+{
+  return gr_fir_sysconfig_singleton()->create_gr_fir_ccc (taps);
+}
+
+gr_fir_fff *
+gr_fir_util::create_gr_fir_fff (const std::vector<float> &taps)
+{
+  return gr_fir_sysconfig_singleton()->create_gr_fir_fff (taps);
+}
+
+gr_fir_scc *
+gr_fir_util::create_gr_fir_scc (const std::vector<gr_complex> &taps)
+{
+  return gr_fir_sysconfig_singleton()->create_gr_fir_scc (taps);
+}
+
+gr_fir_fsf *
+gr_fir_util::create_gr_fir_fsf (const std::vector<float> &taps)
+{
+  return gr_fir_sysconfig_singleton()->create_gr_fir_fsf (taps);
+}
+
+// --- info gatherers ---
+
+
+void
+gr_fir_util::get_gr_fir_ccf_info (std::vector<gr_fir_ccf_info> *info)
+{
+  gr_fir_sysconfig_singleton()->get_gr_fir_ccf_info (info);
+}
+
+void
+gr_fir_util::get_gr_fir_fcc_info (std::vector<gr_fir_fcc_info> *info)
+{
+  gr_fir_sysconfig_singleton()->get_gr_fir_fcc_info (info);
+}
+
+void
+gr_fir_util::get_gr_fir_ccc_info (std::vector<gr_fir_ccc_info> *info)
+{
+  gr_fir_sysconfig_singleton()->get_gr_fir_ccc_info (info);
+}
+
+void
+gr_fir_util::get_gr_fir_fff_info (std::vector<gr_fir_fff_info> *info)
+{
+  gr_fir_sysconfig_singleton()->get_gr_fir_fff_info (info);
+}
+
+void
+gr_fir_util::get_gr_fir_scc_info (std::vector<gr_fir_scc_info> *info)
+{
+  gr_fir_sysconfig_singleton()->get_gr_fir_scc_info (info);
+}
+
+void
+gr_fir_util::get_gr_fir_fsf_info (std::vector<gr_fir_fsf_info> *info)
+{
+  gr_fir_sysconfig_singleton()->get_gr_fir_fsf_info (info);
+}
diff --git a/gnuradio-core/src/lib/filter/gr_fir_util.h b/gnuradio-core/src/lib/filter/gr_fir_util.h
new file mode 100644 (file)
index 0000000..2db98bf
--- /dev/null
@@ -0,0 +1,117 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2003,2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by
+ * generate_gr_fir_util.py.
+ *
+ * Any changes made to this file will be overwritten.
+ */
+
+#ifndef INCLUDED_GR_FIR_UTIL_H
+#define INCLUDED_GR_FIR_UTIL_H
+
+/*!
+ * \brief routines to create gr_fir_XXX's
+ *
+ * This class handles selecting the fastest version of the finite
+ * implulse response filter available for your platform.  This
+ * interface should be used by the rest of the system for creating
+ * gr_fir_XXX's.
+ *
+ * The trailing suffix has the form _IOT where I codes the input type,
+ * O codes the output type, and T codes the tap type.
+ * I,O,T are elements of the set 's' (short), 'f' (float), 'c' (gr_complex), 
+ * 'i' (short)
+ */
+
+#include <gr_types.h>
+
+class gr_fir_ccf;
+class gr_fir_fcc;
+class gr_fir_ccc;
+class gr_fir_fff;
+class gr_fir_scc;
+class gr_fir_fsf;
+
+// structures returned by get_gr_fir_XXX_info methods
+
+
+struct gr_fir_ccf_info {
+  const char    *name;             // implementation name, e.g., "generic", "SSE", "3DNow!"
+  gr_fir_ccf   *(*create)(const std::vector<float> &taps);
+};
+
+struct gr_fir_fcc_info {
+  const char    *name;             // implementation name, e.g., "generic", "SSE", "3DNow!"
+  gr_fir_fcc   *(*create)(const std::vector<gr_complex> &taps);
+};
+
+struct gr_fir_ccc_info {
+  const char    *name;             // implementation name, e.g., "generic", "SSE", "3DNow!"
+  gr_fir_ccc   *(*create)(const std::vector<gr_complex> &taps);
+};
+
+struct gr_fir_fff_info {
+  const char    *name;             // implementation name, e.g., "generic", "SSE", "3DNow!"
+  gr_fir_fff   *(*create)(const std::vector<float> &taps);
+};
+
+struct gr_fir_scc_info {
+  const char    *name;             // implementation name, e.g., "generic", "SSE", "3DNow!"
+  gr_fir_scc   *(*create)(const std::vector<gr_complex> &taps);
+};
+
+struct gr_fir_fsf_info {
+  const char    *name;             // implementation name, e.g., "generic", "SSE", "3DNow!"
+  gr_fir_fsf   *(*create)(const std::vector<float> &taps);
+};
+
+struct gr_fir_util {
+
+  // create a fast version of gr_fir_XXX.
+
+  static gr_fir_ccf *create_gr_fir_ccf (const std::vector<float> &taps);
+  static gr_fir_fcc *create_gr_fir_fcc (const std::vector<gr_complex> &taps);
+  static gr_fir_ccc *create_gr_fir_ccc (const std::vector<gr_complex> &taps);
+  static gr_fir_fff *create_gr_fir_fff (const std::vector<float> &taps);
+  static gr_fir_scc *create_gr_fir_scc (const std::vector<gr_complex> &taps);
+  static gr_fir_fsf *create_gr_fir_fsf (const std::vector<float> &taps);
+
+  // Get information about all gr_fir_XXX implementations.
+  // This is useful for benchmarking, testing, etc without having to
+  // know a priori what's linked into this image
+  //
+  // The caller must pass in a valid pointer to a vector.
+  // The vector will be filled with structs describing the
+  // available implementations.
+
+  static void get_gr_fir_ccf_info (std::vector<gr_fir_ccf_info> *info);
+  static void get_gr_fir_fcc_info (std::vector<gr_fir_fcc_info> *info);
+  static void get_gr_fir_ccc_info (std::vector<gr_fir_ccc_info> *info);
+  static void get_gr_fir_fff_info (std::vector<gr_fir_fff_info> *info);
+  static void get_gr_fir_scc_info (std::vector<gr_fir_scc_info> *info);
+  static void get_gr_fir_fsf_info (std::vector<gr_fir_fsf_info> *info);
+
+};
+
+#endif /* INCLUDED_GR_FIR_UTIL_H */
diff --git a/gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_ccc.cc b/gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_ccc.cc
new file mode 100644 (file)
index 0000000..a27bc1e
--- /dev/null
@@ -0,0 +1,123 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2003 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by
+ * generate_gr_freq_xlating_fir_filter_XXX.py 
+ * Any changes made to this file will be overwritten.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_freq_xlating_fir_filter_ccc.h>
+#include <gr_fir_ccc.h>
+#include <gr_fir_util.h>
+#include <gr_io_signature.h>
+
+gr_freq_xlating_fir_filter_ccc_sptr 
+gr_make_freq_xlating_fir_filter_ccc (int decimation, const std::vector<gr_complex> &taps,
+                    double center_freq, double sampling_freq)
+{
+  return gr_freq_xlating_fir_filter_ccc_sptr (new gr_freq_xlating_fir_filter_ccc (decimation, taps, center_freq, sampling_freq));
+}
+
+
+gr_freq_xlating_fir_filter_ccc::gr_freq_xlating_fir_filter_ccc (
+
+       int decimation,
+       const std::vector<gr_complex> &taps,
+       double center_freq,
+       double sampling_freq)
+
+  : gr_sync_decimator ("freq_xlating_fir_filter_ccc",
+                      gr_make_io_signature (1, 1, sizeof (gr_complex)),
+                      gr_make_io_signature (1, 1, sizeof (gr_complex)),
+                      decimation),
+    d_proto_taps (taps), d_center_freq (center_freq), d_sampling_freq (sampling_freq),
+    d_updated (false)
+{
+  std::vector<gr_complex>      dummy_taps;
+  d_composite_fir = gr_fir_util::create_gr_fir_ccc (dummy_taps);
+
+  set_history (d_proto_taps.size ());
+  build_composite_fir ();
+}
+
+gr_freq_xlating_fir_filter_ccc::~gr_freq_xlating_fir_filter_ccc ()
+{
+  delete d_composite_fir;
+}
+
+void
+gr_freq_xlating_fir_filter_ccc::build_composite_fir ()
+{
+  std::vector<gr_complex> ctaps (d_proto_taps.size ());
+
+  float fwT0 = 2 * M_PI * d_center_freq / d_sampling_freq;
+  for (unsigned int i = 0; i < d_proto_taps.size (); i++)
+    ctaps[i] = d_proto_taps[i] * exp (gr_complex (0, i * fwT0));
+
+  d_composite_fir->set_taps (gr_reverse(ctaps));
+  d_r.set_phase_incr (exp (gr_complex (0, fwT0 * decimation ())));
+}
+
+void
+gr_freq_xlating_fir_filter_ccc::set_center_freq (double center_freq)
+{
+  d_center_freq = center_freq;
+  d_updated = true;
+}
+
+void
+gr_freq_xlating_fir_filter_ccc::set_taps (const std::vector<gr_complex> &taps)
+{
+  d_proto_taps = taps;
+  d_updated = true;
+}
+
+int
+gr_freq_xlating_fir_filter_ccc::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  gr_complex     *in  = (gr_complex *) input_items[0];
+  gr_complex     *out = (gr_complex *) output_items[0];
+
+  // rebuild composite FIR if the center freq has changed
+
+  if (d_updated){
+    set_history (d_proto_taps.size ());
+    build_composite_fir ();
+    d_updated = false;
+    return 0;               // history requirements may have changed.
+  }
+
+  unsigned j = 0;
+  for (int i = 0; i < noutput_items; i++){
+    out[i] = d_r.rotate (d_composite_fir->filter (&in[j]));
+    j += decimation ();
+  }
+  
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_ccc.h b/gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_ccc.h
new file mode 100644 (file)
index 0000000..706d946
--- /dev/null
@@ -0,0 +1,100 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2002,2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by
+ * generate_gr_freq_xlating_fir_filter_XXX.py  Any changes made to this file
+ * will be overwritten.
+ */
+
+#ifndef INCLUDED_GR_FREQ_XLATING_FIR_FILTER_CCC_H
+#define        INCLUDED_GR_FREQ_XLATING_FIR_FILTER_CCC_H
+
+#include <gr_sync_decimator.h>
+#include <gr_rotator.h>
+
+class gr_freq_xlating_fir_filter_ccc;
+typedef boost::shared_ptr<gr_freq_xlating_fir_filter_ccc> gr_freq_xlating_fir_filter_ccc_sptr;
+
+/*!
+ * Construct a FIR filter with the given taps and a composite frequency
+ * translation that shifts center_freq down to zero Hz.  The frequency 
+ * translation logically comes before the filtering operation.
+ */
+gr_freq_xlating_fir_filter_ccc_sptr 
+gr_make_freq_xlating_fir_filter_ccc (int decimation, const std::vector<gr_complex> &taps,
+                    double center_freq, double sampling_freq);
+
+
+class gr_fir_ccc;
+
+/*!
+ * \brief FIR filter combined with frequency translation with gr_complex input, gr_complex output and gr_complex taps
+ * \ingroup filter_blk
+ *
+ * This class efficiently combines a frequency translation
+ * (typically "down conversion") with a FIR filter (typically low-pass)
+ * and decimation.  It is ideally suited for a "channel selection filter"
+ * and can be efficiently used to select and decimate a narrow band signal
+ * out of wide bandwidth input.
+ *
+ * Uses a single input array to produce a single output array.
+ * Additional inputs and/or outputs are ignored.
+ */
+class gr_freq_xlating_fir_filter_ccc : public gr_sync_decimator
+{
+ public:
+  virtual ~gr_freq_xlating_fir_filter_ccc ();
+
+  void set_center_freq (double center_freq);
+  void set_taps (const std::vector<gr_complex> &taps);
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+
+ private:
+  friend gr_freq_xlating_fir_filter_ccc_sptr 
+  gr_make_freq_xlating_fir_filter_ccc (int decimation, const std::vector<gr_complex> &taps,
+                      double center_freq, double sampling_freq);
+
+ protected:
+  std::vector<gr_complex>      d_proto_taps;
+  gr_fir_ccc                  *d_composite_fir;
+  gr_rotator                   d_r;
+  double                       d_center_freq;
+  double                       d_sampling_freq;
+  bool                         d_updated;
+
+  virtual void build_composite_fir ();
+
+  /*!
+   * Construct a FIR filter with the given taps and a composite frequency
+   * translation that shifts center_freq down to zero Hz.  The frequency 
+   * translation logically comes before the filtering operation.
+   */
+  gr_freq_xlating_fir_filter_ccc (int decimation,
+                         const std::vector<gr_complex> &taps,
+                         double center_freq, double sampling_freq);
+};
+
+#endif /* _gr_freq_xlating_fir_filter_ccc_H_ */
diff --git a/gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_ccc.i b/gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_ccc.i
new file mode 100644 (file)
index 0000000..0b981f5
--- /dev/null
@@ -0,0 +1,47 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2003,2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by
+ * generate_gr_freq_xlating_fir_filter_XXX.py
+ * Any changes made to this file will be overwritten.
+ */
+
+GR_SWIG_BLOCK_MAGIC(gr,freq_xlating_fir_filter_ccc)
+
+gr_freq_xlating_fir_filter_ccc_sptr 
+gr_make_freq_xlating_fir_filter_ccc (int decimation, const std::vector<gr_complex> &taps,
+                    double center_freq, double sampling_freq);
+
+
+class gr_freq_xlating_fir_filter_ccc : public gr_sync_decimator
+{
+ protected:
+  gr_freq_xlating_fir_filter_ccc (int decimation, const std::vector<gr_complex> &taps,
+         double center_freq, double sampling_freq);
+
+ public:
+  ~gr_freq_xlating_fir_filter_ccc ();
+
+  void set_center_freq (double center_freq);
+  void set_taps (const std::vector<gr_complex> &taps);
+};
diff --git a/gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_ccf.cc b/gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_ccf.cc
new file mode 100644 (file)
index 0000000..6f3d987
--- /dev/null
@@ -0,0 +1,123 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2003 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by
+ * generate_gr_freq_xlating_fir_filter_XXX.py 
+ * Any changes made to this file will be overwritten.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_freq_xlating_fir_filter_ccf.h>
+#include <gr_fir_ccc.h>
+#include <gr_fir_util.h>
+#include <gr_io_signature.h>
+
+gr_freq_xlating_fir_filter_ccf_sptr 
+gr_make_freq_xlating_fir_filter_ccf (int decimation, const std::vector<float> &taps,
+                    double center_freq, double sampling_freq)
+{
+  return gr_freq_xlating_fir_filter_ccf_sptr (new gr_freq_xlating_fir_filter_ccf (decimation, taps, center_freq, sampling_freq));
+}
+
+
+gr_freq_xlating_fir_filter_ccf::gr_freq_xlating_fir_filter_ccf (
+
+       int decimation,
+       const std::vector<float> &taps,
+       double center_freq,
+       double sampling_freq)
+
+  : gr_sync_decimator ("freq_xlating_fir_filter_ccf",
+                      gr_make_io_signature (1, 1, sizeof (gr_complex)),
+                      gr_make_io_signature (1, 1, sizeof (gr_complex)),
+                      decimation),
+    d_proto_taps (taps), d_center_freq (center_freq), d_sampling_freq (sampling_freq),
+    d_updated (false)
+{
+  std::vector<gr_complex>      dummy_taps;
+  d_composite_fir = gr_fir_util::create_gr_fir_ccc (dummy_taps);
+
+  set_history (d_proto_taps.size ());
+  build_composite_fir ();
+}
+
+gr_freq_xlating_fir_filter_ccf::~gr_freq_xlating_fir_filter_ccf ()
+{
+  delete d_composite_fir;
+}
+
+void
+gr_freq_xlating_fir_filter_ccf::build_composite_fir ()
+{
+  std::vector<gr_complex> ctaps (d_proto_taps.size ());
+
+  float fwT0 = 2 * M_PI * d_center_freq / d_sampling_freq;
+  for (unsigned int i = 0; i < d_proto_taps.size (); i++)
+    ctaps[i] = d_proto_taps[i] * exp (gr_complex (0, i * fwT0));
+
+  d_composite_fir->set_taps (gr_reverse(ctaps));
+  d_r.set_phase_incr (exp (gr_complex (0, fwT0 * decimation ())));
+}
+
+void
+gr_freq_xlating_fir_filter_ccf::set_center_freq (double center_freq)
+{
+  d_center_freq = center_freq;
+  d_updated = true;
+}
+
+void
+gr_freq_xlating_fir_filter_ccf::set_taps (const std::vector<float> &taps)
+{
+  d_proto_taps = taps;
+  d_updated = true;
+}
+
+int
+gr_freq_xlating_fir_filter_ccf::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  gr_complex     *in  = (gr_complex *) input_items[0];
+  gr_complex     *out = (gr_complex *) output_items[0];
+
+  // rebuild composite FIR if the center freq has changed
+
+  if (d_updated){
+    set_history (d_proto_taps.size ());
+    build_composite_fir ();
+    d_updated = false;
+    return 0;               // history requirements may have changed.
+  }
+
+  unsigned j = 0;
+  for (int i = 0; i < noutput_items; i++){
+    out[i] = d_r.rotate (d_composite_fir->filter (&in[j]));
+    j += decimation ();
+  }
+  
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_ccf.h b/gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_ccf.h
new file mode 100644 (file)
index 0000000..681d82b
--- /dev/null
@@ -0,0 +1,100 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2002,2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by
+ * generate_gr_freq_xlating_fir_filter_XXX.py  Any changes made to this file
+ * will be overwritten.
+ */
+
+#ifndef INCLUDED_GR_FREQ_XLATING_FIR_FILTER_CCF_H
+#define        INCLUDED_GR_FREQ_XLATING_FIR_FILTER_CCF_H
+
+#include <gr_sync_decimator.h>
+#include <gr_rotator.h>
+
+class gr_freq_xlating_fir_filter_ccf;
+typedef boost::shared_ptr<gr_freq_xlating_fir_filter_ccf> gr_freq_xlating_fir_filter_ccf_sptr;
+
+/*!
+ * Construct a FIR filter with the given taps and a composite frequency
+ * translation that shifts center_freq down to zero Hz.  The frequency 
+ * translation logically comes before the filtering operation.
+ */
+gr_freq_xlating_fir_filter_ccf_sptr 
+gr_make_freq_xlating_fir_filter_ccf (int decimation, const std::vector<float> &taps,
+                    double center_freq, double sampling_freq);
+
+
+class gr_fir_ccc;
+
+/*!
+ * \brief FIR filter combined with frequency translation with gr_complex input, gr_complex output and float taps
+ * \ingroup filter_blk
+ *
+ * This class efficiently combines a frequency translation
+ * (typically "down conversion") with a FIR filter (typically low-pass)
+ * and decimation.  It is ideally suited for a "channel selection filter"
+ * and can be efficiently used to select and decimate a narrow band signal
+ * out of wide bandwidth input.
+ *
+ * Uses a single input array to produce a single output array.
+ * Additional inputs and/or outputs are ignored.
+ */
+class gr_freq_xlating_fir_filter_ccf : public gr_sync_decimator
+{
+ public:
+  virtual ~gr_freq_xlating_fir_filter_ccf ();
+
+  void set_center_freq (double center_freq);
+  void set_taps (const std::vector<float> &taps);
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+
+ private:
+  friend gr_freq_xlating_fir_filter_ccf_sptr 
+  gr_make_freq_xlating_fir_filter_ccf (int decimation, const std::vector<float> &taps,
+                      double center_freq, double sampling_freq);
+
+ protected:
+  std::vector<float>   d_proto_taps;
+  gr_fir_ccc                  *d_composite_fir;
+  gr_rotator                   d_r;
+  double                       d_center_freq;
+  double                       d_sampling_freq;
+  bool                         d_updated;
+
+  virtual void build_composite_fir ();
+
+  /*!
+   * Construct a FIR filter with the given taps and a composite frequency
+   * translation that shifts center_freq down to zero Hz.  The frequency 
+   * translation logically comes before the filtering operation.
+   */
+  gr_freq_xlating_fir_filter_ccf (int decimation,
+                         const std::vector<float> &taps,
+                         double center_freq, double sampling_freq);
+};
+
+#endif /* _gr_freq_xlating_fir_filter_ccf_H_ */
diff --git a/gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_ccf.i b/gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_ccf.i
new file mode 100644 (file)
index 0000000..84e3859
--- /dev/null
@@ -0,0 +1,47 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2003,2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by
+ * generate_gr_freq_xlating_fir_filter_XXX.py
+ * Any changes made to this file will be overwritten.
+ */
+
+GR_SWIG_BLOCK_MAGIC(gr,freq_xlating_fir_filter_ccf)
+
+gr_freq_xlating_fir_filter_ccf_sptr 
+gr_make_freq_xlating_fir_filter_ccf (int decimation, const std::vector<float> &taps,
+                    double center_freq, double sampling_freq);
+
+
+class gr_freq_xlating_fir_filter_ccf : public gr_sync_decimator
+{
+ protected:
+  gr_freq_xlating_fir_filter_ccf (int decimation, const std::vector<float> &taps,
+         double center_freq, double sampling_freq);
+
+ public:
+  ~gr_freq_xlating_fir_filter_ccf ();
+
+  void set_center_freq (double center_freq);
+  void set_taps (const std::vector<float> &taps);
+};
diff --git a/gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_fcc.cc b/gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_fcc.cc
new file mode 100644 (file)
index 0000000..9adb870
--- /dev/null
@@ -0,0 +1,123 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2003 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by
+ * generate_gr_freq_xlating_fir_filter_XXX.py 
+ * Any changes made to this file will be overwritten.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_freq_xlating_fir_filter_fcc.h>
+#include <gr_fir_fcc.h>
+#include <gr_fir_util.h>
+#include <gr_io_signature.h>
+
+gr_freq_xlating_fir_filter_fcc_sptr 
+gr_make_freq_xlating_fir_filter_fcc (int decimation, const std::vector<gr_complex> &taps,
+                    double center_freq, double sampling_freq)
+{
+  return gr_freq_xlating_fir_filter_fcc_sptr (new gr_freq_xlating_fir_filter_fcc (decimation, taps, center_freq, sampling_freq));
+}
+
+
+gr_freq_xlating_fir_filter_fcc::gr_freq_xlating_fir_filter_fcc (
+
+       int decimation,
+       const std::vector<gr_complex> &taps,
+       double center_freq,
+       double sampling_freq)
+
+  : gr_sync_decimator ("freq_xlating_fir_filter_fcc",
+                      gr_make_io_signature (1, 1, sizeof (float)),
+                      gr_make_io_signature (1, 1, sizeof (gr_complex)),
+                      decimation),
+    d_proto_taps (taps), d_center_freq (center_freq), d_sampling_freq (sampling_freq),
+    d_updated (false)
+{
+  std::vector<gr_complex>      dummy_taps;
+  d_composite_fir = gr_fir_util::create_gr_fir_fcc (dummy_taps);
+
+  set_history (d_proto_taps.size ());
+  build_composite_fir ();
+}
+
+gr_freq_xlating_fir_filter_fcc::~gr_freq_xlating_fir_filter_fcc ()
+{
+  delete d_composite_fir;
+}
+
+void
+gr_freq_xlating_fir_filter_fcc::build_composite_fir ()
+{
+  std::vector<gr_complex> ctaps (d_proto_taps.size ());
+
+  float fwT0 = 2 * M_PI * d_center_freq / d_sampling_freq;
+  for (unsigned int i = 0; i < d_proto_taps.size (); i++)
+    ctaps[i] = d_proto_taps[i] * exp (gr_complex (0, i * fwT0));
+
+  d_composite_fir->set_taps (gr_reverse(ctaps));
+  d_r.set_phase_incr (exp (gr_complex (0, fwT0 * decimation ())));
+}
+
+void
+gr_freq_xlating_fir_filter_fcc::set_center_freq (double center_freq)
+{
+  d_center_freq = center_freq;
+  d_updated = true;
+}
+
+void
+gr_freq_xlating_fir_filter_fcc::set_taps (const std::vector<gr_complex> &taps)
+{
+  d_proto_taps = taps;
+  d_updated = true;
+}
+
+int
+gr_freq_xlating_fir_filter_fcc::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  float     *in  = (float *) input_items[0];
+  gr_complex     *out = (gr_complex *) output_items[0];
+
+  // rebuild composite FIR if the center freq has changed
+
+  if (d_updated){
+    set_history (d_proto_taps.size ());
+    build_composite_fir ();
+    d_updated = false;
+    return 0;               // history requirements may have changed.
+  }
+
+  unsigned j = 0;
+  for (int i = 0; i < noutput_items; i++){
+    out[i] = d_r.rotate (d_composite_fir->filter (&in[j]));
+    j += decimation ();
+  }
+  
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_fcc.h b/gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_fcc.h
new file mode 100644 (file)
index 0000000..0087fb8
--- /dev/null
@@ -0,0 +1,100 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2002,2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by
+ * generate_gr_freq_xlating_fir_filter_XXX.py  Any changes made to this file
+ * will be overwritten.
+ */
+
+#ifndef INCLUDED_GR_FREQ_XLATING_FIR_FILTER_FCC_H
+#define        INCLUDED_GR_FREQ_XLATING_FIR_FILTER_FCC_H
+
+#include <gr_sync_decimator.h>
+#include <gr_rotator.h>
+
+class gr_freq_xlating_fir_filter_fcc;
+typedef boost::shared_ptr<gr_freq_xlating_fir_filter_fcc> gr_freq_xlating_fir_filter_fcc_sptr;
+
+/*!
+ * Construct a FIR filter with the given taps and a composite frequency
+ * translation that shifts center_freq down to zero Hz.  The frequency 
+ * translation logically comes before the filtering operation.
+ */
+gr_freq_xlating_fir_filter_fcc_sptr 
+gr_make_freq_xlating_fir_filter_fcc (int decimation, const std::vector<gr_complex> &taps,
+                    double center_freq, double sampling_freq);
+
+
+class gr_fir_fcc;
+
+/*!
+ * \brief FIR filter combined with frequency translation with float input, gr_complex output and gr_complex taps
+ * \ingroup filter_blk
+ *
+ * This class efficiently combines a frequency translation
+ * (typically "down conversion") with a FIR filter (typically low-pass)
+ * and decimation.  It is ideally suited for a "channel selection filter"
+ * and can be efficiently used to select and decimate a narrow band signal
+ * out of wide bandwidth input.
+ *
+ * Uses a single input array to produce a single output array.
+ * Additional inputs and/or outputs are ignored.
+ */
+class gr_freq_xlating_fir_filter_fcc : public gr_sync_decimator
+{
+ public:
+  virtual ~gr_freq_xlating_fir_filter_fcc ();
+
+  void set_center_freq (double center_freq);
+  void set_taps (const std::vector<gr_complex> &taps);
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+
+ private:
+  friend gr_freq_xlating_fir_filter_fcc_sptr 
+  gr_make_freq_xlating_fir_filter_fcc (int decimation, const std::vector<gr_complex> &taps,
+                      double center_freq, double sampling_freq);
+
+ protected:
+  std::vector<gr_complex>      d_proto_taps;
+  gr_fir_fcc                  *d_composite_fir;
+  gr_rotator                   d_r;
+  double                       d_center_freq;
+  double                       d_sampling_freq;
+  bool                         d_updated;
+
+  virtual void build_composite_fir ();
+
+  /*!
+   * Construct a FIR filter with the given taps and a composite frequency
+   * translation that shifts center_freq down to zero Hz.  The frequency 
+   * translation logically comes before the filtering operation.
+   */
+  gr_freq_xlating_fir_filter_fcc (int decimation,
+                         const std::vector<gr_complex> &taps,
+                         double center_freq, double sampling_freq);
+};
+
+#endif /* _gr_freq_xlating_fir_filter_fcc_H_ */
diff --git a/gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_fcc.i b/gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_fcc.i
new file mode 100644 (file)
index 0000000..7af521d
--- /dev/null
@@ -0,0 +1,47 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2003,2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by
+ * generate_gr_freq_xlating_fir_filter_XXX.py
+ * Any changes made to this file will be overwritten.
+ */
+
+GR_SWIG_BLOCK_MAGIC(gr,freq_xlating_fir_filter_fcc)
+
+gr_freq_xlating_fir_filter_fcc_sptr 
+gr_make_freq_xlating_fir_filter_fcc (int decimation, const std::vector<gr_complex> &taps,
+                    double center_freq, double sampling_freq);
+
+
+class gr_freq_xlating_fir_filter_fcc : public gr_sync_decimator
+{
+ protected:
+  gr_freq_xlating_fir_filter_fcc (int decimation, const std::vector<gr_complex> &taps,
+         double center_freq, double sampling_freq);
+
+ public:
+  ~gr_freq_xlating_fir_filter_fcc ();
+
+  void set_center_freq (double center_freq);
+  void set_taps (const std::vector<gr_complex> &taps);
+};
diff --git a/gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_fcf.cc b/gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_fcf.cc
new file mode 100644 (file)
index 0000000..ac1bcbd
--- /dev/null
@@ -0,0 +1,123 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2003 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by
+ * generate_gr_freq_xlating_fir_filter_XXX.py 
+ * Any changes made to this file will be overwritten.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_freq_xlating_fir_filter_fcf.h>
+#include <gr_fir_fcc.h>
+#include <gr_fir_util.h>
+#include <gr_io_signature.h>
+
+gr_freq_xlating_fir_filter_fcf_sptr 
+gr_make_freq_xlating_fir_filter_fcf (int decimation, const std::vector<float> &taps,
+                    double center_freq, double sampling_freq)
+{
+  return gr_freq_xlating_fir_filter_fcf_sptr (new gr_freq_xlating_fir_filter_fcf (decimation, taps, center_freq, sampling_freq));
+}
+
+
+gr_freq_xlating_fir_filter_fcf::gr_freq_xlating_fir_filter_fcf (
+
+       int decimation,
+       const std::vector<float> &taps,
+       double center_freq,
+       double sampling_freq)
+
+  : gr_sync_decimator ("freq_xlating_fir_filter_fcf",
+                      gr_make_io_signature (1, 1, sizeof (float)),
+                      gr_make_io_signature (1, 1, sizeof (gr_complex)),
+                      decimation),
+    d_proto_taps (taps), d_center_freq (center_freq), d_sampling_freq (sampling_freq),
+    d_updated (false)
+{
+  std::vector<gr_complex>      dummy_taps;
+  d_composite_fir = gr_fir_util::create_gr_fir_fcc (dummy_taps);
+
+  set_history (d_proto_taps.size ());
+  build_composite_fir ();
+}
+
+gr_freq_xlating_fir_filter_fcf::~gr_freq_xlating_fir_filter_fcf ()
+{
+  delete d_composite_fir;
+}
+
+void
+gr_freq_xlating_fir_filter_fcf::build_composite_fir ()
+{
+  std::vector<gr_complex> ctaps (d_proto_taps.size ());
+
+  float fwT0 = 2 * M_PI * d_center_freq / d_sampling_freq;
+  for (unsigned int i = 0; i < d_proto_taps.size (); i++)
+    ctaps[i] = d_proto_taps[i] * exp (gr_complex (0, i * fwT0));
+
+  d_composite_fir->set_taps (gr_reverse(ctaps));
+  d_r.set_phase_incr (exp (gr_complex (0, fwT0 * decimation ())));
+}
+
+void
+gr_freq_xlating_fir_filter_fcf::set_center_freq (double center_freq)
+{
+  d_center_freq = center_freq;
+  d_updated = true;
+}
+
+void
+gr_freq_xlating_fir_filter_fcf::set_taps (const std::vector<float> &taps)
+{
+  d_proto_taps = taps;
+  d_updated = true;
+}
+
+int
+gr_freq_xlating_fir_filter_fcf::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  float     *in  = (float *) input_items[0];
+  gr_complex     *out = (gr_complex *) output_items[0];
+
+  // rebuild composite FIR if the center freq has changed
+
+  if (d_updated){
+    set_history (d_proto_taps.size ());
+    build_composite_fir ();
+    d_updated = false;
+    return 0;               // history requirements may have changed.
+  }
+
+  unsigned j = 0;
+  for (int i = 0; i < noutput_items; i++){
+    out[i] = d_r.rotate (d_composite_fir->filter (&in[j]));
+    j += decimation ();
+  }
+  
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_fcf.h b/gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_fcf.h
new file mode 100644 (file)
index 0000000..760ce0e
--- /dev/null
@@ -0,0 +1,100 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2002,2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by
+ * generate_gr_freq_xlating_fir_filter_XXX.py  Any changes made to this file
+ * will be overwritten.
+ */
+
+#ifndef INCLUDED_GR_FREQ_XLATING_FIR_FILTER_FCF_H
+#define        INCLUDED_GR_FREQ_XLATING_FIR_FILTER_FCF_H
+
+#include <gr_sync_decimator.h>
+#include <gr_rotator.h>
+
+class gr_freq_xlating_fir_filter_fcf;
+typedef boost::shared_ptr<gr_freq_xlating_fir_filter_fcf> gr_freq_xlating_fir_filter_fcf_sptr;
+
+/*!
+ * Construct a FIR filter with the given taps and a composite frequency
+ * translation that shifts center_freq down to zero Hz.  The frequency 
+ * translation logically comes before the filtering operation.
+ */
+gr_freq_xlating_fir_filter_fcf_sptr 
+gr_make_freq_xlating_fir_filter_fcf (int decimation, const std::vector<float> &taps,
+                    double center_freq, double sampling_freq);
+
+
+class gr_fir_fcc;
+
+/*!
+ * \brief FIR filter combined with frequency translation with float input, gr_complex output and float taps
+ * \ingroup filter_blk
+ *
+ * This class efficiently combines a frequency translation
+ * (typically "down conversion") with a FIR filter (typically low-pass)
+ * and decimation.  It is ideally suited for a "channel selection filter"
+ * and can be efficiently used to select and decimate a narrow band signal
+ * out of wide bandwidth input.
+ *
+ * Uses a single input array to produce a single output array.
+ * Additional inputs and/or outputs are ignored.
+ */
+class gr_freq_xlating_fir_filter_fcf : public gr_sync_decimator
+{
+ public:
+  virtual ~gr_freq_xlating_fir_filter_fcf ();
+
+  void set_center_freq (double center_freq);
+  void set_taps (const std::vector<float> &taps);
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+
+ private:
+  friend gr_freq_xlating_fir_filter_fcf_sptr 
+  gr_make_freq_xlating_fir_filter_fcf (int decimation, const std::vector<float> &taps,
+                      double center_freq, double sampling_freq);
+
+ protected:
+  std::vector<float>   d_proto_taps;
+  gr_fir_fcc                  *d_composite_fir;
+  gr_rotator                   d_r;
+  double                       d_center_freq;
+  double                       d_sampling_freq;
+  bool                         d_updated;
+
+  virtual void build_composite_fir ();
+
+  /*!
+   * Construct a FIR filter with the given taps and a composite frequency
+   * translation that shifts center_freq down to zero Hz.  The frequency 
+   * translation logically comes before the filtering operation.
+   */
+  gr_freq_xlating_fir_filter_fcf (int decimation,
+                         const std::vector<float> &taps,
+                         double center_freq, double sampling_freq);
+};
+
+#endif /* _gr_freq_xlating_fir_filter_fcf_H_ */
diff --git a/gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_fcf.i b/gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_fcf.i
new file mode 100644 (file)
index 0000000..fa31a07
--- /dev/null
@@ -0,0 +1,47 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2003,2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by
+ * generate_gr_freq_xlating_fir_filter_XXX.py
+ * Any changes made to this file will be overwritten.
+ */
+
+GR_SWIG_BLOCK_MAGIC(gr,freq_xlating_fir_filter_fcf)
+
+gr_freq_xlating_fir_filter_fcf_sptr 
+gr_make_freq_xlating_fir_filter_fcf (int decimation, const std::vector<float> &taps,
+                    double center_freq, double sampling_freq);
+
+
+class gr_freq_xlating_fir_filter_fcf : public gr_sync_decimator
+{
+ protected:
+  gr_freq_xlating_fir_filter_fcf (int decimation, const std::vector<float> &taps,
+         double center_freq, double sampling_freq);
+
+ public:
+  ~gr_freq_xlating_fir_filter_fcf ();
+
+  void set_center_freq (double center_freq);
+  void set_taps (const std::vector<float> &taps);
+};
diff --git a/gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_scc.cc b/gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_scc.cc
new file mode 100644 (file)
index 0000000..f274378
--- /dev/null
@@ -0,0 +1,123 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2003 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by
+ * generate_gr_freq_xlating_fir_filter_XXX.py 
+ * Any changes made to this file will be overwritten.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_freq_xlating_fir_filter_scc.h>
+#include <gr_fir_scc.h>
+#include <gr_fir_util.h>
+#include <gr_io_signature.h>
+
+gr_freq_xlating_fir_filter_scc_sptr 
+gr_make_freq_xlating_fir_filter_scc (int decimation, const std::vector<gr_complex> &taps,
+                    double center_freq, double sampling_freq)
+{
+  return gr_freq_xlating_fir_filter_scc_sptr (new gr_freq_xlating_fir_filter_scc (decimation, taps, center_freq, sampling_freq));
+}
+
+
+gr_freq_xlating_fir_filter_scc::gr_freq_xlating_fir_filter_scc (
+
+       int decimation,
+       const std::vector<gr_complex> &taps,
+       double center_freq,
+       double sampling_freq)
+
+  : gr_sync_decimator ("freq_xlating_fir_filter_scc",
+                      gr_make_io_signature (1, 1, sizeof (short)),
+                      gr_make_io_signature (1, 1, sizeof (gr_complex)),
+                      decimation),
+    d_proto_taps (taps), d_center_freq (center_freq), d_sampling_freq (sampling_freq),
+    d_updated (false)
+{
+  std::vector<gr_complex>      dummy_taps;
+  d_composite_fir = gr_fir_util::create_gr_fir_scc (dummy_taps);
+
+  set_history (d_proto_taps.size ());
+  build_composite_fir ();
+}
+
+gr_freq_xlating_fir_filter_scc::~gr_freq_xlating_fir_filter_scc ()
+{
+  delete d_composite_fir;
+}
+
+void
+gr_freq_xlating_fir_filter_scc::build_composite_fir ()
+{
+  std::vector<gr_complex> ctaps (d_proto_taps.size ());
+
+  float fwT0 = 2 * M_PI * d_center_freq / d_sampling_freq;
+  for (unsigned int i = 0; i < d_proto_taps.size (); i++)
+    ctaps[i] = d_proto_taps[i] * exp (gr_complex (0, i * fwT0));
+
+  d_composite_fir->set_taps (gr_reverse(ctaps));
+  d_r.set_phase_incr (exp (gr_complex (0, fwT0 * decimation ())));
+}
+
+void
+gr_freq_xlating_fir_filter_scc::set_center_freq (double center_freq)
+{
+  d_center_freq = center_freq;
+  d_updated = true;
+}
+
+void
+gr_freq_xlating_fir_filter_scc::set_taps (const std::vector<gr_complex> &taps)
+{
+  d_proto_taps = taps;
+  d_updated = true;
+}
+
+int
+gr_freq_xlating_fir_filter_scc::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  short     *in  = (short *) input_items[0];
+  gr_complex     *out = (gr_complex *) output_items[0];
+
+  // rebuild composite FIR if the center freq has changed
+
+  if (d_updated){
+    set_history (d_proto_taps.size ());
+    build_composite_fir ();
+    d_updated = false;
+    return 0;               // history requirements may have changed.
+  }
+
+  unsigned j = 0;
+  for (int i = 0; i < noutput_items; i++){
+    out[i] = d_r.rotate (d_composite_fir->filter (&in[j]));
+    j += decimation ();
+  }
+  
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_scc.h b/gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_scc.h
new file mode 100644 (file)
index 0000000..012bc1d
--- /dev/null
@@ -0,0 +1,100 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2002,2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by
+ * generate_gr_freq_xlating_fir_filter_XXX.py  Any changes made to this file
+ * will be overwritten.
+ */
+
+#ifndef INCLUDED_GR_FREQ_XLATING_FIR_FILTER_SCC_H
+#define        INCLUDED_GR_FREQ_XLATING_FIR_FILTER_SCC_H
+
+#include <gr_sync_decimator.h>
+#include <gr_rotator.h>
+
+class gr_freq_xlating_fir_filter_scc;
+typedef boost::shared_ptr<gr_freq_xlating_fir_filter_scc> gr_freq_xlating_fir_filter_scc_sptr;
+
+/*!
+ * Construct a FIR filter with the given taps and a composite frequency
+ * translation that shifts center_freq down to zero Hz.  The frequency 
+ * translation logically comes before the filtering operation.
+ */
+gr_freq_xlating_fir_filter_scc_sptr 
+gr_make_freq_xlating_fir_filter_scc (int decimation, const std::vector<gr_complex> &taps,
+                    double center_freq, double sampling_freq);
+
+
+class gr_fir_scc;
+
+/*!
+ * \brief FIR filter combined with frequency translation with short input, gr_complex output and gr_complex taps
+ * \ingroup filter_blk
+ *
+ * This class efficiently combines a frequency translation
+ * (typically "down conversion") with a FIR filter (typically low-pass)
+ * and decimation.  It is ideally suited for a "channel selection filter"
+ * and can be efficiently used to select and decimate a narrow band signal
+ * out of wide bandwidth input.
+ *
+ * Uses a single input array to produce a single output array.
+ * Additional inputs and/or outputs are ignored.
+ */
+class gr_freq_xlating_fir_filter_scc : public gr_sync_decimator
+{
+ public:
+  virtual ~gr_freq_xlating_fir_filter_scc ();
+
+  void set_center_freq (double center_freq);
+  void set_taps (const std::vector<gr_complex> &taps);
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+
+ private:
+  friend gr_freq_xlating_fir_filter_scc_sptr 
+  gr_make_freq_xlating_fir_filter_scc (int decimation, const std::vector<gr_complex> &taps,
+                      double center_freq, double sampling_freq);
+
+ protected:
+  std::vector<gr_complex>      d_proto_taps;
+  gr_fir_scc                  *d_composite_fir;
+  gr_rotator                   d_r;
+  double                       d_center_freq;
+  double                       d_sampling_freq;
+  bool                         d_updated;
+
+  virtual void build_composite_fir ();
+
+  /*!
+   * Construct a FIR filter with the given taps and a composite frequency
+   * translation that shifts center_freq down to zero Hz.  The frequency 
+   * translation logically comes before the filtering operation.
+   */
+  gr_freq_xlating_fir_filter_scc (int decimation,
+                         const std::vector<gr_complex> &taps,
+                         double center_freq, double sampling_freq);
+};
+
+#endif /* _gr_freq_xlating_fir_filter_scc_H_ */
diff --git a/gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_scc.i b/gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_scc.i
new file mode 100644 (file)
index 0000000..202df87
--- /dev/null
@@ -0,0 +1,47 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2003,2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by
+ * generate_gr_freq_xlating_fir_filter_XXX.py
+ * Any changes made to this file will be overwritten.
+ */
+
+GR_SWIG_BLOCK_MAGIC(gr,freq_xlating_fir_filter_scc)
+
+gr_freq_xlating_fir_filter_scc_sptr 
+gr_make_freq_xlating_fir_filter_scc (int decimation, const std::vector<gr_complex> &taps,
+                    double center_freq, double sampling_freq);
+
+
+class gr_freq_xlating_fir_filter_scc : public gr_sync_decimator
+{
+ protected:
+  gr_freq_xlating_fir_filter_scc (int decimation, const std::vector<gr_complex> &taps,
+         double center_freq, double sampling_freq);
+
+ public:
+  ~gr_freq_xlating_fir_filter_scc ();
+
+  void set_center_freq (double center_freq);
+  void set_taps (const std::vector<gr_complex> &taps);
+};
diff --git a/gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_scf.cc b/gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_scf.cc
new file mode 100644 (file)
index 0000000..96f9424
--- /dev/null
@@ -0,0 +1,123 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2003 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by
+ * generate_gr_freq_xlating_fir_filter_XXX.py 
+ * Any changes made to this file will be overwritten.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_freq_xlating_fir_filter_scf.h>
+#include <gr_fir_scc.h>
+#include <gr_fir_util.h>
+#include <gr_io_signature.h>
+
+gr_freq_xlating_fir_filter_scf_sptr 
+gr_make_freq_xlating_fir_filter_scf (int decimation, const std::vector<float> &taps,
+                    double center_freq, double sampling_freq)
+{
+  return gr_freq_xlating_fir_filter_scf_sptr (new gr_freq_xlating_fir_filter_scf (decimation, taps, center_freq, sampling_freq));
+}
+
+
+gr_freq_xlating_fir_filter_scf::gr_freq_xlating_fir_filter_scf (
+
+       int decimation,
+       const std::vector<float> &taps,
+       double center_freq,
+       double sampling_freq)
+
+  : gr_sync_decimator ("freq_xlating_fir_filter_scf",
+                      gr_make_io_signature (1, 1, sizeof (short)),
+                      gr_make_io_signature (1, 1, sizeof (gr_complex)),
+                      decimation),
+    d_proto_taps (taps), d_center_freq (center_freq), d_sampling_freq (sampling_freq),
+    d_updated (false)
+{
+  std::vector<gr_complex>      dummy_taps;
+  d_composite_fir = gr_fir_util::create_gr_fir_scc (dummy_taps);
+
+  set_history (d_proto_taps.size ());
+  build_composite_fir ();
+}
+
+gr_freq_xlating_fir_filter_scf::~gr_freq_xlating_fir_filter_scf ()
+{
+  delete d_composite_fir;
+}
+
+void
+gr_freq_xlating_fir_filter_scf::build_composite_fir ()
+{
+  std::vector<gr_complex> ctaps (d_proto_taps.size ());
+
+  float fwT0 = 2 * M_PI * d_center_freq / d_sampling_freq;
+  for (unsigned int i = 0; i < d_proto_taps.size (); i++)
+    ctaps[i] = d_proto_taps[i] * exp (gr_complex (0, i * fwT0));
+
+  d_composite_fir->set_taps (gr_reverse(ctaps));
+  d_r.set_phase_incr (exp (gr_complex (0, fwT0 * decimation ())));
+}
+
+void
+gr_freq_xlating_fir_filter_scf::set_center_freq (double center_freq)
+{
+  d_center_freq = center_freq;
+  d_updated = true;
+}
+
+void
+gr_freq_xlating_fir_filter_scf::set_taps (const std::vector<float> &taps)
+{
+  d_proto_taps = taps;
+  d_updated = true;
+}
+
+int
+gr_freq_xlating_fir_filter_scf::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  short     *in  = (short *) input_items[0];
+  gr_complex     *out = (gr_complex *) output_items[0];
+
+  // rebuild composite FIR if the center freq has changed
+
+  if (d_updated){
+    set_history (d_proto_taps.size ());
+    build_composite_fir ();
+    d_updated = false;
+    return 0;               // history requirements may have changed.
+  }
+
+  unsigned j = 0;
+  for (int i = 0; i < noutput_items; i++){
+    out[i] = d_r.rotate (d_composite_fir->filter (&in[j]));
+    j += decimation ();
+  }
+  
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_scf.h b/gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_scf.h
new file mode 100644 (file)
index 0000000..e8cb02a
--- /dev/null
@@ -0,0 +1,100 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2002,2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by
+ * generate_gr_freq_xlating_fir_filter_XXX.py  Any changes made to this file
+ * will be overwritten.
+ */
+
+#ifndef INCLUDED_GR_FREQ_XLATING_FIR_FILTER_SCF_H
+#define        INCLUDED_GR_FREQ_XLATING_FIR_FILTER_SCF_H
+
+#include <gr_sync_decimator.h>
+#include <gr_rotator.h>
+
+class gr_freq_xlating_fir_filter_scf;
+typedef boost::shared_ptr<gr_freq_xlating_fir_filter_scf> gr_freq_xlating_fir_filter_scf_sptr;
+
+/*!
+ * Construct a FIR filter with the given taps and a composite frequency
+ * translation that shifts center_freq down to zero Hz.  The frequency 
+ * translation logically comes before the filtering operation.
+ */
+gr_freq_xlating_fir_filter_scf_sptr 
+gr_make_freq_xlating_fir_filter_scf (int decimation, const std::vector<float> &taps,
+                    double center_freq, double sampling_freq);
+
+
+class gr_fir_scc;
+
+/*!
+ * \brief FIR filter combined with frequency translation with short input, gr_complex output and float taps
+ * \ingroup filter_blk
+ *
+ * This class efficiently combines a frequency translation
+ * (typically "down conversion") with a FIR filter (typically low-pass)
+ * and decimation.  It is ideally suited for a "channel selection filter"
+ * and can be efficiently used to select and decimate a narrow band signal
+ * out of wide bandwidth input.
+ *
+ * Uses a single input array to produce a single output array.
+ * Additional inputs and/or outputs are ignored.
+ */
+class gr_freq_xlating_fir_filter_scf : public gr_sync_decimator
+{
+ public:
+  virtual ~gr_freq_xlating_fir_filter_scf ();
+
+  void set_center_freq (double center_freq);
+  void set_taps (const std::vector<float> &taps);
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+
+ private:
+  friend gr_freq_xlating_fir_filter_scf_sptr 
+  gr_make_freq_xlating_fir_filter_scf (int decimation, const std::vector<float> &taps,
+                      double center_freq, double sampling_freq);
+
+ protected:
+  std::vector<float>   d_proto_taps;
+  gr_fir_scc                  *d_composite_fir;
+  gr_rotator                   d_r;
+  double                       d_center_freq;
+  double                       d_sampling_freq;
+  bool                         d_updated;
+
+  virtual void build_composite_fir ();
+
+  /*!
+   * Construct a FIR filter with the given taps and a composite frequency
+   * translation that shifts center_freq down to zero Hz.  The frequency 
+   * translation logically comes before the filtering operation.
+   */
+  gr_freq_xlating_fir_filter_scf (int decimation,
+                         const std::vector<float> &taps,
+                         double center_freq, double sampling_freq);
+};
+
+#endif /* _gr_freq_xlating_fir_filter_scf_H_ */
diff --git a/gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_scf.i b/gnuradio-core/src/lib/filter/gr_freq_xlating_fir_filter_scf.i
new file mode 100644 (file)
index 0000000..6c128f5
--- /dev/null
@@ -0,0 +1,47 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2003,2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by
+ * generate_gr_freq_xlating_fir_filter_XXX.py
+ * Any changes made to this file will be overwritten.
+ */
+
+GR_SWIG_BLOCK_MAGIC(gr,freq_xlating_fir_filter_scf)
+
+gr_freq_xlating_fir_filter_scf_sptr 
+gr_make_freq_xlating_fir_filter_scf (int decimation, const std::vector<float> &taps,
+                    double center_freq, double sampling_freq);
+
+
+class gr_freq_xlating_fir_filter_scf : public gr_sync_decimator
+{
+ protected:
+  gr_freq_xlating_fir_filter_scf (int decimation, const std::vector<float> &taps,
+         double center_freq, double sampling_freq);
+
+ public:
+  ~gr_freq_xlating_fir_filter_scf ();
+
+  void set_center_freq (double center_freq);
+  void set_taps (const std::vector<float> &taps);
+};
diff --git a/gnuradio-core/src/lib/filter/gr_interp_fir_filter_ccc.cc b/gnuradio-core/src/lib/filter/gr_interp_fir_filter_ccc.cc
new file mode 100644 (file)
index 0000000..f1550ad
--- /dev/null
@@ -0,0 +1,146 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by generate_gr_fir_filter_XXX.py
+ * Any changes made to this file will be overwritten.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_interp_fir_filter_ccc.h>
+#include <gr_fir_ccc.h>
+#include <gr_fir_util.h>
+#include <gr_io_signature.h>
+#include <stdexcept>
+#include <iostream>
+
+gr_interp_fir_filter_ccc_sptr gr_make_interp_fir_filter_ccc (unsigned interpolation, const std::vector<gr_complex> &taps)
+{
+  return gr_interp_fir_filter_ccc_sptr (new gr_interp_fir_filter_ccc (interpolation, taps));
+}
+
+
+gr_interp_fir_filter_ccc::gr_interp_fir_filter_ccc (unsigned interpolation, const std::vector<gr_complex> &taps)
+  : gr_sync_interpolator ("interp_fir_filter_ccc",
+                         gr_make_io_signature (1, 1, sizeof (gr_complex)),
+                         gr_make_io_signature (1, 1, sizeof (gr_complex)),
+                         interpolation),
+    d_updated (false), d_firs (interpolation)
+{
+  if (interpolation == 0)
+    throw std::out_of_range ("interpolation must be > 0");
+
+  std::vector<gr_complex>      dummy_taps;
+  
+  for (unsigned i = 0; i < interpolation; i++)
+    d_firs[i] = gr_fir_util::create_gr_fir_ccc (dummy_taps);
+
+  set_taps (taps);
+  install_taps(d_new_taps);
+}
+
+gr_interp_fir_filter_ccc::~gr_interp_fir_filter_ccc ()
+{
+  int interp = interpolation ();
+  for (int i = 0; i < interp; i++)
+    delete d_firs[i];
+}
+
+void
+gr_interp_fir_filter_ccc::set_taps (const std::vector<gr_complex> &taps)
+{
+  d_new_taps = taps;
+  d_updated = true;
+
+  // round up length to a multiple of the interpolation factor
+  int n = taps.size () % interpolation ();
+  if (n > 0){
+    n = interpolation () - n;
+    while (n-- > 0)
+      d_new_taps.insert(d_new_taps.begin(), 0);
+  }
+
+  assert (d_new_taps.size () % interpolation () == 0);
+}
+
+
+void
+gr_interp_fir_filter_ccc::install_taps (const std::vector<gr_complex> &taps)
+{
+  int nfilters = interpolation ();
+  int nt = taps.size () / nfilters;
+
+  assert (nt * nfilters == (int) taps.size ());
+
+  std::vector< std::vector <gr_complex> > xtaps (nfilters);
+
+  for (int n = 0; n < nfilters; n++)
+    xtaps[n].resize (nt);  
+
+  for (int i = 0; i < (int) taps.size(); i++)
+    xtaps[i % nfilters][i / nfilters] = taps[i];
+
+  for (int n = 0; n < nfilters; n++)
+    d_firs[n]->set_taps (xtaps[n]);
+  
+  set_history (nt);
+  d_updated = false;
+
+#if 0
+  for (int i = 0; i < nfilters; i++){
+    std::cout << "filter[" << i << "] = ";
+    for (int j = 0; j < nt; j++)
+      std::cout << xtaps[i][j] << " ";
+
+    std::cout << "\n";
+  }
+#endif
+
+}
+
+int
+gr_interp_fir_filter_ccc::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  const gr_complex *in = (const gr_complex *) input_items[0];
+  gr_complex *out = (gr_complex *) output_items[0];
+
+  if (d_updated) {
+    install_taps (d_new_taps);
+    return 0;               // history requirements may have changed.
+  }
+
+  int nfilters = interpolation ();
+  int ni = noutput_items / interpolation ();
+  
+  for (int i = 0; i < ni; i++){
+    for (int nf = 0; nf < nfilters; nf++)
+      out[nf] = d_firs[nf]->filter (&in[i]);
+    out += nfilters;
+  }
+
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/filter/gr_interp_fir_filter_ccc.h b/gnuradio-core/src/lib/filter/gr_interp_fir_filter_ccc.h
new file mode 100644 (file)
index 0000000..24cd374
--- /dev/null
@@ -0,0 +1,69 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by generate_gr_fir_filter_XXX.py
+ * Any changes made to this file will be overwritten.
+ */
+
+#ifndef INCLUDED_GR_INTERP_FIR_FILTER_CCC_H
+#define        INCLUDED_GR_INTERP_FIR_FILTER_CCC_H
+
+#include <gr_sync_interpolator.h>
+
+class gr_interp_fir_filter_ccc;
+typedef boost::shared_ptr<gr_interp_fir_filter_ccc> gr_interp_fir_filter_ccc_sptr;
+gr_interp_fir_filter_ccc_sptr gr_make_interp_fir_filter_ccc (unsigned interpolation, const std::vector<gr_complex> &taps);
+
+class gr_fir_ccc;
+
+/*!
+ * \brief Interpolating FIR filter with gr_complex input, gr_complex output and gr_complex taps
+ * \ingroup filter_blk
+ */
+class gr_interp_fir_filter_ccc : public gr_sync_interpolator
+{
+ private:
+  friend gr_interp_fir_filter_ccc_sptr gr_make_interp_fir_filter_ccc (unsigned interpolation, const std::vector<gr_complex> &taps);
+
+  std::vector<gr_complex>      d_new_taps;
+  bool                 d_updated;
+  std::vector<gr_fir_ccc *> d_firs;
+
+  /*!
+   * Construct a FIR filter with the given taps
+   */
+  gr_interp_fir_filter_ccc (unsigned interpolation, const std::vector<gr_complex> &taps);
+
+  void install_taps (const std::vector<gr_complex> &taps);
+
+ public:
+  ~gr_interp_fir_filter_ccc ();
+
+  void set_taps (const std::vector<gr_complex> &taps);
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/filter/gr_interp_fir_filter_ccc.i b/gnuradio-core/src/lib/filter/gr_interp_fir_filter_ccc.i
new file mode 100644 (file)
index 0000000..f128b68
--- /dev/null
@@ -0,0 +1,41 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by generate_GrFIRfilterXXX.py
+ * Any changes made to this file will be overwritten.
+ */
+
+GR_SWIG_BLOCK_MAGIC(gr,interp_fir_filter_ccc)
+
+gr_interp_fir_filter_ccc_sptr gr_make_interp_fir_filter_ccc (int interpolation, const std::vector<gr_complex> &taps);
+
+class gr_interp_fir_filter_ccc : public gr_sync_interpolator
+{
+ private:
+  gr_interp_fir_filter_ccc (int interpolation, const std::vector<gr_complex> &taps);
+
+ public:
+  ~gr_interp_fir_filter_ccc ();
+
+  void set_taps (const std::vector<gr_complex> &taps);
+};
diff --git a/gnuradio-core/src/lib/filter/gr_interp_fir_filter_ccf.cc b/gnuradio-core/src/lib/filter/gr_interp_fir_filter_ccf.cc
new file mode 100644 (file)
index 0000000..00eed72
--- /dev/null
@@ -0,0 +1,146 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by generate_gr_fir_filter_XXX.py
+ * Any changes made to this file will be overwritten.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_interp_fir_filter_ccf.h>
+#include <gr_fir_ccf.h>
+#include <gr_fir_util.h>
+#include <gr_io_signature.h>
+#include <stdexcept>
+#include <iostream>
+
+gr_interp_fir_filter_ccf_sptr gr_make_interp_fir_filter_ccf (unsigned interpolation, const std::vector<float> &taps)
+{
+  return gr_interp_fir_filter_ccf_sptr (new gr_interp_fir_filter_ccf (interpolation, taps));
+}
+
+
+gr_interp_fir_filter_ccf::gr_interp_fir_filter_ccf (unsigned interpolation, const std::vector<float> &taps)
+  : gr_sync_interpolator ("interp_fir_filter_ccf",
+                         gr_make_io_signature (1, 1, sizeof (gr_complex)),
+                         gr_make_io_signature (1, 1, sizeof (gr_complex)),
+                         interpolation),
+    d_updated (false), d_firs (interpolation)
+{
+  if (interpolation == 0)
+    throw std::out_of_range ("interpolation must be > 0");
+
+  std::vector<float>   dummy_taps;
+  
+  for (unsigned i = 0; i < interpolation; i++)
+    d_firs[i] = gr_fir_util::create_gr_fir_ccf (dummy_taps);
+
+  set_taps (taps);
+  install_taps(d_new_taps);
+}
+
+gr_interp_fir_filter_ccf::~gr_interp_fir_filter_ccf ()
+{
+  int interp = interpolation ();
+  for (int i = 0; i < interp; i++)
+    delete d_firs[i];
+}
+
+void
+gr_interp_fir_filter_ccf::set_taps (const std::vector<float> &taps)
+{
+  d_new_taps = taps;
+  d_updated = true;
+
+  // round up length to a multiple of the interpolation factor
+  int n = taps.size () % interpolation ();
+  if (n > 0){
+    n = interpolation () - n;
+    while (n-- > 0)
+      d_new_taps.insert(d_new_taps.begin(), 0);
+  }
+
+  assert (d_new_taps.size () % interpolation () == 0);
+}
+
+
+void
+gr_interp_fir_filter_ccf::install_taps (const std::vector<float> &taps)
+{
+  int nfilters = interpolation ();
+  int nt = taps.size () / nfilters;
+
+  assert (nt * nfilters == (int) taps.size ());
+
+  std::vector< std::vector <float> > xtaps (nfilters);
+
+  for (int n = 0; n < nfilters; n++)
+    xtaps[n].resize (nt);  
+
+  for (int i = 0; i < (int) taps.size(); i++)
+    xtaps[i % nfilters][i / nfilters] = taps[i];
+
+  for (int n = 0; n < nfilters; n++)
+    d_firs[n]->set_taps (xtaps[n]);
+  
+  set_history (nt);
+  d_updated = false;
+
+#if 0
+  for (int i = 0; i < nfilters; i++){
+    std::cout << "filter[" << i << "] = ";
+    for (int j = 0; j < nt; j++)
+      std::cout << xtaps[i][j] << " ";
+
+    std::cout << "\n";
+  }
+#endif
+
+}
+
+int
+gr_interp_fir_filter_ccf::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  const gr_complex *in = (const gr_complex *) input_items[0];
+  gr_complex *out = (gr_complex *) output_items[0];
+
+  if (d_updated) {
+    install_taps (d_new_taps);
+    return 0;               // history requirements may have changed.
+  }
+
+  int nfilters = interpolation ();
+  int ni = noutput_items / interpolation ();
+  
+  for (int i = 0; i < ni; i++){
+    for (int nf = 0; nf < nfilters; nf++)
+      out[nf] = d_firs[nf]->filter (&in[i]);
+    out += nfilters;
+  }
+
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/filter/gr_interp_fir_filter_ccf.h b/gnuradio-core/src/lib/filter/gr_interp_fir_filter_ccf.h
new file mode 100644 (file)
index 0000000..bc97de9
--- /dev/null
@@ -0,0 +1,69 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by generate_gr_fir_filter_XXX.py
+ * Any changes made to this file will be overwritten.
+ */
+
+#ifndef INCLUDED_GR_INTERP_FIR_FILTER_CCF_H
+#define        INCLUDED_GR_INTERP_FIR_FILTER_CCF_H
+
+#include <gr_sync_interpolator.h>
+
+class gr_interp_fir_filter_ccf;
+typedef boost::shared_ptr<gr_interp_fir_filter_ccf> gr_interp_fir_filter_ccf_sptr;
+gr_interp_fir_filter_ccf_sptr gr_make_interp_fir_filter_ccf (unsigned interpolation, const std::vector<float> &taps);
+
+class gr_fir_ccf;
+
+/*!
+ * \brief Interpolating FIR filter with gr_complex input, gr_complex output and float taps
+ * \ingroup filter_blk
+ */
+class gr_interp_fir_filter_ccf : public gr_sync_interpolator
+{
+ private:
+  friend gr_interp_fir_filter_ccf_sptr gr_make_interp_fir_filter_ccf (unsigned interpolation, const std::vector<float> &taps);
+
+  std::vector<float>   d_new_taps;
+  bool                 d_updated;
+  std::vector<gr_fir_ccf *> d_firs;
+
+  /*!
+   * Construct a FIR filter with the given taps
+   */
+  gr_interp_fir_filter_ccf (unsigned interpolation, const std::vector<float> &taps);
+
+  void install_taps (const std::vector<float> &taps);
+
+ public:
+  ~gr_interp_fir_filter_ccf ();
+
+  void set_taps (const std::vector<float> &taps);
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/filter/gr_interp_fir_filter_ccf.i b/gnuradio-core/src/lib/filter/gr_interp_fir_filter_ccf.i
new file mode 100644 (file)
index 0000000..d174601
--- /dev/null
@@ -0,0 +1,41 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by generate_GrFIRfilterXXX.py
+ * Any changes made to this file will be overwritten.
+ */
+
+GR_SWIG_BLOCK_MAGIC(gr,interp_fir_filter_ccf)
+
+gr_interp_fir_filter_ccf_sptr gr_make_interp_fir_filter_ccf (int interpolation, const std::vector<float> &taps);
+
+class gr_interp_fir_filter_ccf : public gr_sync_interpolator
+{
+ private:
+  gr_interp_fir_filter_ccf (int interpolation, const std::vector<float> &taps);
+
+ public:
+  ~gr_interp_fir_filter_ccf ();
+
+  void set_taps (const std::vector<float> &taps);
+};
diff --git a/gnuradio-core/src/lib/filter/gr_interp_fir_filter_fcc.cc b/gnuradio-core/src/lib/filter/gr_interp_fir_filter_fcc.cc
new file mode 100644 (file)
index 0000000..deaf875
--- /dev/null
@@ -0,0 +1,146 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by generate_gr_fir_filter_XXX.py
+ * Any changes made to this file will be overwritten.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_interp_fir_filter_fcc.h>
+#include <gr_fir_fcc.h>
+#include <gr_fir_util.h>
+#include <gr_io_signature.h>
+#include <stdexcept>
+#include <iostream>
+
+gr_interp_fir_filter_fcc_sptr gr_make_interp_fir_filter_fcc (unsigned interpolation, const std::vector<gr_complex> &taps)
+{
+  return gr_interp_fir_filter_fcc_sptr (new gr_interp_fir_filter_fcc (interpolation, taps));
+}
+
+
+gr_interp_fir_filter_fcc::gr_interp_fir_filter_fcc (unsigned interpolation, const std::vector<gr_complex> &taps)
+  : gr_sync_interpolator ("interp_fir_filter_fcc",
+                         gr_make_io_signature (1, 1, sizeof (float)),
+                         gr_make_io_signature (1, 1, sizeof (gr_complex)),
+                         interpolation),
+    d_updated (false), d_firs (interpolation)
+{
+  if (interpolation == 0)
+    throw std::out_of_range ("interpolation must be > 0");
+
+  std::vector<gr_complex>      dummy_taps;
+  
+  for (unsigned i = 0; i < interpolation; i++)
+    d_firs[i] = gr_fir_util::create_gr_fir_fcc (dummy_taps);
+
+  set_taps (taps);
+  install_taps(d_new_taps);
+}
+
+gr_interp_fir_filter_fcc::~gr_interp_fir_filter_fcc ()
+{
+  int interp = interpolation ();
+  for (int i = 0; i < interp; i++)
+    delete d_firs[i];
+}
+
+void
+gr_interp_fir_filter_fcc::set_taps (const std::vector<gr_complex> &taps)
+{
+  d_new_taps = taps;
+  d_updated = true;
+
+  // round up length to a multiple of the interpolation factor
+  int n = taps.size () % interpolation ();
+  if (n > 0){
+    n = interpolation () - n;
+    while (n-- > 0)
+      d_new_taps.insert(d_new_taps.begin(), 0);
+  }
+
+  assert (d_new_taps.size () % interpolation () == 0);
+}
+
+
+void
+gr_interp_fir_filter_fcc::install_taps (const std::vector<gr_complex> &taps)
+{
+  int nfilters = interpolation ();
+  int nt = taps.size () / nfilters;
+
+  assert (nt * nfilters == (int) taps.size ());
+
+  std::vector< std::vector <gr_complex> > xtaps (nfilters);
+
+  for (int n = 0; n < nfilters; n++)
+    xtaps[n].resize (nt);  
+
+  for (int i = 0; i < (int) taps.size(); i++)
+    xtaps[i % nfilters][i / nfilters] = taps[i];
+
+  for (int n = 0; n < nfilters; n++)
+    d_firs[n]->set_taps (xtaps[n]);
+  
+  set_history (nt);
+  d_updated = false;
+
+#if 0
+  for (int i = 0; i < nfilters; i++){
+    std::cout << "filter[" << i << "] = ";
+    for (int j = 0; j < nt; j++)
+      std::cout << xtaps[i][j] << " ";
+
+    std::cout << "\n";
+  }
+#endif
+
+}
+
+int
+gr_interp_fir_filter_fcc::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  const float *in = (const float *) input_items[0];
+  gr_complex *out = (gr_complex *) output_items[0];
+
+  if (d_updated) {
+    install_taps (d_new_taps);
+    return 0;               // history requirements may have changed.
+  }
+
+  int nfilters = interpolation ();
+  int ni = noutput_items / interpolation ();
+  
+  for (int i = 0; i < ni; i++){
+    for (int nf = 0; nf < nfilters; nf++)
+      out[nf] = d_firs[nf]->filter (&in[i]);
+    out += nfilters;
+  }
+
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/filter/gr_interp_fir_filter_fcc.h b/gnuradio-core/src/lib/filter/gr_interp_fir_filter_fcc.h
new file mode 100644 (file)
index 0000000..f4dee3d
--- /dev/null
@@ -0,0 +1,69 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by generate_gr_fir_filter_XXX.py
+ * Any changes made to this file will be overwritten.
+ */
+
+#ifndef INCLUDED_GR_INTERP_FIR_FILTER_FCC_H
+#define        INCLUDED_GR_INTERP_FIR_FILTER_FCC_H
+
+#include <gr_sync_interpolator.h>
+
+class gr_interp_fir_filter_fcc;
+typedef boost::shared_ptr<gr_interp_fir_filter_fcc> gr_interp_fir_filter_fcc_sptr;
+gr_interp_fir_filter_fcc_sptr gr_make_interp_fir_filter_fcc (unsigned interpolation, const std::vector<gr_complex> &taps);
+
+class gr_fir_fcc;
+
+/*!
+ * \brief Interpolating FIR filter with float input, gr_complex output and gr_complex taps
+ * \ingroup filter_blk
+ */
+class gr_interp_fir_filter_fcc : public gr_sync_interpolator
+{
+ private:
+  friend gr_interp_fir_filter_fcc_sptr gr_make_interp_fir_filter_fcc (unsigned interpolation, const std::vector<gr_complex> &taps);
+
+  std::vector<gr_complex>      d_new_taps;
+  bool                 d_updated;
+  std::vector<gr_fir_fcc *> d_firs;
+
+  /*!
+   * Construct a FIR filter with the given taps
+   */
+  gr_interp_fir_filter_fcc (unsigned interpolation, const std::vector<gr_complex> &taps);
+
+  void install_taps (const std::vector<gr_complex> &taps);
+
+ public:
+  ~gr_interp_fir_filter_fcc ();
+
+  void set_taps (const std::vector<gr_complex> &taps);
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/filter/gr_interp_fir_filter_fcc.i b/gnuradio-core/src/lib/filter/gr_interp_fir_filter_fcc.i
new file mode 100644 (file)
index 0000000..126bf36
--- /dev/null
@@ -0,0 +1,41 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by generate_GrFIRfilterXXX.py
+ * Any changes made to this file will be overwritten.
+ */
+
+GR_SWIG_BLOCK_MAGIC(gr,interp_fir_filter_fcc)
+
+gr_interp_fir_filter_fcc_sptr gr_make_interp_fir_filter_fcc (int interpolation, const std::vector<gr_complex> &taps);
+
+class gr_interp_fir_filter_fcc : public gr_sync_interpolator
+{
+ private:
+  gr_interp_fir_filter_fcc (int interpolation, const std::vector<gr_complex> &taps);
+
+ public:
+  ~gr_interp_fir_filter_fcc ();
+
+  void set_taps (const std::vector<gr_complex> &taps);
+};
diff --git a/gnuradio-core/src/lib/filter/gr_interp_fir_filter_fff.cc b/gnuradio-core/src/lib/filter/gr_interp_fir_filter_fff.cc
new file mode 100644 (file)
index 0000000..51d76b1
--- /dev/null
@@ -0,0 +1,146 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by generate_gr_fir_filter_XXX.py
+ * Any changes made to this file will be overwritten.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_interp_fir_filter_fff.h>
+#include <gr_fir_fff.h>
+#include <gr_fir_util.h>
+#include <gr_io_signature.h>
+#include <stdexcept>
+#include <iostream>
+
+gr_interp_fir_filter_fff_sptr gr_make_interp_fir_filter_fff (unsigned interpolation, const std::vector<float> &taps)
+{
+  return gr_interp_fir_filter_fff_sptr (new gr_interp_fir_filter_fff (interpolation, taps));
+}
+
+
+gr_interp_fir_filter_fff::gr_interp_fir_filter_fff (unsigned interpolation, const std::vector<float> &taps)
+  : gr_sync_interpolator ("interp_fir_filter_fff",
+                         gr_make_io_signature (1, 1, sizeof (float)),
+                         gr_make_io_signature (1, 1, sizeof (float)),
+                         interpolation),
+    d_updated (false), d_firs (interpolation)
+{
+  if (interpolation == 0)
+    throw std::out_of_range ("interpolation must be > 0");
+
+  std::vector<float>   dummy_taps;
+  
+  for (unsigned i = 0; i < interpolation; i++)
+    d_firs[i] = gr_fir_util::create_gr_fir_fff (dummy_taps);
+
+  set_taps (taps);
+  install_taps(d_new_taps);
+}
+
+gr_interp_fir_filter_fff::~gr_interp_fir_filter_fff ()
+{
+  int interp = interpolation ();
+  for (int i = 0; i < interp; i++)
+    delete d_firs[i];
+}
+
+void
+gr_interp_fir_filter_fff::set_taps (const std::vector<float> &taps)
+{
+  d_new_taps = taps;
+  d_updated = true;
+
+  // round up length to a multiple of the interpolation factor
+  int n = taps.size () % interpolation ();
+  if (n > 0){
+    n = interpolation () - n;
+    while (n-- > 0)
+      d_new_taps.insert(d_new_taps.begin(), 0);
+  }
+
+  assert (d_new_taps.size () % interpolation () == 0);
+}
+
+
+void
+gr_interp_fir_filter_fff::install_taps (const std::vector<float> &taps)
+{
+  int nfilters = interpolation ();
+  int nt = taps.size () / nfilters;
+
+  assert (nt * nfilters == (int) taps.size ());
+
+  std::vector< std::vector <float> > xtaps (nfilters);
+
+  for (int n = 0; n < nfilters; n++)
+    xtaps[n].resize (nt);  
+
+  for (int i = 0; i < (int) taps.size(); i++)
+    xtaps[i % nfilters][i / nfilters] = taps[i];
+
+  for (int n = 0; n < nfilters; n++)
+    d_firs[n]->set_taps (xtaps[n]);
+  
+  set_history (nt);
+  d_updated = false;
+
+#if 0
+  for (int i = 0; i < nfilters; i++){
+    std::cout << "filter[" << i << "] = ";
+    for (int j = 0; j < nt; j++)
+      std::cout << xtaps[i][j] << " ";
+
+    std::cout << "\n";
+  }
+#endif
+
+}
+
+int
+gr_interp_fir_filter_fff::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  const float *in = (const float *) input_items[0];
+  float *out = (float *) output_items[0];
+
+  if (d_updated) {
+    install_taps (d_new_taps);
+    return 0;               // history requirements may have changed.
+  }
+
+  int nfilters = interpolation ();
+  int ni = noutput_items / interpolation ();
+  
+  for (int i = 0; i < ni; i++){
+    for (int nf = 0; nf < nfilters; nf++)
+      out[nf] = d_firs[nf]->filter (&in[i]);
+    out += nfilters;
+  }
+
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/filter/gr_interp_fir_filter_fff.h b/gnuradio-core/src/lib/filter/gr_interp_fir_filter_fff.h
new file mode 100644 (file)
index 0000000..176c522
--- /dev/null
@@ -0,0 +1,69 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by generate_gr_fir_filter_XXX.py
+ * Any changes made to this file will be overwritten.
+ */
+
+#ifndef INCLUDED_GR_INTERP_FIR_FILTER_FFF_H
+#define        INCLUDED_GR_INTERP_FIR_FILTER_FFF_H
+
+#include <gr_sync_interpolator.h>
+
+class gr_interp_fir_filter_fff;
+typedef boost::shared_ptr<gr_interp_fir_filter_fff> gr_interp_fir_filter_fff_sptr;
+gr_interp_fir_filter_fff_sptr gr_make_interp_fir_filter_fff (unsigned interpolation, const std::vector<float> &taps);
+
+class gr_fir_fff;
+
+/*!
+ * \brief Interpolating FIR filter with float input, float output and float taps
+ * \ingroup filter_blk
+ */
+class gr_interp_fir_filter_fff : public gr_sync_interpolator
+{
+ private:
+  friend gr_interp_fir_filter_fff_sptr gr_make_interp_fir_filter_fff (unsigned interpolation, const std::vector<float> &taps);
+
+  std::vector<float>   d_new_taps;
+  bool                 d_updated;
+  std::vector<gr_fir_fff *> d_firs;
+
+  /*!
+   * Construct a FIR filter with the given taps
+   */
+  gr_interp_fir_filter_fff (unsigned interpolation, const std::vector<float> &taps);
+
+  void install_taps (const std::vector<float> &taps);
+
+ public:
+  ~gr_interp_fir_filter_fff ();
+
+  void set_taps (const std::vector<float> &taps);
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/filter/gr_interp_fir_filter_fff.i b/gnuradio-core/src/lib/filter/gr_interp_fir_filter_fff.i
new file mode 100644 (file)
index 0000000..96f4291
--- /dev/null
@@ -0,0 +1,41 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by generate_GrFIRfilterXXX.py
+ * Any changes made to this file will be overwritten.
+ */
+
+GR_SWIG_BLOCK_MAGIC(gr,interp_fir_filter_fff)
+
+gr_interp_fir_filter_fff_sptr gr_make_interp_fir_filter_fff (int interpolation, const std::vector<float> &taps);
+
+class gr_interp_fir_filter_fff : public gr_sync_interpolator
+{
+ private:
+  gr_interp_fir_filter_fff (int interpolation, const std::vector<float> &taps);
+
+ public:
+  ~gr_interp_fir_filter_fff ();
+
+  void set_taps (const std::vector<float> &taps);
+};
diff --git a/gnuradio-core/src/lib/filter/gr_interp_fir_filter_fsf.cc b/gnuradio-core/src/lib/filter/gr_interp_fir_filter_fsf.cc
new file mode 100644 (file)
index 0000000..dcdb3fd
--- /dev/null
@@ -0,0 +1,146 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by generate_gr_fir_filter_XXX.py
+ * Any changes made to this file will be overwritten.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_interp_fir_filter_fsf.h>
+#include <gr_fir_fsf.h>
+#include <gr_fir_util.h>
+#include <gr_io_signature.h>
+#include <stdexcept>
+#include <iostream>
+
+gr_interp_fir_filter_fsf_sptr gr_make_interp_fir_filter_fsf (unsigned interpolation, const std::vector<float> &taps)
+{
+  return gr_interp_fir_filter_fsf_sptr (new gr_interp_fir_filter_fsf (interpolation, taps));
+}
+
+
+gr_interp_fir_filter_fsf::gr_interp_fir_filter_fsf (unsigned interpolation, const std::vector<float> &taps)
+  : gr_sync_interpolator ("interp_fir_filter_fsf",
+                         gr_make_io_signature (1, 1, sizeof (float)),
+                         gr_make_io_signature (1, 1, sizeof (short)),
+                         interpolation),
+    d_updated (false), d_firs (interpolation)
+{
+  if (interpolation == 0)
+    throw std::out_of_range ("interpolation must be > 0");
+
+  std::vector<float>   dummy_taps;
+  
+  for (unsigned i = 0; i < interpolation; i++)
+    d_firs[i] = gr_fir_util::create_gr_fir_fsf (dummy_taps);
+
+  set_taps (taps);
+  install_taps(d_new_taps);
+}
+
+gr_interp_fir_filter_fsf::~gr_interp_fir_filter_fsf ()
+{
+  int interp = interpolation ();
+  for (int i = 0; i < interp; i++)
+    delete d_firs[i];
+}
+
+void
+gr_interp_fir_filter_fsf::set_taps (const std::vector<float> &taps)
+{
+  d_new_taps = taps;
+  d_updated = true;
+
+  // round up length to a multiple of the interpolation factor
+  int n = taps.size () % interpolation ();
+  if (n > 0){
+    n = interpolation () - n;
+    while (n-- > 0)
+      d_new_taps.insert(d_new_taps.begin(), 0);
+  }
+
+  assert (d_new_taps.size () % interpolation () == 0);
+}
+
+
+void
+gr_interp_fir_filter_fsf::install_taps (const std::vector<float> &taps)
+{
+  int nfilters = interpolation ();
+  int nt = taps.size () / nfilters;
+
+  assert (nt * nfilters == (int) taps.size ());
+
+  std::vector< std::vector <float> > xtaps (nfilters);
+
+  for (int n = 0; n < nfilters; n++)
+    xtaps[n].resize (nt);  
+
+  for (int i = 0; i < (int) taps.size(); i++)
+    xtaps[i % nfilters][i / nfilters] = taps[i];
+
+  for (int n = 0; n < nfilters; n++)
+    d_firs[n]->set_taps (xtaps[n]);
+  
+  set_history (nt);
+  d_updated = false;
+
+#if 0
+  for (int i = 0; i < nfilters; i++){
+    std::cout << "filter[" << i << "] = ";
+    for (int j = 0; j < nt; j++)
+      std::cout << xtaps[i][j] << " ";
+
+    std::cout << "\n";
+  }
+#endif
+
+}
+
+int
+gr_interp_fir_filter_fsf::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  const float *in = (const float *) input_items[0];
+  short *out = (short *) output_items[0];
+
+  if (d_updated) {
+    install_taps (d_new_taps);
+    return 0;               // history requirements may have changed.
+  }
+
+  int nfilters = interpolation ();
+  int ni = noutput_items / interpolation ();
+  
+  for (int i = 0; i < ni; i++){
+    for (int nf = 0; nf < nfilters; nf++)
+      out[nf] = d_firs[nf]->filter (&in[i]);
+    out += nfilters;
+  }
+
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/filter/gr_interp_fir_filter_fsf.h b/gnuradio-core/src/lib/filter/gr_interp_fir_filter_fsf.h
new file mode 100644 (file)
index 0000000..de7333b
--- /dev/null
@@ -0,0 +1,69 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by generate_gr_fir_filter_XXX.py
+ * Any changes made to this file will be overwritten.
+ */
+
+#ifndef INCLUDED_GR_INTERP_FIR_FILTER_FSF_H
+#define        INCLUDED_GR_INTERP_FIR_FILTER_FSF_H
+
+#include <gr_sync_interpolator.h>
+
+class gr_interp_fir_filter_fsf;
+typedef boost::shared_ptr<gr_interp_fir_filter_fsf> gr_interp_fir_filter_fsf_sptr;
+gr_interp_fir_filter_fsf_sptr gr_make_interp_fir_filter_fsf (unsigned interpolation, const std::vector<float> &taps);
+
+class gr_fir_fsf;
+
+/*!
+ * \brief Interpolating FIR filter with float input, short output and float taps
+ * \ingroup filter_blk
+ */
+class gr_interp_fir_filter_fsf : public gr_sync_interpolator
+{
+ private:
+  friend gr_interp_fir_filter_fsf_sptr gr_make_interp_fir_filter_fsf (unsigned interpolation, const std::vector<float> &taps);
+
+  std::vector<float>   d_new_taps;
+  bool                 d_updated;
+  std::vector<gr_fir_fsf *> d_firs;
+
+  /*!
+   * Construct a FIR filter with the given taps
+   */
+  gr_interp_fir_filter_fsf (unsigned interpolation, const std::vector<float> &taps);
+
+  void install_taps (const std::vector<float> &taps);
+
+ public:
+  ~gr_interp_fir_filter_fsf ();
+
+  void set_taps (const std::vector<float> &taps);
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/filter/gr_interp_fir_filter_fsf.i b/gnuradio-core/src/lib/filter/gr_interp_fir_filter_fsf.i
new file mode 100644 (file)
index 0000000..6861db4
--- /dev/null
@@ -0,0 +1,41 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by generate_GrFIRfilterXXX.py
+ * Any changes made to this file will be overwritten.
+ */
+
+GR_SWIG_BLOCK_MAGIC(gr,interp_fir_filter_fsf)
+
+gr_interp_fir_filter_fsf_sptr gr_make_interp_fir_filter_fsf (int interpolation, const std::vector<float> &taps);
+
+class gr_interp_fir_filter_fsf : public gr_sync_interpolator
+{
+ private:
+  gr_interp_fir_filter_fsf (int interpolation, const std::vector<float> &taps);
+
+ public:
+  ~gr_interp_fir_filter_fsf ();
+
+  void set_taps (const std::vector<float> &taps);
+};
diff --git a/gnuradio-core/src/lib/filter/gr_interp_fir_filter_scc.cc b/gnuradio-core/src/lib/filter/gr_interp_fir_filter_scc.cc
new file mode 100644 (file)
index 0000000..65cf2ec
--- /dev/null
@@ -0,0 +1,146 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by generate_gr_fir_filter_XXX.py
+ * Any changes made to this file will be overwritten.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_interp_fir_filter_scc.h>
+#include <gr_fir_scc.h>
+#include <gr_fir_util.h>
+#include <gr_io_signature.h>
+#include <stdexcept>
+#include <iostream>
+
+gr_interp_fir_filter_scc_sptr gr_make_interp_fir_filter_scc (unsigned interpolation, const std::vector<gr_complex> &taps)
+{
+  return gr_interp_fir_filter_scc_sptr (new gr_interp_fir_filter_scc (interpolation, taps));
+}
+
+
+gr_interp_fir_filter_scc::gr_interp_fir_filter_scc (unsigned interpolation, const std::vector<gr_complex> &taps)
+  : gr_sync_interpolator ("interp_fir_filter_scc",
+                         gr_make_io_signature (1, 1, sizeof (short)),
+                         gr_make_io_signature (1, 1, sizeof (gr_complex)),
+                         interpolation),
+    d_updated (false), d_firs (interpolation)
+{
+  if (interpolation == 0)
+    throw std::out_of_range ("interpolation must be > 0");
+
+  std::vector<gr_complex>      dummy_taps;
+  
+  for (unsigned i = 0; i < interpolation; i++)
+    d_firs[i] = gr_fir_util::create_gr_fir_scc (dummy_taps);
+
+  set_taps (taps);
+  install_taps(d_new_taps);
+}
+
+gr_interp_fir_filter_scc::~gr_interp_fir_filter_scc ()
+{
+  int interp = interpolation ();
+  for (int i = 0; i < interp; i++)
+    delete d_firs[i];
+}
+
+void
+gr_interp_fir_filter_scc::set_taps (const std::vector<gr_complex> &taps)
+{
+  d_new_taps = taps;
+  d_updated = true;
+
+  // round up length to a multiple of the interpolation factor
+  int n = taps.size () % interpolation ();
+  if (n > 0){
+    n = interpolation () - n;
+    while (n-- > 0)
+      d_new_taps.insert(d_new_taps.begin(), 0);
+  }
+
+  assert (d_new_taps.size () % interpolation () == 0);
+}
+
+
+void
+gr_interp_fir_filter_scc::install_taps (const std::vector<gr_complex> &taps)
+{
+  int nfilters = interpolation ();
+  int nt = taps.size () / nfilters;
+
+  assert (nt * nfilters == (int) taps.size ());
+
+  std::vector< std::vector <gr_complex> > xtaps (nfilters);
+
+  for (int n = 0; n < nfilters; n++)
+    xtaps[n].resize (nt);  
+
+  for (int i = 0; i < (int) taps.size(); i++)
+    xtaps[i % nfilters][i / nfilters] = taps[i];
+
+  for (int n = 0; n < nfilters; n++)
+    d_firs[n]->set_taps (xtaps[n]);
+  
+  set_history (nt);
+  d_updated = false;
+
+#if 0
+  for (int i = 0; i < nfilters; i++){
+    std::cout << "filter[" << i << "] = ";
+    for (int j = 0; j < nt; j++)
+      std::cout << xtaps[i][j] << " ";
+
+    std::cout << "\n";
+  }
+#endif
+
+}
+
+int
+gr_interp_fir_filter_scc::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  const short *in = (const short *) input_items[0];
+  gr_complex *out = (gr_complex *) output_items[0];
+
+  if (d_updated) {
+    install_taps (d_new_taps);
+    return 0;               // history requirements may have changed.
+  }
+
+  int nfilters = interpolation ();
+  int ni = noutput_items / interpolation ();
+  
+  for (int i = 0; i < ni; i++){
+    for (int nf = 0; nf < nfilters; nf++)
+      out[nf] = d_firs[nf]->filter (&in[i]);
+    out += nfilters;
+  }
+
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/filter/gr_interp_fir_filter_scc.h b/gnuradio-core/src/lib/filter/gr_interp_fir_filter_scc.h
new file mode 100644 (file)
index 0000000..bbf427f
--- /dev/null
@@ -0,0 +1,69 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by generate_gr_fir_filter_XXX.py
+ * Any changes made to this file will be overwritten.
+ */
+
+#ifndef INCLUDED_GR_INTERP_FIR_FILTER_SCC_H
+#define        INCLUDED_GR_INTERP_FIR_FILTER_SCC_H
+
+#include <gr_sync_interpolator.h>
+
+class gr_interp_fir_filter_scc;
+typedef boost::shared_ptr<gr_interp_fir_filter_scc> gr_interp_fir_filter_scc_sptr;
+gr_interp_fir_filter_scc_sptr gr_make_interp_fir_filter_scc (unsigned interpolation, const std::vector<gr_complex> &taps);
+
+class gr_fir_scc;
+
+/*!
+ * \brief Interpolating FIR filter with short input, gr_complex output and gr_complex taps
+ * \ingroup filter_blk
+ */
+class gr_interp_fir_filter_scc : public gr_sync_interpolator
+{
+ private:
+  friend gr_interp_fir_filter_scc_sptr gr_make_interp_fir_filter_scc (unsigned interpolation, const std::vector<gr_complex> &taps);
+
+  std::vector<gr_complex>      d_new_taps;
+  bool                 d_updated;
+  std::vector<gr_fir_scc *> d_firs;
+
+  /*!
+   * Construct a FIR filter with the given taps
+   */
+  gr_interp_fir_filter_scc (unsigned interpolation, const std::vector<gr_complex> &taps);
+
+  void install_taps (const std::vector<gr_complex> &taps);
+
+ public:
+  ~gr_interp_fir_filter_scc ();
+
+  void set_taps (const std::vector<gr_complex> &taps);
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/filter/gr_interp_fir_filter_scc.i b/gnuradio-core/src/lib/filter/gr_interp_fir_filter_scc.i
new file mode 100644 (file)
index 0000000..5bf3386
--- /dev/null
@@ -0,0 +1,41 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by generate_GrFIRfilterXXX.py
+ * Any changes made to this file will be overwritten.
+ */
+
+GR_SWIG_BLOCK_MAGIC(gr,interp_fir_filter_scc)
+
+gr_interp_fir_filter_scc_sptr gr_make_interp_fir_filter_scc (int interpolation, const std::vector<gr_complex> &taps);
+
+class gr_interp_fir_filter_scc : public gr_sync_interpolator
+{
+ private:
+  gr_interp_fir_filter_scc (int interpolation, const std::vector<gr_complex> &taps);
+
+ public:
+  ~gr_interp_fir_filter_scc ();
+
+  void set_taps (const std::vector<gr_complex> &taps);
+};
diff --git a/gnuradio-core/src/lib/filter/gr_rational_resampler_base_ccc.cc b/gnuradio-core/src/lib/filter/gr_rational_resampler_base_ccc.cc
new file mode 100644 (file)
index 0000000..99d682c
--- /dev/null
@@ -0,0 +1,172 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by
+ * generate_gr_rational_resampler_base_XXX.py Any changes made to this
+ * file will be overwritten.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_rational_resampler_base_ccc.h>
+#include <gr_fir_ccc.h>
+#include <gr_fir_util.h>
+#include <gr_io_signature.h>
+#include <stdexcept>
+#include <iostream>
+
+gr_rational_resampler_base_ccc_sptr 
+gr_make_rational_resampler_base_ccc (unsigned interpolation,
+                    unsigned decimation, 
+                    const std::vector<gr_complex> &taps)
+{
+  return gr_rational_resampler_base_ccc_sptr (new gr_rational_resampler_base_ccc (interpolation, decimation, taps));
+}
+
+gr_rational_resampler_base_ccc::gr_rational_resampler_base_ccc (unsigned interpolation, unsigned decimation,
+               const std::vector<gr_complex> &taps)
+  : gr_block ("rational_resampler_base_ccc",
+             gr_make_io_signature (1, 1, sizeof (gr_complex)),
+             gr_make_io_signature (1, 1, sizeof (gr_complex))),
+    d_history(1),
+    d_interpolation(interpolation), d_decimation(decimation),
+    d_ctr(0), d_updated(false),
+    d_firs(interpolation)
+{
+  if (interpolation == 0)
+    throw std::out_of_range ("interpolation must be > 0");
+  if (decimation == 0)
+    throw std::out_of_range ("decimation must be > 0");
+
+  set_relative_rate (1.0 * interpolation / decimation);
+  set_output_multiple (1);
+
+  std::vector<gr_complex>      dummy_taps;
+  
+  for (unsigned i = 0; i < interpolation; i++)
+    d_firs[i] = gr_fir_util::create_gr_fir_ccc (dummy_taps);
+
+  set_taps (taps);
+  install_taps (d_new_taps);
+}
+
+gr_rational_resampler_base_ccc::~gr_rational_resampler_base_ccc ()
+{
+  int interp = interpolation();
+  for (int i = 0; i < interp; i++)
+    delete d_firs[i];
+}
+
+void
+gr_rational_resampler_base_ccc::set_taps (const std::vector<gr_complex> &taps)
+{
+  d_new_taps = taps;
+  d_updated = true;
+
+  // round up length to a multiple of the interpolation factor
+  int n = taps.size () % interpolation ();
+  if (n > 0){
+    n = interpolation () - n;
+    while (n-- > 0)
+      d_new_taps.insert(d_new_taps.begin(), 0);
+  }
+
+  assert (d_new_taps.size () % interpolation () == 0);
+}
+
+
+void
+gr_rational_resampler_base_ccc::install_taps (const std::vector<gr_complex> &taps)
+{
+  int nfilters = interpolation ();
+  int nt = taps.size () / nfilters;
+
+  assert (nt * nfilters == (int) taps.size ());
+
+  std::vector< std::vector <gr_complex> > xtaps (nfilters);
+
+  for (int n = 0; n < nfilters; n++)
+    xtaps[n].resize (nt);  
+
+  for (int i = 0; i < (int) taps.size(); i++)
+    xtaps[i % nfilters][i / nfilters] = taps[i];
+
+  for (int n = 0; n < nfilters; n++)
+    d_firs[n]->set_taps (xtaps[n]);
+  
+  set_history (nt);
+  d_updated = false;
+
+#if 0
+  for (int i = 0; i < nfilters; i++){
+    std::cout << "filter[" << i << "] = ";
+    for (int j = 0; j < nt; j++)
+      std::cout << xtaps[i][j] << " ";
+
+    std::cout << "\n";
+  }
+#endif
+
+}
+
+void
+gr_rational_resampler_base_ccc::forecast (int noutput_items, gr_vector_int &ninput_items_required)
+{
+  int nreqd = std::max((unsigned)1, (int)((double) (noutput_items+1) * decimation() / interpolation()) + history() - 1);
+  unsigned ninputs = ninput_items_required.size ();
+  for (unsigned i = 0; i < ninputs; i++)
+    ninput_items_required[i] = nreqd;
+}
+
+int
+gr_rational_resampler_base_ccc::general_work (int noutput_items,
+                     gr_vector_int &ninput_items,
+                     gr_vector_const_void_star &input_items,
+                     gr_vector_void_star &output_items)
+{
+  const gr_complex *in = (const gr_complex *) input_items[0];
+  gr_complex *out = (gr_complex *) output_items[0];
+
+  if (d_updated) {
+    install_taps (d_new_taps);
+    return 0;          // history requirement may have increased.
+  }
+
+  unsigned int ctr = d_ctr;
+
+  int i = 0;
+  while (i < noutput_items){
+    out[i++] = d_firs[ctr]->filter(in);
+    ctr += decimation();
+    while (ctr >= interpolation()){
+      ctr -= interpolation();
+      in++;
+    }
+  }
+
+  d_ctr = ctr;
+  consume_each(in - (gr_complex *) input_items[0]);
+  return i;
+}
diff --git a/gnuradio-core/src/lib/filter/gr_rational_resampler_base_ccc.h b/gnuradio-core/src/lib/filter/gr_rational_resampler_base_ccc.h
new file mode 100644 (file)
index 0000000..68a0128
--- /dev/null
@@ -0,0 +1,87 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2005 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by
+ * generate_gr_rational_resampler_base_XXX.py Any changes made to this
+ * file will be overwritten.
+ */
+
+#ifndef INCLUDED_GR_RATIONAL_RESAMPLER_BASE_CCC_H
+#define        INCLUDED_GR_RATIONAL_RESAMPLER_BASE_CCC_H
+
+#include <gr_block.h>
+
+class gr_rational_resampler_base_ccc;
+typedef boost::shared_ptr<gr_rational_resampler_base_ccc> gr_rational_resampler_base_ccc_sptr;
+gr_rational_resampler_base_ccc_sptr
+gr_make_rational_resampler_base_ccc (unsigned interpolation,
+                    unsigned decimation,
+                    const std::vector<gr_complex> &taps);
+
+class gr_fir_ccc;
+
+/*!
+ * \brief Rational Resampling Polyphase FIR filter with gr_complex input, gr_complex output and gr_complex taps
+ * \ingroup filter_blk
+ */
+class gr_rational_resampler_base_ccc : public gr_block
+{
+ private:
+  unsigned                     d_history;
+  unsigned                     d_interpolation, d_decimation;
+  unsigned                     d_ctr;
+  std::vector<gr_complex>      d_new_taps;
+  bool                         d_updated;
+  std::vector<gr_fir_ccc *> d_firs;
+
+  friend gr_rational_resampler_base_ccc_sptr 
+  gr_make_rational_resampler_base_ccc (unsigned interpolation, unsigned decimation, const std::vector<gr_complex> &taps);
+
+
+  /*!
+   * Construct a FIR filter with the given taps
+   */
+  gr_rational_resampler_base_ccc (unsigned interpolation, unsigned decimation,
+         const std::vector<gr_complex> &taps);
+
+  void install_taps (const std::vector<gr_complex> &taps);
+
+ public:
+  ~gr_rational_resampler_base_ccc ();
+  unsigned history () const { return d_history; }
+  void  set_history (unsigned history) { d_history = history; }
+
+  unsigned interpolation() const { return d_interpolation; }
+  unsigned decimation() const { return d_decimation; }
+
+  void set_taps (const std::vector<gr_complex> &taps);
+
+  void forecast (int noutput_items, gr_vector_int &ninput_items_required);
+  int  general_work (int noutput_items,
+                    gr_vector_int &ninput_items,
+                    gr_vector_const_void_star &input_items,
+                    gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/filter/gr_rational_resampler_base_ccc.i b/gnuradio-core/src/lib/filter/gr_rational_resampler_base_ccc.i
new file mode 100644 (file)
index 0000000..acb7a1c
--- /dev/null
@@ -0,0 +1,42 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2005 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by
+ * generate_gr_rational_resampler_base_XXX.py Any changes made to this
+ * file will be overwritten.
+ */
+
+GR_SWIG_BLOCK_MAGIC(gr,rational_resampler_base_ccc);
+
+gr_rational_resampler_base_ccc_sptr gr_make_rational_resampler_base_ccc (int interpolation, int decimation, const std::vector<gr_complex> &taps);
+
+class gr_rational_resampler_base_ccc : public gr_block
+{
+ private:
+  gr_rational_resampler_base_ccc (int interpolation, int decimation, const std::vector<gr_complex> &taps);
+
+ public:
+  ~gr_rational_resampler_base_ccc ();
+
+  void set_taps (const std::vector<gr_complex> &taps);
+};
diff --git a/gnuradio-core/src/lib/filter/gr_rational_resampler_base_ccf.cc b/gnuradio-core/src/lib/filter/gr_rational_resampler_base_ccf.cc
new file mode 100644 (file)
index 0000000..feadb14
--- /dev/null
@@ -0,0 +1,172 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by
+ * generate_gr_rational_resampler_base_XXX.py Any changes made to this
+ * file will be overwritten.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_rational_resampler_base_ccf.h>
+#include <gr_fir_ccf.h>
+#include <gr_fir_util.h>
+#include <gr_io_signature.h>
+#include <stdexcept>
+#include <iostream>
+
+gr_rational_resampler_base_ccf_sptr 
+gr_make_rational_resampler_base_ccf (unsigned interpolation,
+                    unsigned decimation, 
+                    const std::vector<float> &taps)
+{
+  return gr_rational_resampler_base_ccf_sptr (new gr_rational_resampler_base_ccf (interpolation, decimation, taps));
+}
+
+gr_rational_resampler_base_ccf::gr_rational_resampler_base_ccf (unsigned interpolation, unsigned decimation,
+               const std::vector<float> &taps)
+  : gr_block ("rational_resampler_base_ccf",
+             gr_make_io_signature (1, 1, sizeof (gr_complex)),
+             gr_make_io_signature (1, 1, sizeof (gr_complex))),
+    d_history(1),
+    d_interpolation(interpolation), d_decimation(decimation),
+    d_ctr(0), d_updated(false),
+    d_firs(interpolation)
+{
+  if (interpolation == 0)
+    throw std::out_of_range ("interpolation must be > 0");
+  if (decimation == 0)
+    throw std::out_of_range ("decimation must be > 0");
+
+  set_relative_rate (1.0 * interpolation / decimation);
+  set_output_multiple (1);
+
+  std::vector<float>   dummy_taps;
+  
+  for (unsigned i = 0; i < interpolation; i++)
+    d_firs[i] = gr_fir_util::create_gr_fir_ccf (dummy_taps);
+
+  set_taps (taps);
+  install_taps (d_new_taps);
+}
+
+gr_rational_resampler_base_ccf::~gr_rational_resampler_base_ccf ()
+{
+  int interp = interpolation();
+  for (int i = 0; i < interp; i++)
+    delete d_firs[i];
+}
+
+void
+gr_rational_resampler_base_ccf::set_taps (const std::vector<float> &taps)
+{
+  d_new_taps = taps;
+  d_updated = true;
+
+  // round up length to a multiple of the interpolation factor
+  int n = taps.size () % interpolation ();
+  if (n > 0){
+    n = interpolation () - n;
+    while (n-- > 0)
+      d_new_taps.insert(d_new_taps.begin(), 0);
+  }
+
+  assert (d_new_taps.size () % interpolation () == 0);
+}
+
+
+void
+gr_rational_resampler_base_ccf::install_taps (const std::vector<float> &taps)
+{
+  int nfilters = interpolation ();
+  int nt = taps.size () / nfilters;
+
+  assert (nt * nfilters == (int) taps.size ());
+
+  std::vector< std::vector <float> > xtaps (nfilters);
+
+  for (int n = 0; n < nfilters; n++)
+    xtaps[n].resize (nt);  
+
+  for (int i = 0; i < (int) taps.size(); i++)
+    xtaps[i % nfilters][i / nfilters] = taps[i];
+
+  for (int n = 0; n < nfilters; n++)
+    d_firs[n]->set_taps (xtaps[n]);
+  
+  set_history (nt);
+  d_updated = false;
+
+#if 0
+  for (int i = 0; i < nfilters; i++){
+    std::cout << "filter[" << i << "] = ";
+    for (int j = 0; j < nt; j++)
+      std::cout << xtaps[i][j] << " ";
+
+    std::cout << "\n";
+  }
+#endif
+
+}
+
+void
+gr_rational_resampler_base_ccf::forecast (int noutput_items, gr_vector_int &ninput_items_required)
+{
+  int nreqd = std::max((unsigned)1, (int)((double) (noutput_items+1) * decimation() / interpolation()) + history() - 1);
+  unsigned ninputs = ninput_items_required.size ();
+  for (unsigned i = 0; i < ninputs; i++)
+    ninput_items_required[i] = nreqd;
+}
+
+int
+gr_rational_resampler_base_ccf::general_work (int noutput_items,
+                     gr_vector_int &ninput_items,
+                     gr_vector_const_void_star &input_items,
+                     gr_vector_void_star &output_items)
+{
+  const gr_complex *in = (const gr_complex *) input_items[0];
+  gr_complex *out = (gr_complex *) output_items[0];
+
+  if (d_updated) {
+    install_taps (d_new_taps);
+    return 0;          // history requirement may have increased.
+  }
+
+  unsigned int ctr = d_ctr;
+
+  int i = 0;
+  while (i < noutput_items){
+    out[i++] = d_firs[ctr]->filter(in);
+    ctr += decimation();
+    while (ctr >= interpolation()){
+      ctr -= interpolation();
+      in++;
+    }
+  }
+
+  d_ctr = ctr;
+  consume_each(in - (gr_complex *) input_items[0]);
+  return i;
+}
diff --git a/gnuradio-core/src/lib/filter/gr_rational_resampler_base_ccf.h b/gnuradio-core/src/lib/filter/gr_rational_resampler_base_ccf.h
new file mode 100644 (file)
index 0000000..48fd3d9
--- /dev/null
@@ -0,0 +1,87 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2005 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by
+ * generate_gr_rational_resampler_base_XXX.py Any changes made to this
+ * file will be overwritten.
+ */
+
+#ifndef INCLUDED_GR_RATIONAL_RESAMPLER_BASE_CCF_H
+#define        INCLUDED_GR_RATIONAL_RESAMPLER_BASE_CCF_H
+
+#include <gr_block.h>
+
+class gr_rational_resampler_base_ccf;
+typedef boost::shared_ptr<gr_rational_resampler_base_ccf> gr_rational_resampler_base_ccf_sptr;
+gr_rational_resampler_base_ccf_sptr
+gr_make_rational_resampler_base_ccf (unsigned interpolation,
+                    unsigned decimation,
+                    const std::vector<float> &taps);
+
+class gr_fir_ccf;
+
+/*!
+ * \brief Rational Resampling Polyphase FIR filter with gr_complex input, gr_complex output and float taps
+ * \ingroup filter_blk
+ */
+class gr_rational_resampler_base_ccf : public gr_block
+{
+ private:
+  unsigned                     d_history;
+  unsigned                     d_interpolation, d_decimation;
+  unsigned                     d_ctr;
+  std::vector<float>   d_new_taps;
+  bool                         d_updated;
+  std::vector<gr_fir_ccf *> d_firs;
+
+  friend gr_rational_resampler_base_ccf_sptr 
+  gr_make_rational_resampler_base_ccf (unsigned interpolation, unsigned decimation, const std::vector<float> &taps);
+
+
+  /*!
+   * Construct a FIR filter with the given taps
+   */
+  gr_rational_resampler_base_ccf (unsigned interpolation, unsigned decimation,
+         const std::vector<float> &taps);
+
+  void install_taps (const std::vector<float> &taps);
+
+ public:
+  ~gr_rational_resampler_base_ccf ();
+  unsigned history () const { return d_history; }
+  void  set_history (unsigned history) { d_history = history; }
+
+  unsigned interpolation() const { return d_interpolation; }
+  unsigned decimation() const { return d_decimation; }
+
+  void set_taps (const std::vector<float> &taps);
+
+  void forecast (int noutput_items, gr_vector_int &ninput_items_required);
+  int  general_work (int noutput_items,
+                    gr_vector_int &ninput_items,
+                    gr_vector_const_void_star &input_items,
+                    gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/filter/gr_rational_resampler_base_ccf.i b/gnuradio-core/src/lib/filter/gr_rational_resampler_base_ccf.i
new file mode 100644 (file)
index 0000000..174d73c
--- /dev/null
@@ -0,0 +1,42 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2005 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by
+ * generate_gr_rational_resampler_base_XXX.py Any changes made to this
+ * file will be overwritten.
+ */
+
+GR_SWIG_BLOCK_MAGIC(gr,rational_resampler_base_ccf);
+
+gr_rational_resampler_base_ccf_sptr gr_make_rational_resampler_base_ccf (int interpolation, int decimation, const std::vector<float> &taps);
+
+class gr_rational_resampler_base_ccf : public gr_block
+{
+ private:
+  gr_rational_resampler_base_ccf (int interpolation, int decimation, const std::vector<float> &taps);
+
+ public:
+  ~gr_rational_resampler_base_ccf ();
+
+  void set_taps (const std::vector<float> &taps);
+};
diff --git a/gnuradio-core/src/lib/filter/gr_rational_resampler_base_fcc.cc b/gnuradio-core/src/lib/filter/gr_rational_resampler_base_fcc.cc
new file mode 100644 (file)
index 0000000..b0065dc
--- /dev/null
@@ -0,0 +1,172 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by
+ * generate_gr_rational_resampler_base_XXX.py Any changes made to this
+ * file will be overwritten.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_rational_resampler_base_fcc.h>
+#include <gr_fir_fcc.h>
+#include <gr_fir_util.h>
+#include <gr_io_signature.h>
+#include <stdexcept>
+#include <iostream>
+
+gr_rational_resampler_base_fcc_sptr 
+gr_make_rational_resampler_base_fcc (unsigned interpolation,
+                    unsigned decimation, 
+                    const std::vector<gr_complex> &taps)
+{
+  return gr_rational_resampler_base_fcc_sptr (new gr_rational_resampler_base_fcc (interpolation, decimation, taps));
+}
+
+gr_rational_resampler_base_fcc::gr_rational_resampler_base_fcc (unsigned interpolation, unsigned decimation,
+               const std::vector<gr_complex> &taps)
+  : gr_block ("rational_resampler_base_fcc",
+             gr_make_io_signature (1, 1, sizeof (float)),
+             gr_make_io_signature (1, 1, sizeof (gr_complex))),
+    d_history(1),
+    d_interpolation(interpolation), d_decimation(decimation),
+    d_ctr(0), d_updated(false),
+    d_firs(interpolation)
+{
+  if (interpolation == 0)
+    throw std::out_of_range ("interpolation must be > 0");
+  if (decimation == 0)
+    throw std::out_of_range ("decimation must be > 0");
+
+  set_relative_rate (1.0 * interpolation / decimation);
+  set_output_multiple (1);
+
+  std::vector<gr_complex>      dummy_taps;
+  
+  for (unsigned i = 0; i < interpolation; i++)
+    d_firs[i] = gr_fir_util::create_gr_fir_fcc (dummy_taps);
+
+  set_taps (taps);
+  install_taps (d_new_taps);
+}
+
+gr_rational_resampler_base_fcc::~gr_rational_resampler_base_fcc ()
+{
+  int interp = interpolation();
+  for (int i = 0; i < interp; i++)
+    delete d_firs[i];
+}
+
+void
+gr_rational_resampler_base_fcc::set_taps (const std::vector<gr_complex> &taps)
+{
+  d_new_taps = taps;
+  d_updated = true;
+
+  // round up length to a multiple of the interpolation factor
+  int n = taps.size () % interpolation ();
+  if (n > 0){
+    n = interpolation () - n;
+    while (n-- > 0)
+      d_new_taps.insert(d_new_taps.begin(), 0);
+  }
+
+  assert (d_new_taps.size () % interpolation () == 0);
+}
+
+
+void
+gr_rational_resampler_base_fcc::install_taps (const std::vector<gr_complex> &taps)
+{
+  int nfilters = interpolation ();
+  int nt = taps.size () / nfilters;
+
+  assert (nt * nfilters == (int) taps.size ());
+
+  std::vector< std::vector <gr_complex> > xtaps (nfilters);
+
+  for (int n = 0; n < nfilters; n++)
+    xtaps[n].resize (nt);  
+
+  for (int i = 0; i < (int) taps.size(); i++)
+    xtaps[i % nfilters][i / nfilters] = taps[i];
+
+  for (int n = 0; n < nfilters; n++)
+    d_firs[n]->set_taps (xtaps[n]);
+  
+  set_history (nt);
+  d_updated = false;
+
+#if 0
+  for (int i = 0; i < nfilters; i++){
+    std::cout << "filter[" << i << "] = ";
+    for (int j = 0; j < nt; j++)
+      std::cout << xtaps[i][j] << " ";
+
+    std::cout << "\n";
+  }
+#endif
+
+}
+
+void
+gr_rational_resampler_base_fcc::forecast (int noutput_items, gr_vector_int &ninput_items_required)
+{
+  int nreqd = std::max((unsigned)1, (int)((double) (noutput_items+1) * decimation() / interpolation()) + history() - 1);
+  unsigned ninputs = ninput_items_required.size ();
+  for (unsigned i = 0; i < ninputs; i++)
+    ninput_items_required[i] = nreqd;
+}
+
+int
+gr_rational_resampler_base_fcc::general_work (int noutput_items,
+                     gr_vector_int &ninput_items,
+                     gr_vector_const_void_star &input_items,
+                     gr_vector_void_star &output_items)
+{
+  const float *in = (const float *) input_items[0];
+  gr_complex *out = (gr_complex *) output_items[0];
+
+  if (d_updated) {
+    install_taps (d_new_taps);
+    return 0;          // history requirement may have increased.
+  }
+
+  unsigned int ctr = d_ctr;
+
+  int i = 0;
+  while (i < noutput_items){
+    out[i++] = d_firs[ctr]->filter(in);
+    ctr += decimation();
+    while (ctr >= interpolation()){
+      ctr -= interpolation();
+      in++;
+    }
+  }
+
+  d_ctr = ctr;
+  consume_each(in - (float *) input_items[0]);
+  return i;
+}
diff --git a/gnuradio-core/src/lib/filter/gr_rational_resampler_base_fcc.h b/gnuradio-core/src/lib/filter/gr_rational_resampler_base_fcc.h
new file mode 100644 (file)
index 0000000..a3f90ca
--- /dev/null
@@ -0,0 +1,87 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2005 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by
+ * generate_gr_rational_resampler_base_XXX.py Any changes made to this
+ * file will be overwritten.
+ */
+
+#ifndef INCLUDED_GR_RATIONAL_RESAMPLER_BASE_FCC_H
+#define        INCLUDED_GR_RATIONAL_RESAMPLER_BASE_FCC_H
+
+#include <gr_block.h>
+
+class gr_rational_resampler_base_fcc;
+typedef boost::shared_ptr<gr_rational_resampler_base_fcc> gr_rational_resampler_base_fcc_sptr;
+gr_rational_resampler_base_fcc_sptr
+gr_make_rational_resampler_base_fcc (unsigned interpolation,
+                    unsigned decimation,
+                    const std::vector<gr_complex> &taps);
+
+class gr_fir_fcc;
+
+/*!
+ * \brief Rational Resampling Polyphase FIR filter with float input, gr_complex output and gr_complex taps
+ * \ingroup filter_blk
+ */
+class gr_rational_resampler_base_fcc : public gr_block
+{
+ private:
+  unsigned                     d_history;
+  unsigned                     d_interpolation, d_decimation;
+  unsigned                     d_ctr;
+  std::vector<gr_complex>      d_new_taps;
+  bool                         d_updated;
+  std::vector<gr_fir_fcc *> d_firs;
+
+  friend gr_rational_resampler_base_fcc_sptr 
+  gr_make_rational_resampler_base_fcc (unsigned interpolation, unsigned decimation, const std::vector<gr_complex> &taps);
+
+
+  /*!
+   * Construct a FIR filter with the given taps
+   */
+  gr_rational_resampler_base_fcc (unsigned interpolation, unsigned decimation,
+         const std::vector<gr_complex> &taps);
+
+  void install_taps (const std::vector<gr_complex> &taps);
+
+ public:
+  ~gr_rational_resampler_base_fcc ();
+  unsigned history () const { return d_history; }
+  void  set_history (unsigned history) { d_history = history; }
+
+  unsigned interpolation() const { return d_interpolation; }
+  unsigned decimation() const { return d_decimation; }
+
+  void set_taps (const std::vector<gr_complex> &taps);
+
+  void forecast (int noutput_items, gr_vector_int &ninput_items_required);
+  int  general_work (int noutput_items,
+                    gr_vector_int &ninput_items,
+                    gr_vector_const_void_star &input_items,
+                    gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/filter/gr_rational_resampler_base_fcc.i b/gnuradio-core/src/lib/filter/gr_rational_resampler_base_fcc.i
new file mode 100644 (file)
index 0000000..0789e78
--- /dev/null
@@ -0,0 +1,42 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2005 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by
+ * generate_gr_rational_resampler_base_XXX.py Any changes made to this
+ * file will be overwritten.
+ */
+
+GR_SWIG_BLOCK_MAGIC(gr,rational_resampler_base_fcc);
+
+gr_rational_resampler_base_fcc_sptr gr_make_rational_resampler_base_fcc (int interpolation, int decimation, const std::vector<gr_complex> &taps);
+
+class gr_rational_resampler_base_fcc : public gr_block
+{
+ private:
+  gr_rational_resampler_base_fcc (int interpolation, int decimation, const std::vector<gr_complex> &taps);
+
+ public:
+  ~gr_rational_resampler_base_fcc ();
+
+  void set_taps (const std::vector<gr_complex> &taps);
+};
diff --git a/gnuradio-core/src/lib/filter/gr_rational_resampler_base_fff.cc b/gnuradio-core/src/lib/filter/gr_rational_resampler_base_fff.cc
new file mode 100644 (file)
index 0000000..7320ae3
--- /dev/null
@@ -0,0 +1,172 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by
+ * generate_gr_rational_resampler_base_XXX.py Any changes made to this
+ * file will be overwritten.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_rational_resampler_base_fff.h>
+#include <gr_fir_fff.h>
+#include <gr_fir_util.h>
+#include <gr_io_signature.h>
+#include <stdexcept>
+#include <iostream>
+
+gr_rational_resampler_base_fff_sptr 
+gr_make_rational_resampler_base_fff (unsigned interpolation,
+                    unsigned decimation, 
+                    const std::vector<float> &taps)
+{
+  return gr_rational_resampler_base_fff_sptr (new gr_rational_resampler_base_fff (interpolation, decimation, taps));
+}
+
+gr_rational_resampler_base_fff::gr_rational_resampler_base_fff (unsigned interpolation, unsigned decimation,
+               const std::vector<float> &taps)
+  : gr_block ("rational_resampler_base_fff",
+             gr_make_io_signature (1, 1, sizeof (float)),
+             gr_make_io_signature (1, 1, sizeof (float))),
+    d_history(1),
+    d_interpolation(interpolation), d_decimation(decimation),
+    d_ctr(0), d_updated(false),
+    d_firs(interpolation)
+{
+  if (interpolation == 0)
+    throw std::out_of_range ("interpolation must be > 0");
+  if (decimation == 0)
+    throw std::out_of_range ("decimation must be > 0");
+
+  set_relative_rate (1.0 * interpolation / decimation);
+  set_output_multiple (1);
+
+  std::vector<float>   dummy_taps;
+  
+  for (unsigned i = 0; i < interpolation; i++)
+    d_firs[i] = gr_fir_util::create_gr_fir_fff (dummy_taps);
+
+  set_taps (taps);
+  install_taps (d_new_taps);
+}
+
+gr_rational_resampler_base_fff::~gr_rational_resampler_base_fff ()
+{
+  int interp = interpolation();
+  for (int i = 0; i < interp; i++)
+    delete d_firs[i];
+}
+
+void
+gr_rational_resampler_base_fff::set_taps (const std::vector<float> &taps)
+{
+  d_new_taps = taps;
+  d_updated = true;
+
+  // round up length to a multiple of the interpolation factor
+  int n = taps.size () % interpolation ();
+  if (n > 0){
+    n = interpolation () - n;
+    while (n-- > 0)
+      d_new_taps.insert(d_new_taps.begin(), 0);
+  }
+
+  assert (d_new_taps.size () % interpolation () == 0);
+}
+
+
+void
+gr_rational_resampler_base_fff::install_taps (const std::vector<float> &taps)
+{
+  int nfilters = interpolation ();
+  int nt = taps.size () / nfilters;
+
+  assert (nt * nfilters == (int) taps.size ());
+
+  std::vector< std::vector <float> > xtaps (nfilters);
+
+  for (int n = 0; n < nfilters; n++)
+    xtaps[n].resize (nt);  
+
+  for (int i = 0; i < (int) taps.size(); i++)
+    xtaps[i % nfilters][i / nfilters] = taps[i];
+
+  for (int n = 0; n < nfilters; n++)
+    d_firs[n]->set_taps (xtaps[n]);
+  
+  set_history (nt);
+  d_updated = false;
+
+#if 0
+  for (int i = 0; i < nfilters; i++){
+    std::cout << "filter[" << i << "] = ";
+    for (int j = 0; j < nt; j++)
+      std::cout << xtaps[i][j] << " ";
+
+    std::cout << "\n";
+  }
+#endif
+
+}
+
+void
+gr_rational_resampler_base_fff::forecast (int noutput_items, gr_vector_int &ninput_items_required)
+{
+  int nreqd = std::max((unsigned)1, (int)((double) (noutput_items+1) * decimation() / interpolation()) + history() - 1);
+  unsigned ninputs = ninput_items_required.size ();
+  for (unsigned i = 0; i < ninputs; i++)
+    ninput_items_required[i] = nreqd;
+}
+
+int
+gr_rational_resampler_base_fff::general_work (int noutput_items,
+                     gr_vector_int &ninput_items,
+                     gr_vector_const_void_star &input_items,
+                     gr_vector_void_star &output_items)
+{
+  const float *in = (const float *) input_items[0];
+  float *out = (float *) output_items[0];
+
+  if (d_updated) {
+    install_taps (d_new_taps);
+    return 0;          // history requirement may have increased.
+  }
+
+  unsigned int ctr = d_ctr;
+
+  int i = 0;
+  while (i < noutput_items){
+    out[i++] = d_firs[ctr]->filter(in);
+    ctr += decimation();
+    while (ctr >= interpolation()){
+      ctr -= interpolation();
+      in++;
+    }
+  }
+
+  d_ctr = ctr;
+  consume_each(in - (float *) input_items[0]);
+  return i;
+}
diff --git a/gnuradio-core/src/lib/filter/gr_rational_resampler_base_fff.h b/gnuradio-core/src/lib/filter/gr_rational_resampler_base_fff.h
new file mode 100644 (file)
index 0000000..31a5b81
--- /dev/null
@@ -0,0 +1,87 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2005 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by
+ * generate_gr_rational_resampler_base_XXX.py Any changes made to this
+ * file will be overwritten.
+ */
+
+#ifndef INCLUDED_GR_RATIONAL_RESAMPLER_BASE_FFF_H
+#define        INCLUDED_GR_RATIONAL_RESAMPLER_BASE_FFF_H
+
+#include <gr_block.h>
+
+class gr_rational_resampler_base_fff;
+typedef boost::shared_ptr<gr_rational_resampler_base_fff> gr_rational_resampler_base_fff_sptr;
+gr_rational_resampler_base_fff_sptr
+gr_make_rational_resampler_base_fff (unsigned interpolation,
+                    unsigned decimation,
+                    const std::vector<float> &taps);
+
+class gr_fir_fff;
+
+/*!
+ * \brief Rational Resampling Polyphase FIR filter with float input, float output and float taps
+ * \ingroup filter_blk
+ */
+class gr_rational_resampler_base_fff : public gr_block
+{
+ private:
+  unsigned                     d_history;
+  unsigned                     d_interpolation, d_decimation;
+  unsigned                     d_ctr;
+  std::vector<float>   d_new_taps;
+  bool                         d_updated;
+  std::vector<gr_fir_fff *> d_firs;
+
+  friend gr_rational_resampler_base_fff_sptr 
+  gr_make_rational_resampler_base_fff (unsigned interpolation, unsigned decimation, const std::vector<float> &taps);
+
+
+  /*!
+   * Construct a FIR filter with the given taps
+   */
+  gr_rational_resampler_base_fff (unsigned interpolation, unsigned decimation,
+         const std::vector<float> &taps);
+
+  void install_taps (const std::vector<float> &taps);
+
+ public:
+  ~gr_rational_resampler_base_fff ();
+  unsigned history () const { return d_history; }
+  void  set_history (unsigned history) { d_history = history; }
+
+  unsigned interpolation() const { return d_interpolation; }
+  unsigned decimation() const { return d_decimation; }
+
+  void set_taps (const std::vector<float> &taps);
+
+  void forecast (int noutput_items, gr_vector_int &ninput_items_required);
+  int  general_work (int noutput_items,
+                    gr_vector_int &ninput_items,
+                    gr_vector_const_void_star &input_items,
+                    gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/filter/gr_rational_resampler_base_fff.i b/gnuradio-core/src/lib/filter/gr_rational_resampler_base_fff.i
new file mode 100644 (file)
index 0000000..5cb1a38
--- /dev/null
@@ -0,0 +1,42 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2005 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by
+ * generate_gr_rational_resampler_base_XXX.py Any changes made to this
+ * file will be overwritten.
+ */
+
+GR_SWIG_BLOCK_MAGIC(gr,rational_resampler_base_fff);
+
+gr_rational_resampler_base_fff_sptr gr_make_rational_resampler_base_fff (int interpolation, int decimation, const std::vector<float> &taps);
+
+class gr_rational_resampler_base_fff : public gr_block
+{
+ private:
+  gr_rational_resampler_base_fff (int interpolation, int decimation, const std::vector<float> &taps);
+
+ public:
+  ~gr_rational_resampler_base_fff ();
+
+  void set_taps (const std::vector<float> &taps);
+};
diff --git a/gnuradio-core/src/lib/filter/gr_rational_resampler_base_fsf.cc b/gnuradio-core/src/lib/filter/gr_rational_resampler_base_fsf.cc
new file mode 100644 (file)
index 0000000..8195f3d
--- /dev/null
@@ -0,0 +1,172 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by
+ * generate_gr_rational_resampler_base_XXX.py Any changes made to this
+ * file will be overwritten.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_rational_resampler_base_fsf.h>
+#include <gr_fir_fsf.h>
+#include <gr_fir_util.h>
+#include <gr_io_signature.h>
+#include <stdexcept>
+#include <iostream>
+
+gr_rational_resampler_base_fsf_sptr 
+gr_make_rational_resampler_base_fsf (unsigned interpolation,
+                    unsigned decimation, 
+                    const std::vector<float> &taps)
+{
+  return gr_rational_resampler_base_fsf_sptr (new gr_rational_resampler_base_fsf (interpolation, decimation, taps));
+}
+
+gr_rational_resampler_base_fsf::gr_rational_resampler_base_fsf (unsigned interpolation, unsigned decimation,
+               const std::vector<float> &taps)
+  : gr_block ("rational_resampler_base_fsf",
+             gr_make_io_signature (1, 1, sizeof (float)),
+             gr_make_io_signature (1, 1, sizeof (short))),
+    d_history(1),
+    d_interpolation(interpolation), d_decimation(decimation),
+    d_ctr(0), d_updated(false),
+    d_firs(interpolation)
+{
+  if (interpolation == 0)
+    throw std::out_of_range ("interpolation must be > 0");
+  if (decimation == 0)
+    throw std::out_of_range ("decimation must be > 0");
+
+  set_relative_rate (1.0 * interpolation / decimation);
+  set_output_multiple (1);
+
+  std::vector<float>   dummy_taps;
+  
+  for (unsigned i = 0; i < interpolation; i++)
+    d_firs[i] = gr_fir_util::create_gr_fir_fsf (dummy_taps);
+
+  set_taps (taps);
+  install_taps (d_new_taps);
+}
+
+gr_rational_resampler_base_fsf::~gr_rational_resampler_base_fsf ()
+{
+  int interp = interpolation();
+  for (int i = 0; i < interp; i++)
+    delete d_firs[i];
+}
+
+void
+gr_rational_resampler_base_fsf::set_taps (const std::vector<float> &taps)
+{
+  d_new_taps = taps;
+  d_updated = true;
+
+  // round up length to a multiple of the interpolation factor
+  int n = taps.size () % interpolation ();
+  if (n > 0){
+    n = interpolation () - n;
+    while (n-- > 0)
+      d_new_taps.insert(d_new_taps.begin(), 0);
+  }
+
+  assert (d_new_taps.size () % interpolation () == 0);
+}
+
+
+void
+gr_rational_resampler_base_fsf::install_taps (const std::vector<float> &taps)
+{
+  int nfilters = interpolation ();
+  int nt = taps.size () / nfilters;
+
+  assert (nt * nfilters == (int) taps.size ());
+
+  std::vector< std::vector <float> > xtaps (nfilters);
+
+  for (int n = 0; n < nfilters; n++)
+    xtaps[n].resize (nt);  
+
+  for (int i = 0; i < (int) taps.size(); i++)
+    xtaps[i % nfilters][i / nfilters] = taps[i];
+
+  for (int n = 0; n < nfilters; n++)
+    d_firs[n]->set_taps (xtaps[n]);
+  
+  set_history (nt);
+  d_updated = false;
+
+#if 0
+  for (int i = 0; i < nfilters; i++){
+    std::cout << "filter[" << i << "] = ";
+    for (int j = 0; j < nt; j++)
+      std::cout << xtaps[i][j] << " ";
+
+    std::cout << "\n";
+  }
+#endif
+
+}
+
+void
+gr_rational_resampler_base_fsf::forecast (int noutput_items, gr_vector_int &ninput_items_required)
+{
+  int nreqd = std::max((unsigned)1, (int)((double) (noutput_items+1) * decimation() / interpolation()) + history() - 1);
+  unsigned ninputs = ninput_items_required.size ();
+  for (unsigned i = 0; i < ninputs; i++)
+    ninput_items_required[i] = nreqd;
+}
+
+int
+gr_rational_resampler_base_fsf::general_work (int noutput_items,
+                     gr_vector_int &ninput_items,
+                     gr_vector_const_void_star &input_items,
+                     gr_vector_void_star &output_items)
+{
+  const float *in = (const float *) input_items[0];
+  short *out = (short *) output_items[0];
+
+  if (d_updated) {
+    install_taps (d_new_taps);
+    return 0;          // history requirement may have increased.
+  }
+
+  unsigned int ctr = d_ctr;
+
+  int i = 0;
+  while (i < noutput_items){
+    out[i++] = d_firs[ctr]->filter(in);
+    ctr += decimation();
+    while (ctr >= interpolation()){
+      ctr -= interpolation();
+      in++;
+    }
+  }
+
+  d_ctr = ctr;
+  consume_each(in - (float *) input_items[0]);
+  return i;
+}
diff --git a/gnuradio-core/src/lib/filter/gr_rational_resampler_base_fsf.h b/gnuradio-core/src/lib/filter/gr_rational_resampler_base_fsf.h
new file mode 100644 (file)
index 0000000..2265429
--- /dev/null
@@ -0,0 +1,87 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2005 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by
+ * generate_gr_rational_resampler_base_XXX.py Any changes made to this
+ * file will be overwritten.
+ */
+
+#ifndef INCLUDED_GR_RATIONAL_RESAMPLER_BASE_FSF_H
+#define        INCLUDED_GR_RATIONAL_RESAMPLER_BASE_FSF_H
+
+#include <gr_block.h>
+
+class gr_rational_resampler_base_fsf;
+typedef boost::shared_ptr<gr_rational_resampler_base_fsf> gr_rational_resampler_base_fsf_sptr;
+gr_rational_resampler_base_fsf_sptr
+gr_make_rational_resampler_base_fsf (unsigned interpolation,
+                    unsigned decimation,
+                    const std::vector<float> &taps);
+
+class gr_fir_fsf;
+
+/*!
+ * \brief Rational Resampling Polyphase FIR filter with float input, short output and float taps
+ * \ingroup filter_blk
+ */
+class gr_rational_resampler_base_fsf : public gr_block
+{
+ private:
+  unsigned                     d_history;
+  unsigned                     d_interpolation, d_decimation;
+  unsigned                     d_ctr;
+  std::vector<float>   d_new_taps;
+  bool                         d_updated;
+  std::vector<gr_fir_fsf *> d_firs;
+
+  friend gr_rational_resampler_base_fsf_sptr 
+  gr_make_rational_resampler_base_fsf (unsigned interpolation, unsigned decimation, const std::vector<float> &taps);
+
+
+  /*!
+   * Construct a FIR filter with the given taps
+   */
+  gr_rational_resampler_base_fsf (unsigned interpolation, unsigned decimation,
+         const std::vector<float> &taps);
+
+  void install_taps (const std::vector<float> &taps);
+
+ public:
+  ~gr_rational_resampler_base_fsf ();
+  unsigned history () const { return d_history; }
+  void  set_history (unsigned history) { d_history = history; }
+
+  unsigned interpolation() const { return d_interpolation; }
+  unsigned decimation() const { return d_decimation; }
+
+  void set_taps (const std::vector<float> &taps);
+
+  void forecast (int noutput_items, gr_vector_int &ninput_items_required);
+  int  general_work (int noutput_items,
+                    gr_vector_int &ninput_items,
+                    gr_vector_const_void_star &input_items,
+                    gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/filter/gr_rational_resampler_base_fsf.i b/gnuradio-core/src/lib/filter/gr_rational_resampler_base_fsf.i
new file mode 100644 (file)
index 0000000..636ac0a
--- /dev/null
@@ -0,0 +1,42 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2005 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by
+ * generate_gr_rational_resampler_base_XXX.py Any changes made to this
+ * file will be overwritten.
+ */
+
+GR_SWIG_BLOCK_MAGIC(gr,rational_resampler_base_fsf);
+
+gr_rational_resampler_base_fsf_sptr gr_make_rational_resampler_base_fsf (int interpolation, int decimation, const std::vector<float> &taps);
+
+class gr_rational_resampler_base_fsf : public gr_block
+{
+ private:
+  gr_rational_resampler_base_fsf (int interpolation, int decimation, const std::vector<float> &taps);
+
+ public:
+  ~gr_rational_resampler_base_fsf ();
+
+  void set_taps (const std::vector<float> &taps);
+};
diff --git a/gnuradio-core/src/lib/filter/gr_rational_resampler_base_scc.cc b/gnuradio-core/src/lib/filter/gr_rational_resampler_base_scc.cc
new file mode 100644 (file)
index 0000000..d315e72
--- /dev/null
@@ -0,0 +1,172 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by
+ * generate_gr_rational_resampler_base_XXX.py Any changes made to this
+ * file will be overwritten.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_rational_resampler_base_scc.h>
+#include <gr_fir_scc.h>
+#include <gr_fir_util.h>
+#include <gr_io_signature.h>
+#include <stdexcept>
+#include <iostream>
+
+gr_rational_resampler_base_scc_sptr 
+gr_make_rational_resampler_base_scc (unsigned interpolation,
+                    unsigned decimation, 
+                    const std::vector<gr_complex> &taps)
+{
+  return gr_rational_resampler_base_scc_sptr (new gr_rational_resampler_base_scc (interpolation, decimation, taps));
+}
+
+gr_rational_resampler_base_scc::gr_rational_resampler_base_scc (unsigned interpolation, unsigned decimation,
+               const std::vector<gr_complex> &taps)
+  : gr_block ("rational_resampler_base_scc",
+             gr_make_io_signature (1, 1, sizeof (short)),
+             gr_make_io_signature (1, 1, sizeof (gr_complex))),
+    d_history(1),
+    d_interpolation(interpolation), d_decimation(decimation),
+    d_ctr(0), d_updated(false),
+    d_firs(interpolation)
+{
+  if (interpolation == 0)
+    throw std::out_of_range ("interpolation must be > 0");
+  if (decimation == 0)
+    throw std::out_of_range ("decimation must be > 0");
+
+  set_relative_rate (1.0 * interpolation / decimation);
+  set_output_multiple (1);
+
+  std::vector<gr_complex>      dummy_taps;
+  
+  for (unsigned i = 0; i < interpolation; i++)
+    d_firs[i] = gr_fir_util::create_gr_fir_scc (dummy_taps);
+
+  set_taps (taps);
+  install_taps (d_new_taps);
+}
+
+gr_rational_resampler_base_scc::~gr_rational_resampler_base_scc ()
+{
+  int interp = interpolation();
+  for (int i = 0; i < interp; i++)
+    delete d_firs[i];
+}
+
+void
+gr_rational_resampler_base_scc::set_taps (const std::vector<gr_complex> &taps)
+{
+  d_new_taps = taps;
+  d_updated = true;
+
+  // round up length to a multiple of the interpolation factor
+  int n = taps.size () % interpolation ();
+  if (n > 0){
+    n = interpolation () - n;
+    while (n-- > 0)
+      d_new_taps.insert(d_new_taps.begin(), 0);
+  }
+
+  assert (d_new_taps.size () % interpolation () == 0);
+}
+
+
+void
+gr_rational_resampler_base_scc::install_taps (const std::vector<gr_complex> &taps)
+{
+  int nfilters = interpolation ();
+  int nt = taps.size () / nfilters;
+
+  assert (nt * nfilters == (int) taps.size ());
+
+  std::vector< std::vector <gr_complex> > xtaps (nfilters);
+
+  for (int n = 0; n < nfilters; n++)
+    xtaps[n].resize (nt);  
+
+  for (int i = 0; i < (int) taps.size(); i++)
+    xtaps[i % nfilters][i / nfilters] = taps[i];
+
+  for (int n = 0; n < nfilters; n++)
+    d_firs[n]->set_taps (xtaps[n]);
+  
+  set_history (nt);
+  d_updated = false;
+
+#if 0
+  for (int i = 0; i < nfilters; i++){
+    std::cout << "filter[" << i << "] = ";
+    for (int j = 0; j < nt; j++)
+      std::cout << xtaps[i][j] << " ";
+
+    std::cout << "\n";
+  }
+#endif
+
+}
+
+void
+gr_rational_resampler_base_scc::forecast (int noutput_items, gr_vector_int &ninput_items_required)
+{
+  int nreqd = std::max((unsigned)1, (int)((double) (noutput_items+1) * decimation() / interpolation()) + history() - 1);
+  unsigned ninputs = ninput_items_required.size ();
+  for (unsigned i = 0; i < ninputs; i++)
+    ninput_items_required[i] = nreqd;
+}
+
+int
+gr_rational_resampler_base_scc::general_work (int noutput_items,
+                     gr_vector_int &ninput_items,
+                     gr_vector_const_void_star &input_items,
+                     gr_vector_void_star &output_items)
+{
+  const short *in = (const short *) input_items[0];
+  gr_complex *out = (gr_complex *) output_items[0];
+
+  if (d_updated) {
+    install_taps (d_new_taps);
+    return 0;          // history requirement may have increased.
+  }
+
+  unsigned int ctr = d_ctr;
+
+  int i = 0;
+  while (i < noutput_items){
+    out[i++] = d_firs[ctr]->filter(in);
+    ctr += decimation();
+    while (ctr >= interpolation()){
+      ctr -= interpolation();
+      in++;
+    }
+  }
+
+  d_ctr = ctr;
+  consume_each(in - (short *) input_items[0]);
+  return i;
+}
diff --git a/gnuradio-core/src/lib/filter/gr_rational_resampler_base_scc.h b/gnuradio-core/src/lib/filter/gr_rational_resampler_base_scc.h
new file mode 100644 (file)
index 0000000..c90295e
--- /dev/null
@@ -0,0 +1,87 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2005 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by
+ * generate_gr_rational_resampler_base_XXX.py Any changes made to this
+ * file will be overwritten.
+ */
+
+#ifndef INCLUDED_GR_RATIONAL_RESAMPLER_BASE_SCC_H
+#define        INCLUDED_GR_RATIONAL_RESAMPLER_BASE_SCC_H
+
+#include <gr_block.h>
+
+class gr_rational_resampler_base_scc;
+typedef boost::shared_ptr<gr_rational_resampler_base_scc> gr_rational_resampler_base_scc_sptr;
+gr_rational_resampler_base_scc_sptr
+gr_make_rational_resampler_base_scc (unsigned interpolation,
+                    unsigned decimation,
+                    const std::vector<gr_complex> &taps);
+
+class gr_fir_scc;
+
+/*!
+ * \brief Rational Resampling Polyphase FIR filter with short input, gr_complex output and gr_complex taps
+ * \ingroup filter_blk
+ */
+class gr_rational_resampler_base_scc : public gr_block
+{
+ private:
+  unsigned                     d_history;
+  unsigned                     d_interpolation, d_decimation;
+  unsigned                     d_ctr;
+  std::vector<gr_complex>      d_new_taps;
+  bool                         d_updated;
+  std::vector<gr_fir_scc *> d_firs;
+
+  friend gr_rational_resampler_base_scc_sptr 
+  gr_make_rational_resampler_base_scc (unsigned interpolation, unsigned decimation, const std::vector<gr_complex> &taps);
+
+
+  /*!
+   * Construct a FIR filter with the given taps
+   */
+  gr_rational_resampler_base_scc (unsigned interpolation, unsigned decimation,
+         const std::vector<gr_complex> &taps);
+
+  void install_taps (const std::vector<gr_complex> &taps);
+
+ public:
+  ~gr_rational_resampler_base_scc ();
+  unsigned history () const { return d_history; }
+  void  set_history (unsigned history) { d_history = history; }
+
+  unsigned interpolation() const { return d_interpolation; }
+  unsigned decimation() const { return d_decimation; }
+
+  void set_taps (const std::vector<gr_complex> &taps);
+
+  void forecast (int noutput_items, gr_vector_int &ninput_items_required);
+  int  general_work (int noutput_items,
+                    gr_vector_int &ninput_items,
+                    gr_vector_const_void_star &input_items,
+                    gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/filter/gr_rational_resampler_base_scc.i b/gnuradio-core/src/lib/filter/gr_rational_resampler_base_scc.i
new file mode 100644 (file)
index 0000000..4180794
--- /dev/null
@@ -0,0 +1,42 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2005 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * WARNING: This file is automatically generated by
+ * generate_gr_rational_resampler_base_XXX.py Any changes made to this
+ * file will be overwritten.
+ */
+
+GR_SWIG_BLOCK_MAGIC(gr,rational_resampler_base_scc);
+
+gr_rational_resampler_base_scc_sptr gr_make_rational_resampler_base_scc (int interpolation, int decimation, const std::vector<gr_complex> &taps);
+
+class gr_rational_resampler_base_scc : public gr_block
+{
+ private:
+  gr_rational_resampler_base_scc (int interpolation, int decimation, const std::vector<gr_complex> &taps);
+
+ public:
+  ~gr_rational_resampler_base_scc ();
+
+  void set_taps (const std::vector<gr_complex> &taps);
+};
diff --git a/gnuradio-core/src/lib/filter/stamp-sources-generate b/gnuradio-core/src/lib/filter/stamp-sources-generate
new file mode 100644 (file)
index 0000000..e69de29
diff --git a/gnuradio-core/src/lib/g72x/Makefile.in b/gnuradio-core/src/lib/g72x/Makefile.in
new file mode 100644 (file)
index 0000000..d0594b1
--- /dev/null
@@ -0,0 +1,987 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2001 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = README $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gnuradio-core/src/lib/g72x
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+LTLIBRARIES = $(noinst_LTLIBRARIES)
+libccitt_la_LIBADD =
+am_libccitt_la_OBJECTS = g711.lo g72x.lo g721.lo g723_24.lo g723_40.lo
+libccitt_la_OBJECTS = $(am_libccitt_la_OBJECTS)
+DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
+depcomp = $(SHELL) $(top_srcdir)/depcomp
+am__depfiles_maybe = depfiles
+COMPILE = $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) \
+       $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
+LTCOMPILE = $(LIBTOOL) --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
+CCLD = $(CC)
+LINK = $(LIBTOOL) --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CCLD) $(AM_CFLAGS) $(CFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+SOURCES = $(libccitt_la_SOURCES)
+DIST_SOURCES = $(libccitt_la_SOURCES)
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+noinst_LTLIBRARIES = libccitt.la
+libccitt_la_SOURCES = g711.c g72x.c g721.c g723_24.c g723_40.c g72x.h
+EXTRA_DIST = encode.c decode.c
+all: all-am
+
+.SUFFIXES:
+.SUFFIXES: .c .lo .o .obj
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gnuradio-core/src/lib/g72x/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gnuradio-core/src/lib/g72x/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+clean-noinstLTLIBRARIES:
+       -test -z "$(noinst_LTLIBRARIES)" || rm -f $(noinst_LTLIBRARIES)
+       @list='$(noinst_LTLIBRARIES)'; for p in $$list; do \
+         dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
+         test "$$dir" != "$$p" || dir=.; \
+         echo "rm -f \"$${dir}/so_locations\""; \
+         rm -f "$${dir}/so_locations"; \
+       done
+libccitt.la: $(libccitt_la_OBJECTS) $(libccitt_la_DEPENDENCIES) 
+       $(LINK)  $(libccitt_la_OBJECTS) $(libccitt_la_LIBADD) $(LIBS)
+
+mostlyclean-compile:
+       -rm -f *.$(OBJEXT)
+
+distclean-compile:
+       -rm -f *.tab.c
+
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/g711.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/g721.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/g723_24.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/g723_40.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/g72x.Plo@am__quote@
+
+.c.o:
+@am__fastdepCC_TRUE@   $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(COMPILE) -c $<
+
+.c.obj:
+@am__fastdepCC_TRUE@   $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(COMPILE) -c `$(CYGPATH_W) '$<'`
+
+.c.lo:
+@am__fastdepCC_TRUE@   $(LTCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(LTCOMPILE) -c -o $@ $<
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile $(LTLIBRARIES)
+installdirs:
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool clean-noinstLTLIBRARIES \
+       mostlyclean-am
+
+distclean: distclean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+distclean-am: clean-am distclean-compile distclean-generic \
+       distclean-tags
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-compile mostlyclean-generic \
+       mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: install-am install-strip
+
+.PHONY: CTAGS GTAGS all all-am check check-am clean clean-generic \
+       clean-libtool clean-noinstLTLIBRARIES ctags dist-hook \
+       distclean distclean-compile distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-compile \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       tags uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gnuradio-core/src/lib/general/Makefile.in b/gnuradio-core/src/lib/general/Makefile.in
new file mode 100644 (file)
index 0000000..6cb6700
--- /dev/null
@@ -0,0 +1,1733 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2001,2002,2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = README $(grinclude_HEADERS) $(noinst_HEADERS) \
+       $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(srcdir)/gr_prefix.cc.in $(swiginclude_HEADERS) \
+       $(top_srcdir)/Makefile.common
+subdir = gnuradio-core/src/lib/general
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES = gr_prefix.cc
+LTLIBRARIES = $(noinst_LTLIBRARIES)
+libgeneral_qa_la_LIBADD =
+am_libgeneral_qa_la_OBJECTS = qa_general.lo qa_gr_circular_file.lo \
+       qa_gr_firdes.lo qa_gr_fxpt.lo qa_gr_fxpt_nco.lo \
+       qa_gr_fxpt_vco.lo qa_gr_math.lo qa_gri_lfsr.lo
+libgeneral_qa_la_OBJECTS = $(am_libgeneral_qa_la_OBJECTS)
+libgeneral_la_LIBADD =
+am_libgeneral_la_OBJECTS = gr_agc_cc.lo gr_agc_ff.lo gr_agc2_cc.lo \
+       gr_agc2_ff.lo gr_align_on_samplenumbers_ss.lo \
+       gr_bin_statistics_f.lo gr_binary_slicer_fb.lo \
+       gr_bytes_to_syms.lo gr_char_to_float.lo gr_check_counting_s.lo \
+       gr_check_lfsr_32k_s.lo gr_circular_file.lo \
+       gr_clock_recovery_mm_cc.lo gr_clock_recovery_mm_ff.lo \
+       gr_complex_to_interleaved_short.lo gr_complex_to_xxx.lo \
+       gr_conjugate_cc.lo gr_constellation_decoder_cb.lo \
+       gr_correlate_access_code_bb.lo gr_costas_loop_cc.lo \
+       gr_count_bits.lo gr_cpfsk_bc.lo gr_crc32.lo \
+       gr_ctcss_squelch_ff.lo gr_dd_mpsk_sync_cc.lo \
+       gr_decode_ccsds_27_fb.lo gr_deinterleave.lo gr_delay.lo \
+       gr_diff_decoder_bb.lo gr_diff_encoder_bb.lo \
+       gr_diff_phasor_cc.lo gr_dpll_bb.lo gr_encode_ccsds_27_bb.lo \
+       gr_fake_channel_coder_pp.lo gr_fast_atan2f.lo \
+       gr_feedforward_agc_cc.lo gr_feval.lo gr_fft_vcc.lo \
+       gr_fft_vcc_fftw.lo gr_fft_vfc.lo gr_firdes.lo \
+       gr_float_to_char.lo gr_float_to_complex.lo \
+       gr_float_to_short.lo gr_float_to_uchar.lo gr_fmdet_cf.lo \
+       gr_frequency_modulator_fc.lo gr_fxpt.lo gr_framer_sink_1.lo \
+       gr_glfsr_source_b.lo gr_glfsr_source_f.lo gr_head.lo \
+       gr_interleave.lo gr_interleaved_short_to_complex.lo \
+       gr_iqcomp_cc.lo gr_keep_one_in_n.lo gr_kludge_copy.lo \
+       gr_lfsr_32k_source_s.lo gr_lms_dfe_cc.lo gr_lms_dfe_ff.lo \
+       gr_map_bb.lo gr_math.lo gr_misc.lo gr_mpsk_receiver_cc.lo \
+       gr_nlog10_ff.lo gr_nop.lo gr_null_sink.lo gr_null_source.lo \
+       gr_ofdm_frame_acquisition.lo gr_ofdm_cyclic_prefixer.lo \
+       gr_ofdm_demapper_vcb.lo gr_ofdm_mapper_bcv.lo \
+       gr_ofdm_frame_sink.lo gr_ofdm_insert_preamble.lo \
+       gr_ofdm_sampler.lo gr_pa_2x2_phase_combiner.lo \
+       gr_packet_sink.lo gr_peak_detector2_fb.lo \
+       gr_phase_modulator_fc.lo gr_pll_carriertracking_cc.lo \
+       gr_pll_freqdet_cf.lo gr_pll_refout_cc.lo \
+       gr_pn_correlator_cc.lo gr_prefix.lo gr_prefs.lo \
+       gr_probe_avg_mag_sqrd_c.lo gr_probe_avg_mag_sqrd_cf.lo \
+       gr_probe_avg_mag_sqrd_f.lo gr_probe_signal_f.lo \
+       gr_pwr_squelch_cc.lo gr_pwr_squelch_ff.lo \
+       gr_quadrature_demod_cf.lo gr_rail_ff.lo gr_random.lo \
+       gr_regenerate_bb.lo gr_remez.lo gr_repeat.lo gr_reverse.lo \
+       gr_rms_cf.lo gr_rms_ff.lo gr_short_to_float.lo \
+       gr_simple_correlator.lo gr_simple_framer.lo \
+       gr_simple_squelch_cc.lo gr_skiphead.lo gr_squash_ff.lo \
+       gr_squelch_base_cc.lo gr_squelch_base_ff.lo gr_stream_mux.lo \
+       gr_stream_to_streams.lo gr_stream_to_vector.lo \
+       gr_streams_to_stream.lo gr_streams_to_vector.lo \
+       gr_stretch_ff.lo gr_test.lo gr_threshold_ff.lo gr_throttle.lo \
+       gr_uchar_to_float.lo gr_vco_f.lo gr_vector_to_stream.lo \
+       gr_vector_to_streams.lo gr_wavelet_ff.lo gr_wvps_ff.lo \
+       gri_add_const_ss_generic.lo gri_char_to_float.lo \
+       gri_debugger_hook.lo gri_fft.lo gri_float_to_char.lo \
+       gri_float_to_short.lo gri_float_to_uchar.lo gri_glfsr.lo \
+       gri_interleaved_short_to_complex.lo gri_short_to_float.lo \
+       gri_uchar_to_float.lo malloc16.lo gr_unpack_k_bits_bb.lo \
+       gr_descrambler_bb.lo gr_scrambler_bb.lo gr_probe_mpsk_snr_c.lo \
+       gr_probe_density_b.lo
+libgeneral_la_OBJECTS = $(am_libgeneral_la_OBJECTS)
+DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
+depcomp = $(SHELL) $(top_srcdir)/depcomp
+am__depfiles_maybe = depfiles
+COMPILE = $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) \
+       $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
+LTCOMPILE = $(LIBTOOL) --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
+CCLD = $(CC)
+LINK = $(LIBTOOL) --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CCLD) $(AM_CFLAGS) $(CFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+CXXCOMPILE = $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+LTCXXCOMPILE = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+CXXLD = $(CXX)
+CXXLINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CXXLD) $(AM_CXXFLAGS) $(CXXFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+SOURCES = $(libgeneral_qa_la_SOURCES) $(libgeneral_la_SOURCES)
+DIST_SOURCES = $(libgeneral_qa_la_SOURCES) $(libgeneral_la_SOURCES)
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(grincludedir)" \
+       "$(DESTDIR)$(swigincludedir)"
+grincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+swigincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+HEADERS = $(grinclude_HEADERS) $(noinst_HEADERS) \
+       $(swiginclude_HEADERS)
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+AM_CPPFLAGS = $(STD_DEFINES_AND_INCLUDES) $(CPPUNIT_INCLUDES) $(WITH_INCLUDES)
+noinst_LTLIBRARIES = libgeneral.la libgeneral-qa.la
+BUILT_SOURCES = 
+
+# ----------------------------------------------------------------
+EXTRA_DIST = \
+       gen_sine_table.py               \
+       gr_prefix.cc.in                 
+
+libgeneral_la_SOURCES = \
+       gr_agc_cc.cc                    \
+       gr_agc_ff.cc                    \
+       gr_agc2_cc.cc                   \
+       gr_agc2_ff.cc                   \
+       gr_align_on_samplenumbers_ss.cc \
+       gr_bin_statistics_f.cc          \
+       gr_binary_slicer_fb.cc          \
+       gr_bytes_to_syms.cc             \
+       gr_char_to_float.cc             \
+       gr_check_counting_s.cc          \
+       gr_check_lfsr_32k_s.cc          \
+       gr_circular_file.cc             \
+       gr_clock_recovery_mm_cc.cc      \
+       gr_clock_recovery_mm_ff.cc      \
+       gr_complex_to_interleaved_short.cc \
+       gr_complex_to_xxx.cc            \
+       gr_conjugate_cc.cc              \
+       gr_constellation_decoder_cb.cc  \
+       gr_correlate_access_code_bb.cc  \
+       gr_costas_loop_cc.cc            \
+       gr_count_bits.cc                \
+       gr_cpfsk_bc.cc                  \
+       gr_crc32.cc                     \
+       gr_ctcss_squelch_ff.cc          \
+       gr_dd_mpsk_sync_cc.cc           \
+       gr_decode_ccsds_27_fb.cc        \
+       gr_deinterleave.cc              \
+       gr_delay.cc                     \
+       gr_diff_decoder_bb.cc           \
+       gr_diff_encoder_bb.cc           \
+       gr_diff_phasor_cc.cc            \
+       gr_dpll_bb.cc                   \
+       gr_encode_ccsds_27_bb.cc        \
+       gr_fake_channel_coder_pp.cc     \
+       gr_fast_atan2f.cc               \
+       gr_feedforward_agc_cc.cc        \
+       gr_feval.cc                     \
+       gr_fft_vcc.cc                   \
+       gr_fft_vcc_fftw.cc              \
+       gr_fft_vfc.cc                   \
+       gr_firdes.cc                    \
+       gr_float_to_char.cc             \
+       gr_float_to_complex.cc          \
+       gr_float_to_short.cc            \
+       gr_float_to_uchar.cc            \
+       gr_fmdet_cf.cc                  \
+       gr_frequency_modulator_fc.cc    \
+       gr_fxpt.cc                      \
+       gr_framer_sink_1.cc             \
+       gr_glfsr_source_b.cc            \
+       gr_glfsr_source_f.cc            \
+       gr_head.cc                      \
+       gr_interleave.cc                \
+       gr_interleaved_short_to_complex.cc \
+       gr_iqcomp_cc.cc                 \
+       gr_keep_one_in_n.cc             \
+       gr_kludge_copy.cc               \
+       gr_lfsr_32k_source_s.cc         \
+       gr_lms_dfe_cc.cc                \
+       gr_lms_dfe_ff.cc                \
+       gr_map_bb.cc                    \
+       gr_math.cc                      \
+       gr_misc.cc                      \
+       gr_mpsk_receiver_cc.cc          \
+       gr_nlog10_ff.cc                 \
+       gr_nop.cc                       \
+       gr_null_sink.cc                 \
+       gr_null_source.cc               \
+       gr_ofdm_frame_acquisition.cc    \
+        gr_ofdm_cyclic_prefixer.cc      \
+        gr_ofdm_demapper_vcb.cc         \
+        gr_ofdm_mapper_bcv.cc           \
+       gr_ofdm_frame_sink.cc           \
+       gr_ofdm_insert_preamble.cc      \
+        gr_ofdm_sampler.cc              \
+       gr_pa_2x2_phase_combiner.cc     \
+       gr_packet_sink.cc               \
+       gr_peak_detector2_fb.cc         \
+       gr_phase_modulator_fc.cc        \
+       gr_pll_carriertracking_cc.cc    \
+       gr_pll_freqdet_cf.cc            \
+       gr_pll_refout_cc.cc             \
+       gr_pn_correlator_cc.cc          \
+       gr_prefix.cc                    \
+       gr_prefs.cc                     \
+       gr_probe_avg_mag_sqrd_c.cc      \
+       gr_probe_avg_mag_sqrd_cf.cc     \
+       gr_probe_avg_mag_sqrd_f.cc      \
+       gr_probe_signal_f.cc            \
+       gr_pwr_squelch_cc.cc            \
+       gr_pwr_squelch_ff.cc            \
+       gr_quadrature_demod_cf.cc       \
+       gr_rail_ff.cc                   \
+       gr_random.cc                    \
+       gr_regenerate_bb.cc             \
+       gr_remez.cc                     \
+       gr_repeat.cc                    \
+       gr_reverse.cc                   \
+       gr_rms_cf.cc                    \
+       gr_rms_ff.cc                    \
+       gr_short_to_float.cc            \
+       gr_simple_correlator.cc         \
+       gr_simple_framer.cc             \
+       gr_simple_squelch_cc.cc         \
+       gr_skiphead.cc                  \
+       gr_squash_ff.cc                 \
+       gr_squelch_base_cc.cc           \
+       gr_squelch_base_ff.cc           \
+       gr_stream_mux.cc                \
+       gr_stream_to_streams.cc         \
+       gr_stream_to_vector.cc          \
+       gr_streams_to_stream.cc         \
+       gr_streams_to_vector.cc         \
+       gr_stretch_ff.cc                \
+        gr_test.cc                      \
+       gr_threshold_ff.cc              \
+       gr_throttle.cc                  \
+       gr_uchar_to_float.cc            \
+       gr_vco_f.cc                     \
+       gr_vector_to_stream.cc          \
+       gr_vector_to_streams.cc         \
+       gr_wavelet_ff.cc                \
+       gr_wvps_ff.cc                   \
+       gri_add_const_ss_generic.cc     \
+       gri_char_to_float.cc            \
+       gri_debugger_hook.cc            \
+       gri_fft.cc                      \
+       gri_float_to_char.cc            \
+       gri_float_to_short.cc           \
+       gri_float_to_uchar.cc           \
+       gri_glfsr.cc                    \
+       gri_interleaved_short_to_complex.cc \
+       gri_short_to_float.cc           \
+       gri_uchar_to_float.cc           \
+       malloc16.c                      \
+       gr_unpack_k_bits_bb.cc          \
+       gr_descrambler_bb.cc            \
+       gr_scrambler_bb.cc              \
+       gr_probe_mpsk_snr_c.cc          \
+       gr_probe_density_b.cc
+
+libgeneral_qa_la_SOURCES = \
+       qa_general.cc                   \
+       qa_gr_circular_file.cc          \
+       qa_gr_firdes.cc                 \
+       qa_gr_fxpt.cc                   \
+       qa_gr_fxpt_nco.cc               \
+       qa_gr_fxpt_vco.cc               \
+       qa_gr_math.cc                   \
+       qa_gri_lfsr.cc
+
+grinclude_HEADERS = \
+       gr_agc_cc.h                     \
+       gr_agc_ff.h                     \
+       gr_agc2_cc.h                    \
+       gr_agc2_ff.h                    \
+       gr_align_on_samplenumbers_ss.h  \
+       gr_bin_statistics_f.h           \
+       gr_binary_slicer_fb.h           \
+       gr_bytes_to_syms.h              \
+       gr_char_to_float.h              \
+       gr_check_counting_s.h           \
+       gr_check_lfsr_32k_s.h           \
+       gr_circular_file.h              \
+       gr_clock_recovery_mm_cc.h       \
+       gr_clock_recovery_mm_ff.h       \
+       gr_complex_to_interleaved_short.h \
+       gr_complex_to_xxx.h             \
+       gr_conjugate_cc.h               \
+       gr_constellation_decoder_cb.h   \
+       gr_correlate_access_code_bb.h   \
+       gr_costas_loop_cc.h             \
+       gr_count_bits.h                 \
+       gr_cpfsk_bc.h                   \
+       gr_crc32.h                      \
+       gr_ctcss_squelch_ff.h           \
+       gr_dd_mpsk_sync_cc.h            \
+       gr_decode_ccsds_27_fb.h         \
+       gr_diff_decoder_bb.h            \
+       gr_diff_encoder_bb.h            \
+       gr_deinterleave.h               \
+       gr_delay.h                      \
+       gr_diff_phasor_cc.h             \
+       gr_dpll_bb.h                    \
+       gr_encode_ccsds_27_bb.h         \
+       gr_expj.h                       \
+       gr_fake_channel_coder_pp.h      \
+       gr_feedforward_agc_cc.h         \
+       gr_feval.h                      \
+       gr_fft_vcc.h                    \
+       gr_fft_vcc_fftw.h               \
+       gr_fft_vfc.h                    \
+       gr_firdes.h                     \
+       gr_float_to_char.h              \
+       gr_float_to_complex.h           \
+       gr_float_to_short.h             \
+       gr_float_to_uchar.h             \
+       gr_fmdet_cf.h                   \
+       gr_framer_sink_1.h              \
+       gr_frequency_modulator_fc.h     \
+       gr_fxpt.h                       \
+       gr_fxpt_nco.h                   \
+       gr_fxpt_vco.h                   \
+       gr_glfsr_source_b.h             \
+       gr_glfsr_source_f.h             \
+       gr_head.h                       \
+       gr_interleave.h                 \
+       gr_interleaved_short_to_complex.h \
+       gr_iqcomp_cc.h                  \
+       gr_keep_one_in_n.h              \
+       gr_kludge_copy.h                \
+       gr_lfsr_32k_source_s.h          \
+       gr_lms_dfe_cc.h                 \
+       gr_lms_dfe_ff.h                 \
+       gr_log2_const.h                 \
+       gr_map_bb.h                     \
+       gr_math.h                       \
+       gr_misc.h                       \
+       gr_mpsk_receiver_cc.h           \
+       gr_nco.h                        \
+       gr_nlog10_ff.h                  \
+       gr_nop.h                        \
+       gr_null_sink.h                  \
+       gr_null_source.h                \
+       gr_ofdm_frame_acquisition.h     \
+        gr_ofdm_cyclic_prefixer.h       \
+        gr_ofdm_demapper_vcb.h          \
+        gr_ofdm_mapper_bcv.h            \
+        gr_ofdm_frame_sink.h           \
+       gr_ofdm_insert_preamble.h       \
+       gr_ofdm_sampler.h               \
+       gr_pa_2x2_phase_combiner.h      \
+       gr_packet_sink.h                \
+       gr_peak_detector2_fb.h          \
+       gr_phase_modulator_fc.h         \
+       gr_pll_carriertracking_cc.h     \
+       gr_pll_freqdet_cf.h             \
+       gr_pll_refout_cc.h              \
+       gr_pn_correlator_cc.h           \
+       gr_prefix.h                     \
+       gr_prefs.h                      \
+       gr_probe_avg_mag_sqrd_c.h       \
+       gr_probe_avg_mag_sqrd_cf.h      \
+       gr_probe_avg_mag_sqrd_f.h       \
+       gr_probe_signal_f.h             \
+       gr_pwr_squelch_cc.h             \
+       gr_pwr_squelch_ff.h             \
+       gr_quadrature_demod_cf.h        \
+       gr_rail_ff.h                    \
+       gr_random.h                     \
+       gr_regenerate_bb.h              \
+       gr_remez.h                      \
+       gr_repeat.h                     \
+       gr_reverse.h                    \
+       gr_rms_cf.h                     \
+       gr_rms_ff.h                     \
+       gr_short_to_float.h             \
+       gr_simple_correlator.h          \
+       gr_simple_framer.h              \
+       gr_simple_framer_sync.h         \
+       gr_simple_squelch_cc.h          \
+       gr_squash_ff.h                  \
+       gr_skiphead.h                   \
+       gr_squelch_base_cc.h            \
+       gr_squelch_base_ff.h            \
+       gr_stream_mux.h                 \
+       gr_stream_to_streams.h          \
+       gr_stream_to_vector.h           \
+       gr_streams_to_stream.h          \
+       gr_streams_to_vector.h          \
+       gr_stretch_ff.h                 \
+        gr_test_types.h                        \
+        gr_test.h                      \
+       gr_threshold_ff.h               \
+       gr_throttle.h                   \
+       gr_uchar_to_float.h             \
+       gr_vco.h                        \
+       gr_vco_f.h                      \
+       gr_vector_to_stream.h           \
+       gr_vector_to_streams.h          \
+       gr_wavelet_ff.h                 \
+       gr_wvps_ff.h                    \
+       gri_add_const_ss.h              \
+       gri_agc_cc.h                    \
+       gri_agc_ff.h                    \
+       gri_agc2_cc.h                   \
+       gri_agc2_ff.h                   \
+       gri_char_to_float.h             \
+       gri_debugger_hook.h             \
+       gri_fft.h                       \
+       gri_float_to_char.h             \
+       gri_float_to_short.h            \
+       gri_float_to_uchar.h            \
+       gri_lfsr.h                      \
+       gri_glfsr.h                     \
+       gri_interleaved_short_to_complex.h \
+       gri_lfsr_15_1_0.h               \
+       gri_lfsr_32k.h                  \
+       gri_short_to_float.h            \
+       gri_uchar_to_float.h            \
+       malloc16.h                      \
+       random.h                        \
+       gr_unpack_k_bits_bb.h           \
+       gr_descrambler_bb.h             \
+       gr_scrambler_bb.h               \
+       gr_probe_mpsk_snr_c.h           \
+       gr_probe_density_b.h
+
+noinst_HEADERS = \
+       qa_general.h                    \
+       qa_gr_circular_file.h           \
+       qa_gr_firdes.h                  \
+       qa_gr_fxpt.h                    \
+       qa_gr_fxpt_nco.h                \
+       qa_gr_fxpt_vco.h                \
+       qa_gri_lfsr.h                   \
+       sine_table.h                    \
+       qa_gr_math.h
+
+swiginclude_HEADERS = \
+       general.i                       \
+       gr_agc_cc.i                     \
+       gr_agc_ff.i                     \
+       gr_agc2_cc.i                    \
+       gr_agc2_ff.i                    \
+       gr_align_on_samplenumbers_ss.i  \
+       gr_bin_statistics_f.i           \
+       gr_binary_slicer_fb.i           \
+       gr_bytes_to_syms.i              \
+       gr_char_to_float.i              \
+       gr_check_counting_s.i           \
+       gr_check_lfsr_32k_s.i           \
+       gr_clock_recovery_mm_cc.i       \
+       gr_clock_recovery_mm_ff.i       \
+       gr_complex_to_interleaved_short.i \
+       gr_complex_to_xxx.i             \
+       gr_conjugate_cc.i               \
+       gr_constellation_decoder_cb.i   \
+       gr_correlate_access_code_bb.i   \
+       gr_costas_loop_cc.i             \
+       gr_cpfsk_bc.i                   \
+       gr_crc32.i                      \
+       gr_ctcss_squelch_ff.i           \
+       gr_dd_mpsk_sync_cc.i            \
+       gr_decode_ccsds_27_fb.i         \
+       gr_diff_decoder_bb.i            \
+       gr_diff_encoder_bb.i            \
+       gr_diff_phasor_cc.i             \
+       gr_dpll_bb.i                    \
+       gr_deinterleave.i               \
+       gr_delay.i                      \
+       gr_encode_ccsds_27_bb.i         \
+       gr_fake_channel_coder_pp.i      \
+       gr_feedforward_agc_cc.i         \
+       gr_feval.i                      \
+       gr_fft_vcc.i                    \
+       gr_fft_vfc.i                    \
+       gr_firdes.i                     \
+       gr_float_to_char.i              \
+       gr_float_to_complex.i           \
+       gr_float_to_short.i             \
+       gr_float_to_uchar.i             \
+       gr_fmdet_cf.i                   \
+       gr_frequency_modulator_fc.i     \
+       gr_framer_sink_1.i              \
+       gr_glfsr_source_b.i             \
+       gr_glfsr_source_f.i             \
+       gr_head.i                       \
+       gr_interleave.i                 \
+       gr_interleaved_short_to_complex.i \
+       gr_iqcomp_cc.i                  \
+       gr_keep_one_in_n.i              \
+       gr_kludge_copy.i                \
+       gr_lfsr_32k_source_s.i          \
+       gr_lms_dfe_cc.i                 \
+       gr_lms_dfe_ff.i                 \
+       gr_map_bb.i                     \
+       gr_mpsk_receiver_cc.i           \
+       gr_nlog10_ff.i                  \
+       gr_nop.i                        \
+       gr_null_sink.i                  \
+       gr_null_source.i                \
+       gr_ofdm_frame_acquisition.i     \
+        gr_ofdm_cyclic_prefixer.i       \
+        gr_ofdm_demapper_vcb.i          \
+        gr_ofdm_mapper_bcv.i            \
+        gr_ofdm_frame_sink.i           \
+       gr_ofdm_insert_preamble.i       \
+       gr_ofdm_sampler.i               \
+       gr_pa_2x2_phase_combiner.i      \
+       gr_packet_sink.i                \
+       gr_peak_detector2_fb.i          \
+       gr_phase_modulator_fc.i         \
+       gr_pll_carriertracking_cc.i     \
+       gr_pll_freqdet_cf.i             \
+       gr_pll_refout_cc.i              \
+       gr_pn_correlator_cc.i           \
+       gr_prefix.i                     \
+       gr_prefs.i                      \
+       gr_probe_avg_mag_sqrd_c.i       \
+       gr_probe_avg_mag_sqrd_cf.i      \
+       gr_probe_avg_mag_sqrd_f.i       \
+       gr_probe_signal_f.i             \
+       gr_pwr_squelch_cc.i             \
+       gr_pwr_squelch_ff.i             \
+       gr_quadrature_demod_cf.i        \
+       gr_rail_ff.i                    \
+       gr_regenerate_bb.i              \
+       gr_remez.i                      \
+       gr_rms_cf.i                     \
+       gr_rms_ff.i                     \
+       gr_repeat.i                     \
+       gr_short_to_float.i             \
+       gr_simple_correlator.i          \
+       gr_simple_framer.i              \
+       gr_simple_squelch_cc.i          \
+       gr_skiphead.i                   \
+       gr_squash_ff.i                  \
+       gr_squelch_base_cc.i            \
+       gr_squelch_base_ff.i            \
+       gr_stream_mux.i                 \
+       gr_stream_to_streams.i          \
+       gr_stream_to_vector.i           \
+       gr_streams_to_stream.i          \
+       gr_streams_to_vector.i          \
+       gr_stretch_ff.i                 \
+        gr_test.i                      \
+       gr_threshold_ff.i               \
+       gr_throttle.i                   \
+       gr_uchar_to_float.i             \
+       gr_vco_f.i                      \
+       gr_vector_to_stream.i           \
+       gr_vector_to_streams.i          \
+       gr_unpack_k_bits_bb.i           \
+       gr_wavelet_ff.i                 \
+       gr_wvps_ff.i                    \
+       gri_agc_cc.i                    \
+       gri_agc_ff.i                    \
+       gri_agc2_cc.i                   \
+       gri_agc2_ff.i                   \
+       gr_descrambler_bb.i             \
+       gr_scrambler_bb.i               \
+       gr_probe_mpsk_snr_c.i           \
+       gr_probe_density_b.i
+
+all: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) all-am
+
+.SUFFIXES:
+.SUFFIXES: .c .cc .lo .o .obj
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gnuradio-core/src/lib/general/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gnuradio-core/src/lib/general/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+gr_prefix.cc: $(top_builddir)/config.status $(srcdir)/gr_prefix.cc.in
+       cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@
+
+clean-noinstLTLIBRARIES:
+       -test -z "$(noinst_LTLIBRARIES)" || rm -f $(noinst_LTLIBRARIES)
+       @list='$(noinst_LTLIBRARIES)'; for p in $$list; do \
+         dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
+         test "$$dir" != "$$p" || dir=.; \
+         echo "rm -f \"$${dir}/so_locations\""; \
+         rm -f "$${dir}/so_locations"; \
+       done
+libgeneral-qa.la: $(libgeneral_qa_la_OBJECTS) $(libgeneral_qa_la_DEPENDENCIES) 
+       $(CXXLINK)  $(libgeneral_qa_la_OBJECTS) $(libgeneral_qa_la_LIBADD) $(LIBS)
+libgeneral.la: $(libgeneral_la_OBJECTS) $(libgeneral_la_DEPENDENCIES) 
+       $(CXXLINK)  $(libgeneral_la_OBJECTS) $(libgeneral_la_LIBADD) $(LIBS)
+
+mostlyclean-compile:
+       -rm -f *.$(OBJEXT)
+
+distclean-compile:
+       -rm -f *.tab.c
+
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_agc2_cc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_agc2_ff.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_agc_cc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_agc_ff.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_align_on_samplenumbers_ss.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_bin_statistics_f.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_binary_slicer_fb.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_bytes_to_syms.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_char_to_float.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_check_counting_s.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_check_lfsr_32k_s.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_circular_file.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_clock_recovery_mm_cc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_clock_recovery_mm_ff.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_complex_to_interleaved_short.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_complex_to_xxx.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_conjugate_cc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_constellation_decoder_cb.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_correlate_access_code_bb.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_costas_loop_cc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_count_bits.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_cpfsk_bc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_crc32.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_ctcss_squelch_ff.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_dd_mpsk_sync_cc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_decode_ccsds_27_fb.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_deinterleave.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_delay.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_descrambler_bb.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_diff_decoder_bb.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_diff_encoder_bb.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_diff_phasor_cc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_dpll_bb.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_encode_ccsds_27_bb.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_fake_channel_coder_pp.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_fast_atan2f.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_feedforward_agc_cc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_feval.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_fft_vcc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_fft_vcc_fftw.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_fft_vfc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_firdes.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_float_to_char.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_float_to_complex.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_float_to_short.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_float_to_uchar.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_fmdet_cf.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_framer_sink_1.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_frequency_modulator_fc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_fxpt.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_glfsr_source_b.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_glfsr_source_f.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_head.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_interleave.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_interleaved_short_to_complex.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_iqcomp_cc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_keep_one_in_n.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_kludge_copy.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_lfsr_32k_source_s.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_lms_dfe_cc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_lms_dfe_ff.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_map_bb.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_math.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_misc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_mpsk_receiver_cc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_nlog10_ff.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_nop.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_null_sink.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_null_source.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_ofdm_cyclic_prefixer.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_ofdm_demapper_vcb.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_ofdm_frame_acquisition.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_ofdm_frame_sink.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_ofdm_insert_preamble.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_ofdm_mapper_bcv.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_ofdm_sampler.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_pa_2x2_phase_combiner.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_packet_sink.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_peak_detector2_fb.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_phase_modulator_fc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_pll_carriertracking_cc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_pll_freqdet_cf.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_pll_refout_cc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_pn_correlator_cc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_prefix.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_prefs.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_probe_avg_mag_sqrd_c.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_probe_avg_mag_sqrd_cf.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_probe_avg_mag_sqrd_f.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_probe_density_b.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_probe_mpsk_snr_c.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_probe_signal_f.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_pwr_squelch_cc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_pwr_squelch_ff.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_quadrature_demod_cf.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_rail_ff.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_random.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_regenerate_bb.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_remez.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_repeat.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_reverse.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_rms_cf.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_rms_ff.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_scrambler_bb.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_short_to_float.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_simple_correlator.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_simple_framer.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_simple_squelch_cc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_skiphead.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_squash_ff.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_squelch_base_cc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_squelch_base_ff.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_stream_mux.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_stream_to_streams.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_stream_to_vector.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_streams_to_stream.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_streams_to_vector.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_stretch_ff.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_test.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_threshold_ff.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_throttle.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_uchar_to_float.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_unpack_k_bits_bb.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_vco_f.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_vector_to_stream.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_vector_to_streams.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_wavelet_ff.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_wvps_ff.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gri_add_const_ss_generic.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gri_char_to_float.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gri_debugger_hook.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gri_fft.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gri_float_to_char.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gri_float_to_short.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gri_float_to_uchar.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gri_glfsr.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gri_interleaved_short_to_complex.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gri_short_to_float.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gri_uchar_to_float.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/malloc16.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/qa_general.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/qa_gr_circular_file.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/qa_gr_firdes.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/qa_gr_fxpt.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/qa_gr_fxpt_nco.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/qa_gr_fxpt_vco.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/qa_gr_math.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/qa_gri_lfsr.Plo@am__quote@
+
+.c.o:
+@am__fastdepCC_TRUE@   $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(COMPILE) -c $<
+
+.c.obj:
+@am__fastdepCC_TRUE@   $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(COMPILE) -c `$(CYGPATH_W) '$<'`
+
+.c.lo:
+@am__fastdepCC_TRUE@   $(LTCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(LTCOMPILE) -c -o $@ $<
+
+.cc.o:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ $<
+
+.cc.obj:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ `$(CYGPATH_W) '$<'`
+
+.cc.lo:
+@am__fastdepCXX_TRUE@  $(LTCXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LTCXXCOMPILE) -c -o $@ $<
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-grincludeHEADERS: $(grinclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(grincludedir)" || $(MKDIR_P) "$(DESTDIR)$(grincludedir)"
+       @list='$(grinclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(grincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(grincludedir)/$$f'"; \
+         $(grincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(grincludedir)/$$f"; \
+       done
+
+uninstall-grincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(grinclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(grincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(grincludedir)/$$f"; \
+       done
+install-swigincludeHEADERS: $(swiginclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(swigincludedir)" || $(MKDIR_P) "$(DESTDIR)$(swigincludedir)"
+       @list='$(swiginclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(swigincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(swigincludedir)/$$f'"; \
+         $(swigincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(swigincludedir)/$$f"; \
+       done
+
+uninstall-swigincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(swiginclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(swigincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(swigincludedir)/$$f"; \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) check-am
+all-am: Makefile $(LTLIBRARIES) $(HEADERS)
+installdirs:
+       for dir in "$(DESTDIR)$(grincludedir)" "$(DESTDIR)$(swigincludedir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+       -test -z "$(BUILT_SOURCES)" || rm -f $(BUILT_SOURCES)
+clean: clean-am
+
+clean-am: clean-generic clean-libtool clean-noinstLTLIBRARIES \
+       mostlyclean-am
+
+distclean: distclean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+distclean-am: clean-am distclean-compile distclean-generic \
+       distclean-tags
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-grincludeHEADERS install-swigincludeHEADERS
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-compile mostlyclean-generic \
+       mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-grincludeHEADERS uninstall-swigincludeHEADERS
+
+.MAKE: install-am install-strip
+
+.PHONY: CTAGS GTAGS all all-am check check-am clean clean-generic \
+       clean-libtool clean-noinstLTLIBRARIES ctags dist-hook \
+       distclean distclean-compile distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-grincludeHEADERS install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-ps install-ps-am \
+       install-strip install-swigincludeHEADERS installcheck \
+       installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-compile \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       tags uninstall uninstall-am uninstall-grincludeHEADERS \
+       uninstall-swigincludeHEADERS
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gnuradio-core/src/lib/general/gr_dd_mpsk_sync_cc.cc b/gnuradio-core/src/lib/general/gr_dd_mpsk_sync_cc.cc
new file mode 100644 (file)
index 0000000..d4141ef
--- /dev/null
@@ -0,0 +1,196 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_dd_mpsk_sync_cc.h>
+#include <gr_io_signature.h>
+#include <gr_sincos.h>
+#include <gri_mmse_fir_interpolator_cc.h>
+#include <math.h>
+#include <stdexcept>
+#include <cstdio>
+
+#include <gr_complex.h>
+
+#define M_TWOPI (2*M_PI)
+
+gr_dd_mpsk_sync_cc_sptr
+gr_make_dd_mpsk_sync_cc (float alpha, float beta, float max_freq, float min_freq, float ref_phase,
+                        float omega, float gain_omega, float mu, float gain_mu)
+{
+    return gr_dd_mpsk_sync_cc_sptr (new gr_dd_mpsk_sync_cc (alpha, beta, max_freq, min_freq,ref_phase,
+                                                           omega,gain_omega,mu,gain_mu));
+}
+
+gr_dd_mpsk_sync_cc::gr_dd_mpsk_sync_cc (float alpha, float beta, float max_freq, float min_freq,
+                                       float ref_phase,
+                                       float omega, float gain_omega, float mu, float gain_mu)
+    : gr_block ("dd_mpsk_sync_cc",
+               gr_make_io_signature (1, 1, sizeof (gr_complex)),
+               gr_make_io_signature (1, 1, sizeof (gr_complex))),
+      d_alpha(alpha), d_beta(beta), 
+      d_max_freq(max_freq), d_min_freq(min_freq),
+      d_ref_phase(ref_phase),d_omega(omega), d_gain_omega(gain_omega), 
+      d_mu(mu), d_gain_mu(gain_mu),
+      d_phase(0), d_freq((max_freq+min_freq)/2), d_last_sample(0), 
+      d_interp(new gri_mmse_fir_interpolator_cc()),
+      d_dl_idx(0)
+{
+    if (omega <= 0.0)
+       throw std::out_of_range ("clock rate must be > 0");
+    if (gain_mu <  0  || gain_omega < 0)
+       throw std::out_of_range ("Gains must be non-negative");
+
+    assert(d_interp->ntaps() <= DLLEN);
+
+    // zero double length delay line.
+    for (unsigned int i = 0; i < 2 * DLLEN; i++)
+      d_dl[i] = gr_complex(0.0,0.0);
+}
+
+gr_dd_mpsk_sync_cc::~gr_dd_mpsk_sync_cc()
+{
+    delete d_interp;
+}
+
+float
+gr_dd_mpsk_sync_cc::phase_detector(gr_complex sample,float ref_phase)
+{
+  return ((sample.real()>0 ? 1.0 : -1.0) * sample.imag() -
+         (sample.imag()>0 ? 1.0 : -1.0) * sample.real());
+}
+
+void
+gr_dd_mpsk_sync_cc::forecast(int noutput_items, gr_vector_int &ninput_items_required)
+{
+  unsigned ninputs = ninput_items_required.size();
+  for (unsigned i=0; i < ninputs; i++)
+    ninput_items_required[i] =
+      (int) ceil((noutput_items * d_omega) + d_interp->ntaps());
+}
+gr_complex
+gr_dd_mpsk_sync_cc::slicer_45deg (gr_complex sample)
+{
+  float real,imag;
+  if(sample.real() > 0)
+    real=1;
+  else
+    real=-1;
+  if(sample.imag() > 0)
+    imag = 1;
+  else
+    imag = -1;
+  return gr_complex(real,imag);
+}
+
+gr_complex
+gr_dd_mpsk_sync_cc::slicer_0deg (gr_complex sample)
+{
+  gr_complex out;
+  if( fabs(sample.real()) > fabs(sample.imag()) ) {
+    if(sample.real() > 0)
+      return gr_complex(1.0,0.0);
+    else
+      return gr_complex(-1.0,0.0);
+  }
+  else {
+    if(sample.imag() > 0)
+      return gr_complex(0.0, 1.0);
+    else
+      return gr_complex(0.0, -1.0);
+  }
+}
+
+int
+gr_dd_mpsk_sync_cc::general_work (int noutput_items, 
+                                 gr_vector_int &ninput_items,
+                                 gr_vector_const_void_star &input_items,
+                                 gr_vector_void_star &output_items)
+{
+  const gr_complex *in = (gr_complex *) input_items[0];
+  gr_complex *out = (gr_complex *) output_items[0];
+  
+  int ii, oo;
+  ii = 0; oo = 0;
+  
+  float error;
+  float t_imag, t_real;
+  gr_complex nco_out;
+  float mm_val;
+
+  while (oo < noutput_items) {
+    // 
+    // generate an output sample by interpolating between the carrier
+    // tracked samples in the delay line.  d_mu, the fractional
+    // interpolation amount (in [0.0, 1.0]) is controlled by the
+    // symbol timing loop below.
+    //
+    out[oo] = d_interp->interpolate (&d_dl[d_dl_idx], d_mu);
+
+    error = phase_detector(out[oo], d_ref_phase);
+    
+    d_freq = d_freq + d_beta * error;
+    d_phase = d_phase + d_alpha * error;
+    while(d_phase>M_TWOPI)
+      d_phase -= M_TWOPI;
+    while(d_phase<-M_TWOPI)
+      d_phase += M_TWOPI;
+      
+    if (d_freq > d_max_freq)
+      d_freq = d_max_freq;
+    else if (d_freq < d_min_freq)
+      d_freq = d_min_freq;
+      
+    mm_val = real(d_last_sample * slicer_0deg(out[oo]) - out[oo] * slicer_0deg(d_last_sample));
+    d_last_sample = out[oo];
+
+    d_omega = d_omega + d_gain_omega * mm_val;
+    d_mu = d_mu + d_omega + d_gain_mu * mm_val;
+    
+    while(d_mu >= 1.0) {
+      //
+      // Generate more carrier tracked samples for the delay line
+      //
+      d_mu -= 1.0;
+      gr_sincosf(d_phase, &t_imag, &t_real);
+      nco_out = gr_complex(t_real, -t_imag);
+      gr_complex new_sample = in[ii] * nco_out;
+
+      d_dl[d_dl_idx] = new_sample;             // overwrite oldest sample
+      d_dl[(d_dl_idx + DLLEN)] = new_sample;   // and second copy
+      d_dl_idx = (d_dl_idx+1) % DLLEN;         // point to the new oldest sample
+      d_phase = d_phase + d_freq;
+      ii++;
+    }
+    oo++;
+    printf("%f\t%f\t%f\t%f\t%f\n",d_mu,d_omega,mm_val,d_freq,d_phase);
+    //printf("%f\t%f\t%f\t%f\t%f\t%f\t%f\n",mple).real(),slicer_0deg(d_last_sample).imag(),mm_val,d_omega,d_mu);
+  }
+
+  assert(ii <= ninput_items[0]);
+
+  consume_each (ii);
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/general/gr_dd_mpsk_sync_cc.h b/gnuradio-core/src/lib/general/gr_dd_mpsk_sync_cc.h
new file mode 100644 (file)
index 0000000..4ffcd37
--- /dev/null
@@ -0,0 +1,93 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifndef INCLUDED_GR_DD_MPSK_SYNC_CC_H
+#define INCLUDED_GR_DD_MPSK_SYNC_CC_H
+
+#include <gr_sync_block.h>
+
+class gri_mmse_fir_interpolator_cc;
+
+class gr_dd_mpsk_sync_cc;
+typedef boost::shared_ptr<gr_dd_mpsk_sync_cc> gr_dd_mpsk_sync_cc_sptr;
+
+gr_dd_mpsk_sync_cc_sptr 
+gr_make_dd_mpsk_sync_cc (float alpha, float beta,
+                        float max_freq, float min_freq, float ref_phase,
+                        float omega, float gain_omega, float mu, float gain_mu);
+
+/*!
+ * \brief Decision directed M-PSK synchronous demod 
+ * \ingroup sync_blk
+ * This block performs joint carrier tracking and symbol timing recovery.
+ *
+ * input: complex baseband; output: properly timed complex samples ready for slicing.
+ *
+ * N.B, at this point, it handles only QPSK.
+ */
+
+class gr_dd_mpsk_sync_cc : public gr_block
+{
+  friend gr_dd_mpsk_sync_cc_sptr gr_make_dd_mpsk_sync_cc (float alpha, float beta,
+                                                         float max_freq, float min_freq, float ref_phase,
+                                                         float omega, float gain_omega, float mu, float gain_mu);
+public:
+  ~gr_dd_mpsk_sync_cc ();
+  void forecast(int noutput_items, gr_vector_int &ninput_items_required);
+  float mu() const { return d_mu;}
+  float omega() const { return d_omega;}
+  float gain_mu() const { return d_gain_mu;}
+  float gain_omega() const { return d_gain_omega;}
+  
+  void set_gain_mu (float gain_mu) { d_gain_mu = gain_mu; }
+  void set_gain_omega (float gain_omega) { d_gain_omega = gain_omega; }
+  void set_mu (float mu) { d_mu = mu; }
+  void set_omega (float omega) { d_omega = omega; }
+
+protected:
+  gr_dd_mpsk_sync_cc (float alpha, float beta, float max_freq, float min_freq, float ref_phase,
+                     float omega, float gain_omega, float mu, float gain_mu);
+  
+  int general_work (int noutput_items,
+                   gr_vector_int &ninput_items,
+                   gr_vector_const_void_star &input_items,
+                   gr_vector_void_star &output_items);
+  
+private:
+  static const unsigned int DLLEN = 8; // delay line length.
+
+  float d_alpha,d_beta,d_max_freq,d_min_freq,d_ref_phase;
+  float d_omega, d_gain_omega, d_mu, d_gain_mu;
+  float d_phase, d_freq;
+  gr_complex slicer_45deg (gr_complex sample);
+  gr_complex slicer_0deg (gr_complex sample);
+  gr_complex d_last_sample;
+  gri_mmse_fir_interpolator_cc         *d_interp;
+  
+  gr_complex d_dl[2 * DLLEN];  // Holds post carrier tracking samples.
+                                // double length delay line to avoid wraps.
+  unsigned int d_dl_idx;       // indexes oldest sample in delay line.
+
+  float phase_detector(gr_complex sample,float ref_phase);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/general/gr_dd_mpsk_sync_cc.i b/gnuradio-core/src/lib/general/gr_dd_mpsk_sync_cc.i
new file mode 100644 (file)
index 0000000..1773924
--- /dev/null
@@ -0,0 +1,34 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2005 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+GR_SWIG_BLOCK_MAGIC(gr,dd_mpsk_sync_cc)
+
+  gr_dd_mpsk_sync_cc_sptr gr_make_dd_mpsk_sync_cc (float alpha, float beta, 
+                                                  float max_freq, float min_freq, float ref_phase,
+                                                  float omega, float gain_omega, float mu, float gain_mu);
+
+class gr_dd_mpsk_sync_cc : public gr_block
+{
+ private:
+  gr_dd_mpsk_sync_cc (float alpha, float beta, float max_freq, float min_freq, float ref_phase,
+                     float omega, float gain_omega, float mu, float gain_mu);
+};
diff --git a/gnuradio-core/src/lib/general/gr_prefix.cc b/gnuradio-core/src/lib/general/gr_prefix.cc
new file mode 100644 (file)
index 0000000..842b041
--- /dev/null
@@ -0,0 +1,29 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#include <gr_prefix.h>
+
+const std::string
+gr_prefix()
+{
+  return "/home/jcorgan/.local";
+}
diff --git a/gnuradio-core/src/lib/general/gr_prefix.cc.in b/gnuradio-core/src/lib/general/gr_prefix.cc.in
new file mode 100644 (file)
index 0000000..f03043c
--- /dev/null
@@ -0,0 +1,29 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#include <gr_prefix.h>
+
+const std::string
+gr_prefix()
+{
+  return "@prefix@";
+}
diff --git a/gnuradio-core/src/lib/general/gr_prefix.h b/gnuradio-core/src/lib/general/gr_prefix.h
new file mode 100644 (file)
index 0000000..0a107b9
--- /dev/null
@@ -0,0 +1,33 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+#ifndef INCLUDED_GR_PREFIX_H
+#define INCLUDED_GR_PREFIX_H
+
+#include <string>
+
+/*!
+ * \brief return ./configure --prefix argument.  Typically /usr/local
+ */
+const std::string gr_prefix();
+
+
+#endif /* INCLUDED_GR_PREFIX_H */
diff --git a/gnuradio-core/src/lib/general/gr_prefix.i b/gnuradio-core/src/lib/general/gr_prefix.i
new file mode 100644 (file)
index 0000000..04e1899
--- /dev/null
@@ -0,0 +1,5 @@
+/* -*- c++ -*- */
+
+%rename(prefix) gr_prefix;
+
+const std::string gr_prefix();
diff --git a/gnuradio-core/src/lib/gengen/Makefile.in b/gnuradio-core/src/lib/gengen/Makefile.in
new file mode 100644 (file)
index 0000000..5d8fcd0
--- /dev/null
@@ -0,0 +1,1727 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2001,2002,2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(grinclude_HEADERS) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.gen $(srcdir)/Makefile.in \
+       $(swiginclude_HEADERS) $(top_srcdir)/Makefile.common \
+       $(top_srcdir)/Makefile.gen.gen $(top_srcdir)/Makefile.par.gen
+subdir = gnuradio-core/src/lib/gengen
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+LTLIBRARIES = $(noinst_LTLIBRARIES)
+libgengen_la_LIBADD =
+am__objects_1 = gr_add_cc.lo gr_add_const_cc.lo gr_add_const_ff.lo \
+       gr_add_const_ii.lo gr_add_const_sf.lo gr_add_const_ss.lo \
+       gr_add_const_vcc.lo gr_add_const_vff.lo gr_add_const_vii.lo \
+       gr_add_const_vss.lo gr_add_ff.lo gr_add_ii.lo gr_add_ss.lo \
+       gr_and_bb.lo gr_and_const_bb.lo gr_and_const_ii.lo \
+       gr_and_const_ss.lo gr_and_ii.lo gr_and_ss.lo gr_argmax_fs.lo \
+       gr_argmax_is.lo gr_argmax_ss.lo gr_chunks_to_symbols_bc.lo \
+       gr_chunks_to_symbols_bf.lo gr_chunks_to_symbols_ic.lo \
+       gr_chunks_to_symbols_if.lo gr_chunks_to_symbols_sc.lo \
+       gr_chunks_to_symbols_sf.lo gr_divide_cc.lo gr_divide_ff.lo \
+       gr_divide_ii.lo gr_divide_ss.lo gr_integrate_cc.lo \
+       gr_integrate_ff.lo gr_integrate_ii.lo gr_integrate_ss.lo \
+       gr_max_ff.lo gr_max_ii.lo gr_max_ss.lo gr_moving_average_cc.lo \
+       gr_moving_average_ff.lo gr_moving_average_ii.lo \
+       gr_moving_average_ss.lo gr_multiply_cc.lo \
+       gr_multiply_const_cc.lo gr_multiply_const_ff.lo \
+       gr_multiply_const_ii.lo gr_multiply_const_ss.lo \
+       gr_multiply_const_vcc.lo gr_multiply_const_vff.lo \
+       gr_multiply_const_vii.lo gr_multiply_const_vss.lo \
+       gr_multiply_ff.lo gr_multiply_ii.lo gr_multiply_ss.lo \
+       gr_mute_cc.lo gr_mute_ff.lo gr_mute_ii.lo gr_mute_ss.lo \
+       gr_noise_source_c.lo gr_noise_source_f.lo gr_noise_source_i.lo \
+       gr_noise_source_s.lo gr_not_bb.lo gr_not_ii.lo gr_not_ss.lo \
+       gr_or_bb.lo gr_or_ii.lo gr_or_ss.lo \
+       gr_packed_to_unpacked_bb.lo gr_packed_to_unpacked_ii.lo \
+       gr_packed_to_unpacked_ss.lo gr_peak_detector_fb.lo \
+       gr_peak_detector_ib.lo gr_peak_detector_sb.lo \
+       gr_sample_and_hold_bb.lo gr_sample_and_hold_ff.lo \
+       gr_sample_and_hold_ii.lo gr_sample_and_hold_ss.lo \
+       gr_sig_source_c.lo gr_sig_source_f.lo gr_sig_source_i.lo \
+       gr_sig_source_s.lo gr_sub_cc.lo gr_sub_ff.lo gr_sub_ii.lo \
+       gr_sub_ss.lo gr_unpacked_to_packed_bb.lo \
+       gr_unpacked_to_packed_ii.lo gr_unpacked_to_packed_ss.lo \
+       gr_vector_sink_b.lo gr_vector_sink_c.lo gr_vector_sink_f.lo \
+       gr_vector_sink_i.lo gr_vector_sink_s.lo gr_vector_source_b.lo \
+       gr_vector_source_c.lo gr_vector_source_f.lo \
+       gr_vector_source_i.lo gr_vector_source_s.lo gr_xor_bb.lo \
+       gr_xor_ii.lo gr_xor_ss.lo
+am_libgengen_la_OBJECTS = $(am__objects_1)
+libgengen_la_OBJECTS = $(am_libgengen_la_OBJECTS)
+DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
+depcomp = $(SHELL) $(top_srcdir)/depcomp
+am__depfiles_maybe = depfiles
+CXXCOMPILE = $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+LTCXXCOMPILE = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+CXXLD = $(CXX)
+CXXLINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CXXLD) $(AM_CXXFLAGS) $(CXXFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+SOURCES = $(libgengen_la_SOURCES)
+DIST_SOURCES = $(libgengen_la_SOURCES)
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(grincludedir)" \
+       "$(DESTDIR)$(swigincludedir)"
+grincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+swigincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+HEADERS = $(grinclude_HEADERS) $(swiginclude_HEADERS)
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = $(DEPDIR)/Makefile.gen-generate-generate-* \
+       $(DEPDIR)/stamp-sources-generate*
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp \
+       *.loT stamp-sources-generate
+AM_CPPFLAGS = $(STD_DEFINES_AND_INCLUDES) $(CPPUNIT_INCLUDES) $(WITH_INCLUDES)
+
+#noinst_LTLIBRARIES = libgengen.la libgengen-qa.la
+noinst_LTLIBRARIES = libgengen.la
+
+# ----------------------------------------------------------------
+# these scripts generate code
+core_generator = \
+       generate_all.py                 \
+       generate_common.py              \
+       gr_add_XX.cc.t                  \
+       gr_add_XX.h.t                   \
+       gr_add_XX.i.t                   \
+       gr_add_const_XX.cc.t            \
+       gr_add_const_XX.h.t             \
+       gr_add_const_XX.i.t             \
+       gr_add_const_vXX.cc.t           \
+       gr_add_const_vXX.h.t            \
+       gr_add_const_vXX.i.t            \
+       gr_argmax_XX.cc.t               \
+       gr_argmax_XX.h.t                \
+       gr_argmax_XX.i.t                \
+       gr_chunks_to_symbols_XX.cc.t    \
+       gr_chunks_to_symbols_XX.h.t     \
+       gr_chunks_to_symbols_XX.i.t     \
+       gr_divide_XX.cc.t               \
+       gr_divide_XX.h.t                \
+       gr_divide_XX.i.t                \
+       gr_integrate_XX.cc.t            \
+       gr_integrate_XX.h.t             \
+       gr_integrate_XX.i.t             \
+       gr_max_XX.cc.t                  \
+       gr_max_XX.h.t                   \
+       gr_max_XX.i.t                   \
+       gr_multiply_XX.cc.t             \
+       gr_multiply_XX.h.t              \
+       gr_multiply_XX.i.t              \
+       gr_multiply_const_XX.cc.t       \
+       gr_multiply_const_XX.h.t        \
+       gr_multiply_const_XX.i.t        \
+       gr_multiply_const_vXX.cc.t      \
+       gr_multiply_const_vXX.h.t       \
+       gr_multiply_const_vXX.i.t       \
+       gr_mute_XX.cc.t                 \
+       gr_mute_XX.h.t                  \
+       gr_mute_XX.i.t                  \
+       gr_noise_source_X.cc.t          \
+       gr_noise_source_X.h.t           \
+       gr_noise_source_X.i.t           \
+       gr_packed_to_unpacked_XX.cc.t   \
+       gr_packed_to_unpacked_XX.h.t    \
+       gr_packed_to_unpacked_XX.i.t    \
+       gr_peak_detector_XX.cc.t        \
+       gr_peak_detector_XX.h.t         \
+       gr_peak_detector_XX.i.t         \
+       gr_sample_and_hold_XX.cc.t      \
+       gr_sample_and_hold_XX.h.t       \
+       gr_sample_and_hold_XX.i.t       \
+       gr_sig_source_X.cc.t            \
+       gr_sig_source_X.h.t             \
+       gr_sig_source_X.i.t             \
+       gr_sub_XX.cc.t                  \
+       gr_sub_XX.h.t                   \
+       gr_sub_XX.i.t                   \
+       gr_unpacked_to_packed_XX.cc.t   \
+       gr_unpacked_to_packed_XX.h.t    \
+       gr_unpacked_to_packed_XX.i.t    \
+       gr_vector_source_X.cc.t         \
+       gr_vector_source_X.h.t          \
+       gr_vector_source_X.i.t          \
+       gr_vector_sink_X.cc.t           \
+       gr_vector_sink_X.h.t            \
+       gr_vector_sink_X.i.t            \
+       gr_xor_XX.cc.t                  \
+       gr_xor_XX.h.t                   \
+       gr_xor_XX.i.t                   \
+       gr_and_XX.cc.t                  \
+       gr_and_XX.h.t                   \
+       gr_and_XX.i.t                   \
+       gr_and_const_XX.cc.t            \
+       gr_and_const_XX.h.t             \
+       gr_and_const_XX.i.t             \
+       gr_or_XX.cc.t                   \
+       gr_or_XX.h.t                    \
+       gr_or_XX.i.t                    \
+       gr_not_XX.cc.t                  \
+       gr_not_XX.h.t                   \
+       gr_not_XX.i.t                   \
+       gr_moving_average_XX.cc.t       \
+       gr_moving_average_XX.h.t        \
+       gr_moving_average_XX.i.t
+
+
+# Source built by Python into $(builddir)
+BUILT_SOURCES = \
+       $(GENERATED_H)          \
+       $(GENERATED_I)          \
+       $(GENERATED_CC)         \
+       gengen_generated.i
+
+
+# ----------------------------------------------------------------
+EXTRA_DIST = $(core_generator) stamp-sources-generate
+libgengen_la_SOURCES = \
+       $(GENERATED_CC)                 
+
+grinclude_HEADERS = \
+       $(GENERATED_H)                  \
+       gr_endianness.h                 \
+       gr_noise_type.h                 \
+       gr_sig_source_waveform.h        
+
+swiginclude_HEADERS = \
+       $(GENERATED_I)                  \
+       gr_endianness.i                 \
+       gengen.i                        \
+       gengen_generated.i              
+
+
+#
+# This file is machine generated.  All edits will be overwritten
+#
+GENERATED_H = \
+       gr_add_cc.h \
+       gr_add_const_cc.h \
+       gr_add_const_ff.h \
+       gr_add_const_ii.h \
+       gr_add_const_sf.h \
+       gr_add_const_ss.h \
+       gr_add_const_vcc.h \
+       gr_add_const_vff.h \
+       gr_add_const_vii.h \
+       gr_add_const_vss.h \
+       gr_add_ff.h \
+       gr_add_ii.h \
+       gr_add_ss.h \
+       gr_and_bb.h \
+       gr_and_const_bb.h \
+       gr_and_const_ii.h \
+       gr_and_const_ss.h \
+       gr_and_ii.h \
+       gr_and_ss.h \
+       gr_argmax_fs.h \
+       gr_argmax_is.h \
+       gr_argmax_ss.h \
+       gr_chunks_to_symbols_bc.h \
+       gr_chunks_to_symbols_bf.h \
+       gr_chunks_to_symbols_ic.h \
+       gr_chunks_to_symbols_if.h \
+       gr_chunks_to_symbols_sc.h \
+       gr_chunks_to_symbols_sf.h \
+       gr_divide_cc.h \
+       gr_divide_ff.h \
+       gr_divide_ii.h \
+       gr_divide_ss.h \
+       gr_integrate_cc.h \
+       gr_integrate_ff.h \
+       gr_integrate_ii.h \
+       gr_integrate_ss.h \
+       gr_max_ff.h \
+       gr_max_ii.h \
+       gr_max_ss.h \
+       gr_moving_average_cc.h \
+       gr_moving_average_ff.h \
+       gr_moving_average_ii.h \
+       gr_moving_average_ss.h \
+       gr_multiply_cc.h \
+       gr_multiply_const_cc.h \
+       gr_multiply_const_ff.h \
+       gr_multiply_const_ii.h \
+       gr_multiply_const_ss.h \
+       gr_multiply_const_vcc.h \
+       gr_multiply_const_vff.h \
+       gr_multiply_const_vii.h \
+       gr_multiply_const_vss.h \
+       gr_multiply_ff.h \
+       gr_multiply_ii.h \
+       gr_multiply_ss.h \
+       gr_mute_cc.h \
+       gr_mute_ff.h \
+       gr_mute_ii.h \
+       gr_mute_ss.h \
+       gr_noise_source_c.h \
+       gr_noise_source_f.h \
+       gr_noise_source_i.h \
+       gr_noise_source_s.h \
+       gr_not_bb.h \
+       gr_not_ii.h \
+       gr_not_ss.h \
+       gr_or_bb.h \
+       gr_or_ii.h \
+       gr_or_ss.h \
+       gr_packed_to_unpacked_bb.h \
+       gr_packed_to_unpacked_ii.h \
+       gr_packed_to_unpacked_ss.h \
+       gr_peak_detector_fb.h \
+       gr_peak_detector_ib.h \
+       gr_peak_detector_sb.h \
+       gr_sample_and_hold_bb.h \
+       gr_sample_and_hold_ff.h \
+       gr_sample_and_hold_ii.h \
+       gr_sample_and_hold_ss.h \
+       gr_sig_source_c.h \
+       gr_sig_source_f.h \
+       gr_sig_source_i.h \
+       gr_sig_source_s.h \
+       gr_sub_cc.h \
+       gr_sub_ff.h \
+       gr_sub_ii.h \
+       gr_sub_ss.h \
+       gr_unpacked_to_packed_bb.h \
+       gr_unpacked_to_packed_ii.h \
+       gr_unpacked_to_packed_ss.h \
+       gr_vector_sink_b.h \
+       gr_vector_sink_c.h \
+       gr_vector_sink_f.h \
+       gr_vector_sink_i.h \
+       gr_vector_sink_s.h \
+       gr_vector_source_b.h \
+       gr_vector_source_c.h \
+       gr_vector_source_f.h \
+       gr_vector_source_i.h \
+       gr_vector_source_s.h \
+       gr_xor_bb.h \
+       gr_xor_ii.h \
+       gr_xor_ss.h
+
+GENERATED_I = \
+       gr_add_cc.i \
+       gr_add_const_cc.i \
+       gr_add_const_ff.i \
+       gr_add_const_ii.i \
+       gr_add_const_sf.i \
+       gr_add_const_ss.i \
+       gr_add_const_vcc.i \
+       gr_add_const_vff.i \
+       gr_add_const_vii.i \
+       gr_add_const_vss.i \
+       gr_add_ff.i \
+       gr_add_ii.i \
+       gr_add_ss.i \
+       gr_and_bb.i \
+       gr_and_const_bb.i \
+       gr_and_const_ii.i \
+       gr_and_const_ss.i \
+       gr_and_ii.i \
+       gr_and_ss.i \
+       gr_argmax_fs.i \
+       gr_argmax_is.i \
+       gr_argmax_ss.i \
+       gr_chunks_to_symbols_bc.i \
+       gr_chunks_to_symbols_bf.i \
+       gr_chunks_to_symbols_ic.i \
+       gr_chunks_to_symbols_if.i \
+       gr_chunks_to_symbols_sc.i \
+       gr_chunks_to_symbols_sf.i \
+       gr_divide_cc.i \
+       gr_divide_ff.i \
+       gr_divide_ii.i \
+       gr_divide_ss.i \
+       gr_integrate_cc.i \
+       gr_integrate_ff.i \
+       gr_integrate_ii.i \
+       gr_integrate_ss.i \
+       gr_max_ff.i \
+       gr_max_ii.i \
+       gr_max_ss.i \
+       gr_moving_average_cc.i \
+       gr_moving_average_ff.i \
+       gr_moving_average_ii.i \
+       gr_moving_average_ss.i \
+       gr_multiply_cc.i \
+       gr_multiply_const_cc.i \
+       gr_multiply_const_ff.i \
+       gr_multiply_const_ii.i \
+       gr_multiply_const_ss.i \
+       gr_multiply_const_vcc.i \
+       gr_multiply_const_vff.i \
+       gr_multiply_const_vii.i \
+       gr_multiply_const_vss.i \
+       gr_multiply_ff.i \
+       gr_multiply_ii.i \
+       gr_multiply_ss.i \
+       gr_mute_cc.i \
+       gr_mute_ff.i \
+       gr_mute_ii.i \
+       gr_mute_ss.i \
+       gr_noise_source_c.i \
+       gr_noise_source_f.i \
+       gr_noise_source_i.i \
+       gr_noise_source_s.i \
+       gr_not_bb.i \
+       gr_not_ii.i \
+       gr_not_ss.i \
+       gr_or_bb.i \
+       gr_or_ii.i \
+       gr_or_ss.i \
+       gr_packed_to_unpacked_bb.i \
+       gr_packed_to_unpacked_ii.i \
+       gr_packed_to_unpacked_ss.i \
+       gr_peak_detector_fb.i \
+       gr_peak_detector_ib.i \
+       gr_peak_detector_sb.i \
+       gr_sample_and_hold_bb.i \
+       gr_sample_and_hold_ff.i \
+       gr_sample_and_hold_ii.i \
+       gr_sample_and_hold_ss.i \
+       gr_sig_source_c.i \
+       gr_sig_source_f.i \
+       gr_sig_source_i.i \
+       gr_sig_source_s.i \
+       gr_sub_cc.i \
+       gr_sub_ff.i \
+       gr_sub_ii.i \
+       gr_sub_ss.i \
+       gr_unpacked_to_packed_bb.i \
+       gr_unpacked_to_packed_ii.i \
+       gr_unpacked_to_packed_ss.i \
+       gr_vector_sink_b.i \
+       gr_vector_sink_c.i \
+       gr_vector_sink_f.i \
+       gr_vector_sink_i.i \
+       gr_vector_sink_s.i \
+       gr_vector_source_b.i \
+       gr_vector_source_c.i \
+       gr_vector_source_f.i \
+       gr_vector_source_i.i \
+       gr_vector_source_s.i \
+       gr_xor_bb.i \
+       gr_xor_ii.i \
+       gr_xor_ss.i
+
+GENERATED_CC = \
+       gr_add_cc.cc \
+       gr_add_const_cc.cc \
+       gr_add_const_ff.cc \
+       gr_add_const_ii.cc \
+       gr_add_const_sf.cc \
+       gr_add_const_ss.cc \
+       gr_add_const_vcc.cc \
+       gr_add_const_vff.cc \
+       gr_add_const_vii.cc \
+       gr_add_const_vss.cc \
+       gr_add_ff.cc \
+       gr_add_ii.cc \
+       gr_add_ss.cc \
+       gr_and_bb.cc \
+       gr_and_const_bb.cc \
+       gr_and_const_ii.cc \
+       gr_and_const_ss.cc \
+       gr_and_ii.cc \
+       gr_and_ss.cc \
+       gr_argmax_fs.cc \
+       gr_argmax_is.cc \
+       gr_argmax_ss.cc \
+       gr_chunks_to_symbols_bc.cc \
+       gr_chunks_to_symbols_bf.cc \
+       gr_chunks_to_symbols_ic.cc \
+       gr_chunks_to_symbols_if.cc \
+       gr_chunks_to_symbols_sc.cc \
+       gr_chunks_to_symbols_sf.cc \
+       gr_divide_cc.cc \
+       gr_divide_ff.cc \
+       gr_divide_ii.cc \
+       gr_divide_ss.cc \
+       gr_integrate_cc.cc \
+       gr_integrate_ff.cc \
+       gr_integrate_ii.cc \
+       gr_integrate_ss.cc \
+       gr_max_ff.cc \
+       gr_max_ii.cc \
+       gr_max_ss.cc \
+       gr_moving_average_cc.cc \
+       gr_moving_average_ff.cc \
+       gr_moving_average_ii.cc \
+       gr_moving_average_ss.cc \
+       gr_multiply_cc.cc \
+       gr_multiply_const_cc.cc \
+       gr_multiply_const_ff.cc \
+       gr_multiply_const_ii.cc \
+       gr_multiply_const_ss.cc \
+       gr_multiply_const_vcc.cc \
+       gr_multiply_const_vff.cc \
+       gr_multiply_const_vii.cc \
+       gr_multiply_const_vss.cc \
+       gr_multiply_ff.cc \
+       gr_multiply_ii.cc \
+       gr_multiply_ss.cc \
+       gr_mute_cc.cc \
+       gr_mute_ff.cc \
+       gr_mute_ii.cc \
+       gr_mute_ss.cc \
+       gr_noise_source_c.cc \
+       gr_noise_source_f.cc \
+       gr_noise_source_i.cc \
+       gr_noise_source_s.cc \
+       gr_not_bb.cc \
+       gr_not_ii.cc \
+       gr_not_ss.cc \
+       gr_or_bb.cc \
+       gr_or_ii.cc \
+       gr_or_ss.cc \
+       gr_packed_to_unpacked_bb.cc \
+       gr_packed_to_unpacked_ii.cc \
+       gr_packed_to_unpacked_ss.cc \
+       gr_peak_detector_fb.cc \
+       gr_peak_detector_ib.cc \
+       gr_peak_detector_sb.cc \
+       gr_sample_and_hold_bb.cc \
+       gr_sample_and_hold_ff.cc \
+       gr_sample_and_hold_ii.cc \
+       gr_sample_and_hold_ss.cc \
+       gr_sig_source_c.cc \
+       gr_sig_source_f.cc \
+       gr_sig_source_i.cc \
+       gr_sig_source_s.cc \
+       gr_sub_cc.cc \
+       gr_sub_ff.cc \
+       gr_sub_ii.cc \
+       gr_sub_ss.cc \
+       gr_unpacked_to_packed_bb.cc \
+       gr_unpacked_to_packed_ii.cc \
+       gr_unpacked_to_packed_ss.cc \
+       gr_vector_sink_b.cc \
+       gr_vector_sink_c.cc \
+       gr_vector_sink_f.cc \
+       gr_vector_sink_i.cc \
+       gr_vector_sink_s.cc \
+       gr_vector_source_b.cc \
+       gr_vector_source_c.cc \
+       gr_vector_source_f.cc \
+       gr_vector_source_i.cc \
+       gr_vector_source_s.cc \
+       gr_xor_bb.cc \
+       gr_xor_ii.cc \
+       gr_xor_ss.cc
+
+
+# Do creation and inclusion of other Makefiles last
+
+# include the srcdir's Makefile.gen; doing this creates an implicit
+# dependency between $(srcdir)/Makefile.in and $(srcdir)/Makefile.gen.
+
+# common way for generating local Makefile.gen
+makefile_gen_gen_command = PYTHONPATH=$(top_srcdir)/gnuradio-core/src/python srcdir=$(srcdir) do_makefile=1 do_sources=0 $(PYTHON) $(srcdir)/generate_all.py
+
+# common way for generating sources from templates when using
+# BUILT_SOURCES, using parallel build protection.
+gen_sources = $(BUILT_SOURCES)
+gen_sources_deps = $(core_generator)
+par_gen_command = PYTHONPATH=$(top_srcdir)/gnuradio-core/src/python srcdir=$(srcdir) $(PYTHON) $(srcdir)/generate_all.py
+all: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) all-am
+
+.SUFFIXES:
+.SUFFIXES: .cc .lo .o .obj
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(srcdir)/Makefile.gen $(top_srcdir)/Makefile.gen.gen $(top_srcdir)/Makefile.par.gen $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gnuradio-core/src/lib/gengen/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gnuradio-core/src/lib/gengen/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+clean-noinstLTLIBRARIES:
+       -test -z "$(noinst_LTLIBRARIES)" || rm -f $(noinst_LTLIBRARIES)
+       @list='$(noinst_LTLIBRARIES)'; for p in $$list; do \
+         dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
+         test "$$dir" != "$$p" || dir=.; \
+         echo "rm -f \"$${dir}/so_locations\""; \
+         rm -f "$${dir}/so_locations"; \
+       done
+libgengen.la: $(libgengen_la_OBJECTS) $(libgengen_la_DEPENDENCIES) 
+       $(CXXLINK)  $(libgengen_la_OBJECTS) $(libgengen_la_LIBADD) $(LIBS)
+
+mostlyclean-compile:
+       -rm -f *.$(OBJEXT)
+
+distclean-compile:
+       -rm -f *.tab.c
+
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_add_cc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_add_const_cc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_add_const_ff.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_add_const_ii.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_add_const_sf.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_add_const_ss.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_add_const_vcc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_add_const_vff.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_add_const_vii.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_add_const_vss.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_add_ff.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_add_ii.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_add_ss.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_and_bb.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_and_const_bb.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_and_const_ii.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_and_const_ss.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_and_ii.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_and_ss.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_argmax_fs.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_argmax_is.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_argmax_ss.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_chunks_to_symbols_bc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_chunks_to_symbols_bf.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_chunks_to_symbols_ic.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_chunks_to_symbols_if.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_chunks_to_symbols_sc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_chunks_to_symbols_sf.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_divide_cc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_divide_ff.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_divide_ii.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_divide_ss.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_integrate_cc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_integrate_ff.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_integrate_ii.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_integrate_ss.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_max_ff.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_max_ii.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_max_ss.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_moving_average_cc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_moving_average_ff.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_moving_average_ii.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_moving_average_ss.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_multiply_cc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_multiply_const_cc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_multiply_const_ff.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_multiply_const_ii.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_multiply_const_ss.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_multiply_const_vcc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_multiply_const_vff.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_multiply_const_vii.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_multiply_const_vss.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_multiply_ff.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_multiply_ii.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_multiply_ss.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_mute_cc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_mute_ff.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_mute_ii.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_mute_ss.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_noise_source_c.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_noise_source_f.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_noise_source_i.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_noise_source_s.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_not_bb.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_not_ii.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_not_ss.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_or_bb.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_or_ii.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_or_ss.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_packed_to_unpacked_bb.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_packed_to_unpacked_ii.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_packed_to_unpacked_ss.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_peak_detector_fb.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_peak_detector_ib.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_peak_detector_sb.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_sample_and_hold_bb.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_sample_and_hold_ff.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_sample_and_hold_ii.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_sample_and_hold_ss.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_sig_source_c.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_sig_source_f.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_sig_source_i.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_sig_source_s.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_sub_cc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_sub_ff.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_sub_ii.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_sub_ss.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_unpacked_to_packed_bb.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_unpacked_to_packed_ii.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_unpacked_to_packed_ss.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_vector_sink_b.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_vector_sink_c.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_vector_sink_f.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_vector_sink_i.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_vector_sink_s.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_vector_source_b.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_vector_source_c.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_vector_source_f.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_vector_source_i.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_vector_source_s.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_xor_bb.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_xor_ii.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_xor_ss.Plo@am__quote@
+
+.cc.o:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ $<
+
+.cc.obj:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ `$(CYGPATH_W) '$<'`
+
+.cc.lo:
+@am__fastdepCXX_TRUE@  $(LTCXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LTCXXCOMPILE) -c -o $@ $<
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-grincludeHEADERS: $(grinclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(grincludedir)" || $(MKDIR_P) "$(DESTDIR)$(grincludedir)"
+       @list='$(grinclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(grincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(grincludedir)/$$f'"; \
+         $(grincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(grincludedir)/$$f"; \
+       done
+
+uninstall-grincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(grinclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(grincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(grincludedir)/$$f"; \
+       done
+install-swigincludeHEADERS: $(swiginclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(swigincludedir)" || $(MKDIR_P) "$(DESTDIR)$(swigincludedir)"
+       @list='$(swiginclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(swigincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(swigincludedir)/$$f'"; \
+         $(swigincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(swigincludedir)/$$f"; \
+       done
+
+uninstall-swigincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(swiginclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(swigincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(swigincludedir)/$$f"; \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) check-am
+all-am: Makefile $(LTLIBRARIES) $(HEADERS)
+installdirs:
+       for dir in "$(DESTDIR)$(grincludedir)" "$(DESTDIR)$(swigincludedir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+       -test -z "$(BUILT_SOURCES)" || rm -f $(BUILT_SOURCES)
+clean: clean-am
+
+clean-am: clean-generic clean-libtool clean-noinstLTLIBRARIES \
+       mostlyclean-am
+
+distclean: distclean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+distclean-am: clean-am distclean-compile distclean-generic \
+       distclean-tags
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-grincludeHEADERS install-swigincludeHEADERS
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-compile mostlyclean-generic \
+       mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-grincludeHEADERS uninstall-swigincludeHEADERS
+
+.MAKE: install-am install-strip
+
+.PHONY: CTAGS GTAGS all all-am check check-am clean clean-generic \
+       clean-libtool clean-noinstLTLIBRARIES ctags dist-hook \
+       distclean distclean-compile distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-grincludeHEADERS install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-ps install-ps-am \
+       install-strip install-swigincludeHEADERS installcheck \
+       installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-compile \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       tags uninstall uninstall-am uninstall-grincludeHEADERS \
+       uninstall-swigincludeHEADERS
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+
+generate-makefile $(srcdir)/Makefile.gen:
+       @trap 'rm -rf $(DEPDIR)/Makefile.gen-generate-*' 1 2 13 15; \
+       if mkdir $(DEPDIR)/Makefile.gen-generate-lock 2>/dev/null; then \
+               rm -f $(DEPDIR)/Makefile.gen-generate-stamp; \
+               rm -f $(DEPDIR)/Makefile.gen-generate-tmp; \
+               touch $(DEPDIR)/Makefile.gen-generate-tmp; \
+               do_recreate=0; \
+               if test -f $(srcdir)/Makefile.gen; then \
+                       if $(RM) $(srcdir)/Makefile.gen 2>/dev/null; then \
+                               do_recreate=1; \
+                       fi; \
+               else \
+                       if touch $(srcdir)/Makefile.gen 2>/dev/null; then \
+                               do_recreate=1; \
+                       fi; \
+               fi; \
+               if test "$$do_recreate" == "1"; then \
+                       echo "Regenerating $(srcdir)/Makefile.gen"; \
+                       $(makefile_gen_gen_command); \
+               else \
+                       echo "Cannot recreate $(srcdir)/Makefile.gen because the directory or file is write-protected."; \
+                       exit -1; \
+               fi; \
+               mv -f $(DEPDIR)/Makefile.gen-generate-tmp $(DEPDIR)/Makefile.gen-generate-stamp; \
+               rmdir $(DEPDIR)/Makefile.gen-generate-lock; \
+       else \
+               while test -d $(DEPDIR)/Makefile.gen-generate-lock; do sleep 1; done; \
+               test -f $(DEPDIR)/Makefile.gen-generate-stamp; exit $$?; \
+       fi;
+
+STAMPS ?=
+EXTRA_DIST ?=
+MOSTLYCLEANFILES ?=
+
+stamp-sources-generate: $(gen_sources_deps)
+       @rm -f $(DEPDIR)/stamp-sources-generate-tmp
+       @touch $(DEPDIR)/stamp-sources-generate-tmp
+       $(par_gen_command)
+       @mv -f $(DEPDIR)/stamp-sources-generate-tmp $@
+
+$(gen_sources): stamp-sources-generate
+       @if test -f $@; then :; else \
+               trap 'rm -rf $(DEPDIR)/stamp-sources-generate-*' 1 2 13 15; \
+               if mkdir $(DEPDIR)/stamp-sources-generate-lock 2>/dev/null; then \
+                       rm -f stamp-sources-generate; \
+                       $(MAKE) $(AM_MAKEFLAGS) stamp-sources-generate; \
+                       rmdir $(DEPDIR)/stamp-sources-generate-lock; \
+               else \
+                       while test -d $(DEPDIR)/stamp-sources-generate-lock; do sleep 1; done; \
+                       test -f stamp-sources-generate; exit $$?; \
+               fi; \
+       fi;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gnuradio-core/src/lib/gengen/gengen_generated.i b/gnuradio-core/src/lib/gengen/gengen_generated.i
new file mode 100644 (file)
index 0000000..3013b7b
--- /dev/null
@@ -0,0 +1,212 @@
+//
+// This file is machine generated.  All edits will be overwritten
+//
+%{
+#include <gr_add_cc.h>
+#include <gr_add_const_cc.h>
+#include <gr_add_const_ff.h>
+#include <gr_add_const_ii.h>
+#include <gr_add_const_sf.h>
+#include <gr_add_const_ss.h>
+#include <gr_add_const_vcc.h>
+#include <gr_add_const_vff.h>
+#include <gr_add_const_vii.h>
+#include <gr_add_const_vss.h>
+#include <gr_add_ff.h>
+#include <gr_add_ii.h>
+#include <gr_add_ss.h>
+#include <gr_and_bb.h>
+#include <gr_and_const_bb.h>
+#include <gr_and_const_ii.h>
+#include <gr_and_const_ss.h>
+#include <gr_and_ii.h>
+#include <gr_and_ss.h>
+#include <gr_argmax_fs.h>
+#include <gr_argmax_is.h>
+#include <gr_argmax_ss.h>
+#include <gr_chunks_to_symbols_bc.h>
+#include <gr_chunks_to_symbols_bf.h>
+#include <gr_chunks_to_symbols_ic.h>
+#include <gr_chunks_to_symbols_if.h>
+#include <gr_chunks_to_symbols_sc.h>
+#include <gr_chunks_to_symbols_sf.h>
+#include <gr_divide_cc.h>
+#include <gr_divide_ff.h>
+#include <gr_divide_ii.h>
+#include <gr_divide_ss.h>
+#include <gr_integrate_cc.h>
+#include <gr_integrate_ff.h>
+#include <gr_integrate_ii.h>
+#include <gr_integrate_ss.h>
+#include <gr_max_ff.h>
+#include <gr_max_ii.h>
+#include <gr_max_ss.h>
+#include <gr_moving_average_cc.h>
+#include <gr_moving_average_ff.h>
+#include <gr_moving_average_ii.h>
+#include <gr_moving_average_ss.h>
+#include <gr_multiply_cc.h>
+#include <gr_multiply_const_cc.h>
+#include <gr_multiply_const_ff.h>
+#include <gr_multiply_const_ii.h>
+#include <gr_multiply_const_ss.h>
+#include <gr_multiply_const_vcc.h>
+#include <gr_multiply_const_vff.h>
+#include <gr_multiply_const_vii.h>
+#include <gr_multiply_const_vss.h>
+#include <gr_multiply_ff.h>
+#include <gr_multiply_ii.h>
+#include <gr_multiply_ss.h>
+#include <gr_mute_cc.h>
+#include <gr_mute_ff.h>
+#include <gr_mute_ii.h>
+#include <gr_mute_ss.h>
+#include <gr_noise_source_c.h>
+#include <gr_noise_source_f.h>
+#include <gr_noise_source_i.h>
+#include <gr_noise_source_s.h>
+#include <gr_not_bb.h>
+#include <gr_not_ii.h>
+#include <gr_not_ss.h>
+#include <gr_or_bb.h>
+#include <gr_or_ii.h>
+#include <gr_or_ss.h>
+#include <gr_packed_to_unpacked_bb.h>
+#include <gr_packed_to_unpacked_ii.h>
+#include <gr_packed_to_unpacked_ss.h>
+#include <gr_peak_detector_fb.h>
+#include <gr_peak_detector_ib.h>
+#include <gr_peak_detector_sb.h>
+#include <gr_sample_and_hold_bb.h>
+#include <gr_sample_and_hold_ff.h>
+#include <gr_sample_and_hold_ii.h>
+#include <gr_sample_and_hold_ss.h>
+#include <gr_sig_source_c.h>
+#include <gr_sig_source_f.h>
+#include <gr_sig_source_i.h>
+#include <gr_sig_source_s.h>
+#include <gr_sub_cc.h>
+#include <gr_sub_ff.h>
+#include <gr_sub_ii.h>
+#include <gr_sub_ss.h>
+#include <gr_unpacked_to_packed_bb.h>
+#include <gr_unpacked_to_packed_ii.h>
+#include <gr_unpacked_to_packed_ss.h>
+#include <gr_vector_sink_b.h>
+#include <gr_vector_sink_c.h>
+#include <gr_vector_sink_f.h>
+#include <gr_vector_sink_i.h>
+#include <gr_vector_sink_s.h>
+#include <gr_vector_source_b.h>
+#include <gr_vector_source_c.h>
+#include <gr_vector_source_f.h>
+#include <gr_vector_source_i.h>
+#include <gr_vector_source_s.h>
+#include <gr_xor_bb.h>
+#include <gr_xor_ii.h>
+#include <gr_xor_ss.h>
+%}
+
+%include <gr_add_cc.i>
+%include <gr_add_const_cc.i>
+%include <gr_add_const_ff.i>
+%include <gr_add_const_ii.i>
+%include <gr_add_const_sf.i>
+%include <gr_add_const_ss.i>
+%include <gr_add_const_vcc.i>
+%include <gr_add_const_vff.i>
+%include <gr_add_const_vii.i>
+%include <gr_add_const_vss.i>
+%include <gr_add_ff.i>
+%include <gr_add_ii.i>
+%include <gr_add_ss.i>
+%include <gr_and_bb.i>
+%include <gr_and_const_bb.i>
+%include <gr_and_const_ii.i>
+%include <gr_and_const_ss.i>
+%include <gr_and_ii.i>
+%include <gr_and_ss.i>
+%include <gr_argmax_fs.i>
+%include <gr_argmax_is.i>
+%include <gr_argmax_ss.i>
+%include <gr_chunks_to_symbols_bc.i>
+%include <gr_chunks_to_symbols_bf.i>
+%include <gr_chunks_to_symbols_ic.i>
+%include <gr_chunks_to_symbols_if.i>
+%include <gr_chunks_to_symbols_sc.i>
+%include <gr_chunks_to_symbols_sf.i>
+%include <gr_divide_cc.i>
+%include <gr_divide_ff.i>
+%include <gr_divide_ii.i>
+%include <gr_divide_ss.i>
+%include <gr_integrate_cc.i>
+%include <gr_integrate_ff.i>
+%include <gr_integrate_ii.i>
+%include <gr_integrate_ss.i>
+%include <gr_max_ff.i>
+%include <gr_max_ii.i>
+%include <gr_max_ss.i>
+%include <gr_moving_average_cc.i>
+%include <gr_moving_average_ff.i>
+%include <gr_moving_average_ii.i>
+%include <gr_moving_average_ss.i>
+%include <gr_multiply_cc.i>
+%include <gr_multiply_const_cc.i>
+%include <gr_multiply_const_ff.i>
+%include <gr_multiply_const_ii.i>
+%include <gr_multiply_const_ss.i>
+%include <gr_multiply_const_vcc.i>
+%include <gr_multiply_const_vff.i>
+%include <gr_multiply_const_vii.i>
+%include <gr_multiply_const_vss.i>
+%include <gr_multiply_ff.i>
+%include <gr_multiply_ii.i>
+%include <gr_multiply_ss.i>
+%include <gr_mute_cc.i>
+%include <gr_mute_ff.i>
+%include <gr_mute_ii.i>
+%include <gr_mute_ss.i>
+%include <gr_noise_source_c.i>
+%include <gr_noise_source_f.i>
+%include <gr_noise_source_i.i>
+%include <gr_noise_source_s.i>
+%include <gr_not_bb.i>
+%include <gr_not_ii.i>
+%include <gr_not_ss.i>
+%include <gr_or_bb.i>
+%include <gr_or_ii.i>
+%include <gr_or_ss.i>
+%include <gr_packed_to_unpacked_bb.i>
+%include <gr_packed_to_unpacked_ii.i>
+%include <gr_packed_to_unpacked_ss.i>
+%include <gr_peak_detector_fb.i>
+%include <gr_peak_detector_ib.i>
+%include <gr_peak_detector_sb.i>
+%include <gr_sample_and_hold_bb.i>
+%include <gr_sample_and_hold_ff.i>
+%include <gr_sample_and_hold_ii.i>
+%include <gr_sample_and_hold_ss.i>
+%include <gr_sig_source_c.i>
+%include <gr_sig_source_f.i>
+%include <gr_sig_source_i.i>
+%include <gr_sig_source_s.i>
+%include <gr_sub_cc.i>
+%include <gr_sub_ff.i>
+%include <gr_sub_ii.i>
+%include <gr_sub_ss.i>
+%include <gr_unpacked_to_packed_bb.i>
+%include <gr_unpacked_to_packed_ii.i>
+%include <gr_unpacked_to_packed_ss.i>
+%include <gr_vector_sink_b.i>
+%include <gr_vector_sink_c.i>
+%include <gr_vector_sink_f.i>
+%include <gr_vector_sink_i.i>
+%include <gr_vector_sink_s.i>
+%include <gr_vector_source_b.i>
+%include <gr_vector_source_c.i>
+%include <gr_vector_source_f.i>
+%include <gr_vector_source_i.i>
+%include <gr_vector_source_s.i>
+%include <gr_xor_bb.i>
+%include <gr_xor_ii.i>
+%include <gr_xor_ss.i>
diff --git a/gnuradio-core/src/lib/gengen/gr_add_cc.cc b/gnuradio-core/src/lib/gengen/gr_add_cc.cc
new file mode 100644 (file)
index 0000000..26b33fe
--- /dev/null
@@ -0,0 +1,63 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004, 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_add_cc.h>
+#include <gr_io_signature.h>
+
+gr_add_cc_sptr
+gr_make_add_cc (size_t vlen)
+{
+  return gr_add_cc_sptr (new gr_add_cc (vlen));
+}
+
+gr_add_cc::gr_add_cc (size_t vlen)
+  : gr_sync_block ("add_cc",
+                  gr_make_io_signature (1, -1, sizeof (gr_complex)*vlen),
+                  gr_make_io_signature (1,  1, sizeof (gr_complex)*vlen)),
+  d_vlen (vlen)
+{
+}
+
+int
+gr_add_cc::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  gr_complex *optr = (gr_complex *) output_items[0];
+
+  int ninputs = input_items.size ();
+
+  for (int i = 0; i < noutput_items*d_vlen; i++){
+    gr_complex acc = ((gr_complex *) input_items[0])[i];
+    for (int j = 1; j < ninputs; j++)
+      acc += ((gr_complex *) input_items[j])[i];
+
+    *optr++ = (gr_complex) acc;
+  }
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_add_cc.h b/gnuradio-core/src/lib/gengen/gr_add_cc.h
new file mode 100644 (file)
index 0000000..5f8a084
--- /dev/null
@@ -0,0 +1,56 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004, 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_ADD_CC_H
+#define INCLUDED_GR_ADD_CC_H
+
+#include <gr_sync_block.h>
+
+class gr_add_cc;
+typedef boost::shared_ptr<gr_add_cc> gr_add_cc_sptr;
+
+gr_add_cc_sptr gr_make_add_cc (size_t vlen = 1);
+
+/*!
+ * \brief output = sum (input_0, input_1, ...)
+ * \ingroup math_blk
+ *
+ * Add across all input streams.
+ */
+class gr_add_cc : public gr_sync_block
+{
+  friend gr_add_cc_sptr gr_make_add_cc (size_t vlen);
+
+  gr_add_cc (size_t vlen);
+
+  size_t d_vlen;
+
+ public:
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_add_cc.i b/gnuradio-core/src/lib/gengen/gr_add_cc.i
new file mode 100644 (file)
index 0000000..71b4b5b
--- /dev/null
@@ -0,0 +1,33 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004, 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,add_cc)
+
+gr_add_cc_sptr gr_make_add_cc (size_t vlen = 1);
+
+class gr_add_cc : public gr_sync_block
+{
+ private:
+  gr_add_cc (size_t vlen);
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_add_const_cc.cc b/gnuradio-core/src/lib/gengen/gr_add_const_cc.cc
new file mode 100644 (file)
index 0000000..6dbfc8a
--- /dev/null
@@ -0,0 +1,72 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_add_const_cc.h>
+#include <gr_io_signature.h>
+
+gr_add_const_cc_sptr
+gr_make_add_const_cc (gr_complex k)
+{
+  return gr_add_const_cc_sptr (new gr_add_const_cc (k));
+}
+
+gr_add_const_cc::gr_add_const_cc (gr_complex k)
+  : gr_sync_block ("add_const_cc",
+                  gr_make_io_signature (1, 1, sizeof (gr_complex)),
+                  gr_make_io_signature (1, 1, sizeof (gr_complex))),
+    d_k (k)
+{
+}
+
+int
+gr_add_const_cc::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  gr_complex *iptr = (gr_complex *) input_items[0];
+  gr_complex *optr = (gr_complex *) output_items[0];
+
+  int  size = noutput_items;
+
+  while (size >= 8){
+    *optr++ = *iptr++ + d_k;
+    *optr++ = *iptr++ + d_k;
+    *optr++ = *iptr++ + d_k;
+    *optr++ = *iptr++ + d_k;
+    *optr++ = *iptr++ + d_k;
+    *optr++ = *iptr++ + d_k;
+    *optr++ = *iptr++ + d_k;
+    *optr++ = *iptr++ + d_k;
+    size -= 8;
+  }
+
+  while (size-- > 0)
+    *optr++ = *iptr++ + d_k;
+  
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_add_const_cc.h b/gnuradio-core/src/lib/gengen/gr_add_const_cc.h
new file mode 100644 (file)
index 0000000..f155eb8
--- /dev/null
@@ -0,0 +1,55 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_ADD_CONST_CC_H
+#define INCLUDED_GR_ADD_CONST_CC_H
+
+#include <gr_sync_block.h>
+
+class gr_add_const_cc;
+typedef boost::shared_ptr<gr_add_const_cc> gr_add_const_cc_sptr;
+
+gr_add_const_cc_sptr gr_make_add_const_cc (gr_complex k);
+
+/*!
+ * \brief output = input + constant
+ * \ingroup math_blk
+ */
+class gr_add_const_cc : public gr_sync_block
+{
+  friend gr_add_const_cc_sptr gr_make_add_const_cc (gr_complex k);
+
+  gr_complex   d_k;            // the constant
+  gr_add_const_cc (gr_complex k);
+
+ public:
+  gr_complex k () const { return d_k; }
+  void set_k (gr_complex k) { d_k = k; }
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_add_const_cc.i b/gnuradio-core/src/lib/gengen/gr_add_const_cc.i
new file mode 100644 (file)
index 0000000..4de00af
--- /dev/null
@@ -0,0 +1,37 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,add_const_cc)
+
+gr_add_const_cc_sptr gr_make_add_const_cc (gr_complex k);
+
+class gr_add_const_cc : public gr_sync_block
+{
+ private:
+  gr_add_const_cc (gr_complex k);
+
+ public:
+  gr_complex k () const { return d_k; }
+  void set_k (gr_complex k) { d_k = k; }
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_add_const_ff.cc b/gnuradio-core/src/lib/gengen/gr_add_const_ff.cc
new file mode 100644 (file)
index 0000000..0947877
--- /dev/null
@@ -0,0 +1,72 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_add_const_ff.h>
+#include <gr_io_signature.h>
+
+gr_add_const_ff_sptr
+gr_make_add_const_ff (float k)
+{
+  return gr_add_const_ff_sptr (new gr_add_const_ff (k));
+}
+
+gr_add_const_ff::gr_add_const_ff (float k)
+  : gr_sync_block ("add_const_ff",
+                  gr_make_io_signature (1, 1, sizeof (float)),
+                  gr_make_io_signature (1, 1, sizeof (float))),
+    d_k (k)
+{
+}
+
+int
+gr_add_const_ff::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  float *iptr = (float *) input_items[0];
+  float *optr = (float *) output_items[0];
+
+  int  size = noutput_items;
+
+  while (size >= 8){
+    *optr++ = *iptr++ + d_k;
+    *optr++ = *iptr++ + d_k;
+    *optr++ = *iptr++ + d_k;
+    *optr++ = *iptr++ + d_k;
+    *optr++ = *iptr++ + d_k;
+    *optr++ = *iptr++ + d_k;
+    *optr++ = *iptr++ + d_k;
+    *optr++ = *iptr++ + d_k;
+    size -= 8;
+  }
+
+  while (size-- > 0)
+    *optr++ = *iptr++ + d_k;
+  
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_add_const_ff.h b/gnuradio-core/src/lib/gengen/gr_add_const_ff.h
new file mode 100644 (file)
index 0000000..de32a6e
--- /dev/null
@@ -0,0 +1,55 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_ADD_CONST_FF_H
+#define INCLUDED_GR_ADD_CONST_FF_H
+
+#include <gr_sync_block.h>
+
+class gr_add_const_ff;
+typedef boost::shared_ptr<gr_add_const_ff> gr_add_const_ff_sptr;
+
+gr_add_const_ff_sptr gr_make_add_const_ff (float k);
+
+/*!
+ * \brief output = input + constant
+ * \ingroup math_blk
+ */
+class gr_add_const_ff : public gr_sync_block
+{
+  friend gr_add_const_ff_sptr gr_make_add_const_ff (float k);
+
+  float        d_k;            // the constant
+  gr_add_const_ff (float k);
+
+ public:
+  float k () const { return d_k; }
+  void set_k (float k) { d_k = k; }
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_add_const_ff.i b/gnuradio-core/src/lib/gengen/gr_add_const_ff.i
new file mode 100644 (file)
index 0000000..02f047b
--- /dev/null
@@ -0,0 +1,37 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,add_const_ff)
+
+gr_add_const_ff_sptr gr_make_add_const_ff (float k);
+
+class gr_add_const_ff : public gr_sync_block
+{
+ private:
+  gr_add_const_ff (float k);
+
+ public:
+  float k () const { return d_k; }
+  void set_k (float k) { d_k = k; }
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_add_const_ii.cc b/gnuradio-core/src/lib/gengen/gr_add_const_ii.cc
new file mode 100644 (file)
index 0000000..799446d
--- /dev/null
@@ -0,0 +1,72 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_add_const_ii.h>
+#include <gr_io_signature.h>
+
+gr_add_const_ii_sptr
+gr_make_add_const_ii (int k)
+{
+  return gr_add_const_ii_sptr (new gr_add_const_ii (k));
+}
+
+gr_add_const_ii::gr_add_const_ii (int k)
+  : gr_sync_block ("add_const_ii",
+                  gr_make_io_signature (1, 1, sizeof (int)),
+                  gr_make_io_signature (1, 1, sizeof (int))),
+    d_k (k)
+{
+}
+
+int
+gr_add_const_ii::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  int *iptr = (int *) input_items[0];
+  int *optr = (int *) output_items[0];
+
+  int  size = noutput_items;
+
+  while (size >= 8){
+    *optr++ = *iptr++ + d_k;
+    *optr++ = *iptr++ + d_k;
+    *optr++ = *iptr++ + d_k;
+    *optr++ = *iptr++ + d_k;
+    *optr++ = *iptr++ + d_k;
+    *optr++ = *iptr++ + d_k;
+    *optr++ = *iptr++ + d_k;
+    *optr++ = *iptr++ + d_k;
+    size -= 8;
+  }
+
+  while (size-- > 0)
+    *optr++ = *iptr++ + d_k;
+  
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_add_const_ii.h b/gnuradio-core/src/lib/gengen/gr_add_const_ii.h
new file mode 100644 (file)
index 0000000..285d24b
--- /dev/null
@@ -0,0 +1,55 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_ADD_CONST_II_H
+#define INCLUDED_GR_ADD_CONST_II_H
+
+#include <gr_sync_block.h>
+
+class gr_add_const_ii;
+typedef boost::shared_ptr<gr_add_const_ii> gr_add_const_ii_sptr;
+
+gr_add_const_ii_sptr gr_make_add_const_ii (int k);
+
+/*!
+ * \brief output = input + constant
+ * \ingroup math_blk
+ */
+class gr_add_const_ii : public gr_sync_block
+{
+  friend gr_add_const_ii_sptr gr_make_add_const_ii (int k);
+
+  int  d_k;            // the constant
+  gr_add_const_ii (int k);
+
+ public:
+  int k () const { return d_k; }
+  void set_k (int k) { d_k = k; }
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_add_const_ii.i b/gnuradio-core/src/lib/gengen/gr_add_const_ii.i
new file mode 100644 (file)
index 0000000..1bc1bac
--- /dev/null
@@ -0,0 +1,37 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,add_const_ii)
+
+gr_add_const_ii_sptr gr_make_add_const_ii (int k);
+
+class gr_add_const_ii : public gr_sync_block
+{
+ private:
+  gr_add_const_ii (int k);
+
+ public:
+  int k () const { return d_k; }
+  void set_k (int k) { d_k = k; }
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_add_const_sf.cc b/gnuradio-core/src/lib/gengen/gr_add_const_sf.cc
new file mode 100644 (file)
index 0000000..e1f3919
--- /dev/null
@@ -0,0 +1,72 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_add_const_sf.h>
+#include <gr_io_signature.h>
+
+gr_add_const_sf_sptr
+gr_make_add_const_sf (float k)
+{
+  return gr_add_const_sf_sptr (new gr_add_const_sf (k));
+}
+
+gr_add_const_sf::gr_add_const_sf (float k)
+  : gr_sync_block ("add_const_sf",
+                  gr_make_io_signature (1, 1, sizeof (short)),
+                  gr_make_io_signature (1, 1, sizeof (float))),
+    d_k (k)
+{
+}
+
+int
+gr_add_const_sf::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  short *iptr = (short *) input_items[0];
+  float *optr = (float *) output_items[0];
+
+  int  size = noutput_items;
+
+  while (size >= 8){
+    *optr++ = *iptr++ + d_k;
+    *optr++ = *iptr++ + d_k;
+    *optr++ = *iptr++ + d_k;
+    *optr++ = *iptr++ + d_k;
+    *optr++ = *iptr++ + d_k;
+    *optr++ = *iptr++ + d_k;
+    *optr++ = *iptr++ + d_k;
+    *optr++ = *iptr++ + d_k;
+    size -= 8;
+  }
+
+  while (size-- > 0)
+    *optr++ = *iptr++ + d_k;
+  
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_add_const_sf.h b/gnuradio-core/src/lib/gengen/gr_add_const_sf.h
new file mode 100644 (file)
index 0000000..859af8b
--- /dev/null
@@ -0,0 +1,55 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_ADD_CONST_SF_H
+#define INCLUDED_GR_ADD_CONST_SF_H
+
+#include <gr_sync_block.h>
+
+class gr_add_const_sf;
+typedef boost::shared_ptr<gr_add_const_sf> gr_add_const_sf_sptr;
+
+gr_add_const_sf_sptr gr_make_add_const_sf (float k);
+
+/*!
+ * \brief output = input + constant
+ * \ingroup math_blk
+ */
+class gr_add_const_sf : public gr_sync_block
+{
+  friend gr_add_const_sf_sptr gr_make_add_const_sf (float k);
+
+  float        d_k;            // the constant
+  gr_add_const_sf (float k);
+
+ public:
+  float k () const { return d_k; }
+  void set_k (float k) { d_k = k; }
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_add_const_sf.i b/gnuradio-core/src/lib/gengen/gr_add_const_sf.i
new file mode 100644 (file)
index 0000000..9dfaa8e
--- /dev/null
@@ -0,0 +1,37 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,add_const_sf)
+
+gr_add_const_sf_sptr gr_make_add_const_sf (short k);
+
+class gr_add_const_sf : public gr_sync_block
+{
+ private:
+  gr_add_const_sf (short k);
+
+ public:
+  short k () const { return d_k; }
+  void set_k (short k) { d_k = k; }
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_add_const_ss.cc b/gnuradio-core/src/lib/gengen/gr_add_const_ss.cc
new file mode 100644 (file)
index 0000000..3088239
--- /dev/null
@@ -0,0 +1,72 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_add_const_ss.h>
+#include <gr_io_signature.h>
+
+gr_add_const_ss_sptr
+gr_make_add_const_ss (short k)
+{
+  return gr_add_const_ss_sptr (new gr_add_const_ss (k));
+}
+
+gr_add_const_ss::gr_add_const_ss (short k)
+  : gr_sync_block ("add_const_ss",
+                  gr_make_io_signature (1, 1, sizeof (short)),
+                  gr_make_io_signature (1, 1, sizeof (short))),
+    d_k (k)
+{
+}
+
+int
+gr_add_const_ss::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  short *iptr = (short *) input_items[0];
+  short *optr = (short *) output_items[0];
+
+  int  size = noutput_items;
+
+  while (size >= 8){
+    *optr++ = *iptr++ + d_k;
+    *optr++ = *iptr++ + d_k;
+    *optr++ = *iptr++ + d_k;
+    *optr++ = *iptr++ + d_k;
+    *optr++ = *iptr++ + d_k;
+    *optr++ = *iptr++ + d_k;
+    *optr++ = *iptr++ + d_k;
+    *optr++ = *iptr++ + d_k;
+    size -= 8;
+  }
+
+  while (size-- > 0)
+    *optr++ = *iptr++ + d_k;
+  
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_add_const_ss.h b/gnuradio-core/src/lib/gengen/gr_add_const_ss.h
new file mode 100644 (file)
index 0000000..de79c3d
--- /dev/null
@@ -0,0 +1,55 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_ADD_CONST_SS_H
+#define INCLUDED_GR_ADD_CONST_SS_H
+
+#include <gr_sync_block.h>
+
+class gr_add_const_ss;
+typedef boost::shared_ptr<gr_add_const_ss> gr_add_const_ss_sptr;
+
+gr_add_const_ss_sptr gr_make_add_const_ss (short k);
+
+/*!
+ * \brief output = input + constant
+ * \ingroup math_blk
+ */
+class gr_add_const_ss : public gr_sync_block
+{
+  friend gr_add_const_ss_sptr gr_make_add_const_ss (short k);
+
+  short        d_k;            // the constant
+  gr_add_const_ss (short k);
+
+ public:
+  short k () const { return d_k; }
+  void set_k (short k) { d_k = k; }
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_add_const_ss.i b/gnuradio-core/src/lib/gengen/gr_add_const_ss.i
new file mode 100644 (file)
index 0000000..ff093e9
--- /dev/null
@@ -0,0 +1,37 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,add_const_ss)
+
+gr_add_const_ss_sptr gr_make_add_const_ss (short k);
+
+class gr_add_const_ss : public gr_sync_block
+{
+ private:
+  gr_add_const_ss (short k);
+
+ public:
+  short k () const { return d_k; }
+  void set_k (short k) { d_k = k; }
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_add_const_vcc.cc b/gnuradio-core/src/lib/gengen/gr_add_const_vcc.cc
new file mode 100644 (file)
index 0000000..1dad044
--- /dev/null
@@ -0,0 +1,61 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_add_const_vcc.h>
+#include <gr_io_signature.h>
+
+gr_add_const_vcc_sptr
+gr_make_add_const_vcc (const std::vector<gr_complex> k)
+{
+  return gr_add_const_vcc_sptr (new gr_add_const_vcc (k));
+}
+
+gr_add_const_vcc::gr_add_const_vcc (const std::vector<gr_complex> k)
+  : gr_sync_block ("add_const_vcc",
+                  gr_make_io_signature (1, 1, sizeof(gr_complex)*k.size()),
+                  gr_make_io_signature (1, 1, sizeof(gr_complex)*k.size()))
+{
+  d_k = k;
+}
+
+int
+gr_add_const_vcc::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  gr_complex *iptr = (gr_complex *)input_items[0];
+  gr_complex *optr = (gr_complex *)output_items[0];
+  int nitems_per_block = output_signature()->sizeof_stream_item(0)/sizeof(gr_complex);
+
+  for (int i = 0; i < noutput_items; i++)
+    for (int j = 0; j < nitems_per_block; j++)
+      *optr++ = *iptr++ + d_k[j];
+  
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_add_const_vcc.h b/gnuradio-core/src/lib/gengen/gr_add_const_vcc.h
new file mode 100644 (file)
index 0000000..50a79de
--- /dev/null
@@ -0,0 +1,55 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_ADD_CONST_VCC_H
+#define INCLUDED_GR_ADD_CONST_VCC_H
+
+#include <gr_sync_block.h>
+
+class gr_add_const_vcc;
+typedef boost::shared_ptr<gr_add_const_vcc> gr_add_const_vcc_sptr;
+
+gr_add_const_vcc_sptr gr_make_add_const_vcc (const std::vector<gr_complex> k);
+
+/*!
+ * \brief output vector = input vector + constant vector
+ * \ingroup math_blk
+ */
+class gr_add_const_vcc : public gr_sync_block
+{
+  friend gr_add_const_vcc_sptr gr_make_add_const_vcc (const std::vector<gr_complex> k);
+
+  std::vector<gr_complex> d_k; // the constant
+  gr_add_const_vcc (const std::vector<gr_complex> k);
+
+ public:
+  const std::vector<gr_complex> k () const { return d_k; }
+  void set_k (const std::vector<gr_complex> k) { d_k = k; }
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_add_const_vcc.i b/gnuradio-core/src/lib/gengen/gr_add_const_vcc.i
new file mode 100644 (file)
index 0000000..af25d67
--- /dev/null
@@ -0,0 +1,37 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,add_const_vcc)
+
+gr_add_const_vcc_sptr gr_make_add_const_vcc (const std::vector<gr_complex> k);
+
+class gr_add_const_vcc : public gr_sync_block
+{
+ private:
+  gr_add_const_vcc (const std::vector<gr_complex> k);
+
+ public:
+  std::vector<gr_complex> k () const { return d_k; }
+  void set_k (const std::vector<gr_complex> k) { d_k = k; }
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_add_const_vff.cc b/gnuradio-core/src/lib/gengen/gr_add_const_vff.cc
new file mode 100644 (file)
index 0000000..145c7d1
--- /dev/null
@@ -0,0 +1,61 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_add_const_vff.h>
+#include <gr_io_signature.h>
+
+gr_add_const_vff_sptr
+gr_make_add_const_vff (const std::vector<float> k)
+{
+  return gr_add_const_vff_sptr (new gr_add_const_vff (k));
+}
+
+gr_add_const_vff::gr_add_const_vff (const std::vector<float> k)
+  : gr_sync_block ("add_const_vff",
+                  gr_make_io_signature (1, 1, sizeof(float)*k.size()),
+                  gr_make_io_signature (1, 1, sizeof(float)*k.size()))
+{
+  d_k = k;
+}
+
+int
+gr_add_const_vff::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  float *iptr = (float *)input_items[0];
+  float *optr = (float *)output_items[0];
+  int nitems_per_block = output_signature()->sizeof_stream_item(0)/sizeof(float);
+
+  for (int i = 0; i < noutput_items; i++)
+    for (int j = 0; j < nitems_per_block; j++)
+      *optr++ = *iptr++ + d_k[j];
+  
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_add_const_vff.h b/gnuradio-core/src/lib/gengen/gr_add_const_vff.h
new file mode 100644 (file)
index 0000000..70f52c9
--- /dev/null
@@ -0,0 +1,55 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_ADD_CONST_VFF_H
+#define INCLUDED_GR_ADD_CONST_VFF_H
+
+#include <gr_sync_block.h>
+
+class gr_add_const_vff;
+typedef boost::shared_ptr<gr_add_const_vff> gr_add_const_vff_sptr;
+
+gr_add_const_vff_sptr gr_make_add_const_vff (const std::vector<float> k);
+
+/*!
+ * \brief output vector = input vector + constant vector
+ * \ingroup math_blk
+ */
+class gr_add_const_vff : public gr_sync_block
+{
+  friend gr_add_const_vff_sptr gr_make_add_const_vff (const std::vector<float> k);
+
+  std::vector<float> d_k; // the constant
+  gr_add_const_vff (const std::vector<float> k);
+
+ public:
+  const std::vector<float> k () const { return d_k; }
+  void set_k (const std::vector<float> k) { d_k = k; }
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_add_const_vff.i b/gnuradio-core/src/lib/gengen/gr_add_const_vff.i
new file mode 100644 (file)
index 0000000..54ac560
--- /dev/null
@@ -0,0 +1,37 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,add_const_vff)
+
+gr_add_const_vff_sptr gr_make_add_const_vff (const std::vector<float> k);
+
+class gr_add_const_vff : public gr_sync_block
+{
+ private:
+  gr_add_const_vff (const std::vector<float> k);
+
+ public:
+  std::vector<float> k () const { return d_k; }
+  void set_k (const std::vector<float> k) { d_k = k; }
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_add_const_vii.cc b/gnuradio-core/src/lib/gengen/gr_add_const_vii.cc
new file mode 100644 (file)
index 0000000..9421c22
--- /dev/null
@@ -0,0 +1,61 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_add_const_vii.h>
+#include <gr_io_signature.h>
+
+gr_add_const_vii_sptr
+gr_make_add_const_vii (const std::vector<int> k)
+{
+  return gr_add_const_vii_sptr (new gr_add_const_vii (k));
+}
+
+gr_add_const_vii::gr_add_const_vii (const std::vector<int> k)
+  : gr_sync_block ("add_const_vii",
+                  gr_make_io_signature (1, 1, sizeof(int)*k.size()),
+                  gr_make_io_signature (1, 1, sizeof(int)*k.size()))
+{
+  d_k = k;
+}
+
+int
+gr_add_const_vii::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  int *iptr = (int *)input_items[0];
+  int *optr = (int *)output_items[0];
+  int nitems_per_block = output_signature()->sizeof_stream_item(0)/sizeof(int);
+
+  for (int i = 0; i < noutput_items; i++)
+    for (int j = 0; j < nitems_per_block; j++)
+      *optr++ = *iptr++ + d_k[j];
+  
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_add_const_vii.h b/gnuradio-core/src/lib/gengen/gr_add_const_vii.h
new file mode 100644 (file)
index 0000000..c0ac8d2
--- /dev/null
@@ -0,0 +1,55 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_ADD_CONST_VII_H
+#define INCLUDED_GR_ADD_CONST_VII_H
+
+#include <gr_sync_block.h>
+
+class gr_add_const_vii;
+typedef boost::shared_ptr<gr_add_const_vii> gr_add_const_vii_sptr;
+
+gr_add_const_vii_sptr gr_make_add_const_vii (const std::vector<int> k);
+
+/*!
+ * \brief output vector = input vector + constant vector
+ * \ingroup math_blk
+ */
+class gr_add_const_vii : public gr_sync_block
+{
+  friend gr_add_const_vii_sptr gr_make_add_const_vii (const std::vector<int> k);
+
+  std::vector<int> d_k; // the constant
+  gr_add_const_vii (const std::vector<int> k);
+
+ public:
+  const std::vector<int> k () const { return d_k; }
+  void set_k (const std::vector<int> k) { d_k = k; }
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_add_const_vii.i b/gnuradio-core/src/lib/gengen/gr_add_const_vii.i
new file mode 100644 (file)
index 0000000..8ade866
--- /dev/null
@@ -0,0 +1,37 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,add_const_vii)
+
+gr_add_const_vii_sptr gr_make_add_const_vii (const std::vector<int> k);
+
+class gr_add_const_vii : public gr_sync_block
+{
+ private:
+  gr_add_const_vii (const std::vector<int> k);
+
+ public:
+  std::vector<int> k () const { return d_k; }
+  void set_k (const std::vector<int> k) { d_k = k; }
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_add_const_vss.cc b/gnuradio-core/src/lib/gengen/gr_add_const_vss.cc
new file mode 100644 (file)
index 0000000..8fd55bb
--- /dev/null
@@ -0,0 +1,61 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_add_const_vss.h>
+#include <gr_io_signature.h>
+
+gr_add_const_vss_sptr
+gr_make_add_const_vss (const std::vector<short> k)
+{
+  return gr_add_const_vss_sptr (new gr_add_const_vss (k));
+}
+
+gr_add_const_vss::gr_add_const_vss (const std::vector<short> k)
+  : gr_sync_block ("add_const_vss",
+                  gr_make_io_signature (1, 1, sizeof(short)*k.size()),
+                  gr_make_io_signature (1, 1, sizeof(short)*k.size()))
+{
+  d_k = k;
+}
+
+int
+gr_add_const_vss::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  short *iptr = (short *)input_items[0];
+  short *optr = (short *)output_items[0];
+  int nitems_per_block = output_signature()->sizeof_stream_item(0)/sizeof(short);
+
+  for (int i = 0; i < noutput_items; i++)
+    for (int j = 0; j < nitems_per_block; j++)
+      *optr++ = *iptr++ + d_k[j];
+  
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_add_const_vss.h b/gnuradio-core/src/lib/gengen/gr_add_const_vss.h
new file mode 100644 (file)
index 0000000..54b61ca
--- /dev/null
@@ -0,0 +1,55 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_ADD_CONST_VSS_H
+#define INCLUDED_GR_ADD_CONST_VSS_H
+
+#include <gr_sync_block.h>
+
+class gr_add_const_vss;
+typedef boost::shared_ptr<gr_add_const_vss> gr_add_const_vss_sptr;
+
+gr_add_const_vss_sptr gr_make_add_const_vss (const std::vector<short> k);
+
+/*!
+ * \brief output vector = input vector + constant vector
+ * \ingroup math_blk
+ */
+class gr_add_const_vss : public gr_sync_block
+{
+  friend gr_add_const_vss_sptr gr_make_add_const_vss (const std::vector<short> k);
+
+  std::vector<short> d_k; // the constant
+  gr_add_const_vss (const std::vector<short> k);
+
+ public:
+  const std::vector<short> k () const { return d_k; }
+  void set_k (const std::vector<short> k) { d_k = k; }
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_add_const_vss.i b/gnuradio-core/src/lib/gengen/gr_add_const_vss.i
new file mode 100644 (file)
index 0000000..d92bfe4
--- /dev/null
@@ -0,0 +1,37 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,add_const_vss)
+
+gr_add_const_vss_sptr gr_make_add_const_vss (const std::vector<short> k);
+
+class gr_add_const_vss : public gr_sync_block
+{
+ private:
+  gr_add_const_vss (const std::vector<short> k);
+
+ public:
+  std::vector<short> k () const { return d_k; }
+  void set_k (const std::vector<short> k) { d_k = k; }
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_add_ff.cc b/gnuradio-core/src/lib/gengen/gr_add_ff.cc
new file mode 100644 (file)
index 0000000..18207c5
--- /dev/null
@@ -0,0 +1,63 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004, 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_add_ff.h>
+#include <gr_io_signature.h>
+
+gr_add_ff_sptr
+gr_make_add_ff (size_t vlen)
+{
+  return gr_add_ff_sptr (new gr_add_ff (vlen));
+}
+
+gr_add_ff::gr_add_ff (size_t vlen)
+  : gr_sync_block ("add_ff",
+                  gr_make_io_signature (1, -1, sizeof (float)*vlen),
+                  gr_make_io_signature (1,  1, sizeof (float)*vlen)),
+  d_vlen (vlen)
+{
+}
+
+int
+gr_add_ff::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  float *optr = (float *) output_items[0];
+
+  int ninputs = input_items.size ();
+
+  for (int i = 0; i < noutput_items*d_vlen; i++){
+    float acc = ((float *) input_items[0])[i];
+    for (int j = 1; j < ninputs; j++)
+      acc += ((float *) input_items[j])[i];
+
+    *optr++ = (float) acc;
+  }
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_add_ff.h b/gnuradio-core/src/lib/gengen/gr_add_ff.h
new file mode 100644 (file)
index 0000000..0e25d46
--- /dev/null
@@ -0,0 +1,56 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004, 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_ADD_FF_H
+#define INCLUDED_GR_ADD_FF_H
+
+#include <gr_sync_block.h>
+
+class gr_add_ff;
+typedef boost::shared_ptr<gr_add_ff> gr_add_ff_sptr;
+
+gr_add_ff_sptr gr_make_add_ff (size_t vlen = 1);
+
+/*!
+ * \brief output = sum (input_0, input_1, ...)
+ * \ingroup math_blk
+ *
+ * Add across all input streams.
+ */
+class gr_add_ff : public gr_sync_block
+{
+  friend gr_add_ff_sptr gr_make_add_ff (size_t vlen);
+
+  gr_add_ff (size_t vlen);
+
+  size_t d_vlen;
+
+ public:
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_add_ff.i b/gnuradio-core/src/lib/gengen/gr_add_ff.i
new file mode 100644 (file)
index 0000000..9d8f4c8
--- /dev/null
@@ -0,0 +1,33 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004, 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,add_ff)
+
+gr_add_ff_sptr gr_make_add_ff (size_t vlen = 1);
+
+class gr_add_ff : public gr_sync_block
+{
+ private:
+  gr_add_ff (size_t vlen);
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_add_ii.cc b/gnuradio-core/src/lib/gengen/gr_add_ii.cc
new file mode 100644 (file)
index 0000000..bbc5fc7
--- /dev/null
@@ -0,0 +1,63 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004, 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_add_ii.h>
+#include <gr_io_signature.h>
+
+gr_add_ii_sptr
+gr_make_add_ii (size_t vlen)
+{
+  return gr_add_ii_sptr (new gr_add_ii (vlen));
+}
+
+gr_add_ii::gr_add_ii (size_t vlen)
+  : gr_sync_block ("add_ii",
+                  gr_make_io_signature (1, -1, sizeof (int)*vlen),
+                  gr_make_io_signature (1,  1, sizeof (int)*vlen)),
+  d_vlen (vlen)
+{
+}
+
+int
+gr_add_ii::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  int *optr = (int *) output_items[0];
+
+  int ninputs = input_items.size ();
+
+  for (int i = 0; i < noutput_items*d_vlen; i++){
+    int acc = ((int *) input_items[0])[i];
+    for (int j = 1; j < ninputs; j++)
+      acc += ((int *) input_items[j])[i];
+
+    *optr++ = (int) acc;
+  }
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_add_ii.h b/gnuradio-core/src/lib/gengen/gr_add_ii.h
new file mode 100644 (file)
index 0000000..694675c
--- /dev/null
@@ -0,0 +1,56 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004, 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_ADD_II_H
+#define INCLUDED_GR_ADD_II_H
+
+#include <gr_sync_block.h>
+
+class gr_add_ii;
+typedef boost::shared_ptr<gr_add_ii> gr_add_ii_sptr;
+
+gr_add_ii_sptr gr_make_add_ii (size_t vlen = 1);
+
+/*!
+ * \brief output = sum (input_0, input_1, ...)
+ * \ingroup math_blk
+ *
+ * Add across all input streams.
+ */
+class gr_add_ii : public gr_sync_block
+{
+  friend gr_add_ii_sptr gr_make_add_ii (size_t vlen);
+
+  gr_add_ii (size_t vlen);
+
+  size_t d_vlen;
+
+ public:
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_add_ii.i b/gnuradio-core/src/lib/gengen/gr_add_ii.i
new file mode 100644 (file)
index 0000000..0519468
--- /dev/null
@@ -0,0 +1,33 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004, 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,add_ii)
+
+gr_add_ii_sptr gr_make_add_ii (size_t vlen = 1);
+
+class gr_add_ii : public gr_sync_block
+{
+ private:
+  gr_add_ii (size_t vlen);
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_add_ss.cc b/gnuradio-core/src/lib/gengen/gr_add_ss.cc
new file mode 100644 (file)
index 0000000..4af4fec
--- /dev/null
@@ -0,0 +1,63 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004, 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_add_ss.h>
+#include <gr_io_signature.h>
+
+gr_add_ss_sptr
+gr_make_add_ss (size_t vlen)
+{
+  return gr_add_ss_sptr (new gr_add_ss (vlen));
+}
+
+gr_add_ss::gr_add_ss (size_t vlen)
+  : gr_sync_block ("add_ss",
+                  gr_make_io_signature (1, -1, sizeof (short)*vlen),
+                  gr_make_io_signature (1,  1, sizeof (short)*vlen)),
+  d_vlen (vlen)
+{
+}
+
+int
+gr_add_ss::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  short *optr = (short *) output_items[0];
+
+  int ninputs = input_items.size ();
+
+  for (int i = 0; i < noutput_items*d_vlen; i++){
+    short acc = ((short *) input_items[0])[i];
+    for (int j = 1; j < ninputs; j++)
+      acc += ((short *) input_items[j])[i];
+
+    *optr++ = (short) acc;
+  }
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_add_ss.h b/gnuradio-core/src/lib/gengen/gr_add_ss.h
new file mode 100644 (file)
index 0000000..49322e3
--- /dev/null
@@ -0,0 +1,56 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004, 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_ADD_SS_H
+#define INCLUDED_GR_ADD_SS_H
+
+#include <gr_sync_block.h>
+
+class gr_add_ss;
+typedef boost::shared_ptr<gr_add_ss> gr_add_ss_sptr;
+
+gr_add_ss_sptr gr_make_add_ss (size_t vlen = 1);
+
+/*!
+ * \brief output = sum (input_0, input_1, ...)
+ * \ingroup math_blk
+ *
+ * Add across all input streams.
+ */
+class gr_add_ss : public gr_sync_block
+{
+  friend gr_add_ss_sptr gr_make_add_ss (size_t vlen);
+
+  gr_add_ss (size_t vlen);
+
+  size_t d_vlen;
+
+ public:
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_add_ss.i b/gnuradio-core/src/lib/gengen/gr_add_ss.i
new file mode 100644 (file)
index 0000000..1f60fb6
--- /dev/null
@@ -0,0 +1,33 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004, 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,add_ss)
+
+gr_add_ss_sptr gr_make_add_ss (size_t vlen = 1);
+
+class gr_add_ss : public gr_sync_block
+{
+ private:
+  gr_add_ss (size_t vlen);
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_and_bb.cc b/gnuradio-core/src/lib/gengen/gr_and_bb.cc
new file mode 100644 (file)
index 0000000..1743755
--- /dev/null
@@ -0,0 +1,62 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_and_bb.h>
+#include <gr_io_signature.h>
+
+gr_and_bb_sptr
+gr_make_and_bb ()
+{
+  return gr_and_bb_sptr (new gr_and_bb ());
+}
+
+gr_and_bb::gr_and_bb ()
+  : gr_sync_block ("and_bb",
+                  gr_make_io_signature (1, -1, sizeof (unsigned char)),
+                  gr_make_io_signature (1,  1, sizeof (unsigned char)))
+{
+}
+
+int
+gr_and_bb::work (int noutput_items,
+             gr_vector_const_void_star &input_items,
+             gr_vector_void_star &output_items)
+{
+  unsigned char *optr = (unsigned char *) output_items[0];
+
+  int ninputs = input_items.size ();
+
+  for (int i = 0; i < noutput_items; i++) {
+    unsigned char acc = ((unsigned char *) input_items[0])[i];
+    for (int j = 1; j < ninputs; j++)
+      acc = acc & ((unsigned char *) input_items[j])[i];
+
+    *optr++ = (unsigned char) acc;
+  }
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_and_bb.h b/gnuradio-core/src/lib/gengen/gr_and_bb.h
new file mode 100644 (file)
index 0000000..70fc388
--- /dev/null
@@ -0,0 +1,54 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_AND_BB_H
+#define INCLUDED_GR_AND_BB_H
+
+#include <gr_sync_block.h>
+
+class gr_and_bb;
+typedef boost::shared_ptr<gr_and_bb> gr_and_bb_sptr;
+
+gr_and_bb_sptr gr_make_and_bb ();
+
+/*!
+ * \brief output = input_0 & input_1 & , ... & input_N)
+ * \ingroup math_blk
+ *
+ * bitwise boolean and across all input streams.
+ */
+class gr_and_bb : public gr_sync_block
+{
+  friend gr_and_bb_sptr gr_make_and_bb ();
+
+  gr_and_bb ();
+
+ public:
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_and_bb.i b/gnuradio-core/src/lib/gengen/gr_and_bb.i
new file mode 100644 (file)
index 0000000..c0eefb0
--- /dev/null
@@ -0,0 +1,33 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,and_bb)
+
+gr_and_bb_sptr gr_make_and_bb ();
+
+class gr_and_bb : public gr_sync_block
+{
+ private:
+  gr_and_bb ();
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_and_const_bb.cc b/gnuradio-core/src/lib/gengen/gr_and_const_bb.cc
new file mode 100644 (file)
index 0000000..818396f
--- /dev/null
@@ -0,0 +1,72 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_and_const_bb.h>
+#include <gr_io_signature.h>
+
+gr_and_const_bb_sptr
+gr_make_and_const_bb (unsigned char k)
+{
+  return gr_and_const_bb_sptr (new gr_and_const_bb (k));
+};
+
+gr_and_const_bb::gr_and_const_bb (unsigned char k)
+  : gr_sync_block ("and_const_bb",
+                  gr_make_io_signature (1, 1, sizeof (unsigned char)),
+                  gr_make_io_signature (1, 1, sizeof (unsigned char))),
+  d_k (k)
+{
+}
+
+int
+gr_and_const_bb::work (int noutput_items,
+             gr_vector_const_void_star &input_items,
+             gr_vector_void_star &output_items)
+{
+  unsigned char *iptr = (unsigned char *) input_items[0];
+  unsigned char *optr = (unsigned char *) output_items[0];
+
+  int  size = noutput_items;
+
+  while (size >= 8) {
+    *optr++ = *iptr++ & d_k;
+    *optr++ = *iptr++ & d_k;
+    *optr++ = *iptr++ & d_k;
+    *optr++ = *iptr++ & d_k;
+    *optr++ = *iptr++ & d_k;
+    *optr++ = *iptr++ & d_k;
+    *optr++ = *iptr++ & d_k;
+    *optr++ = *iptr++ & d_k;
+    size -= 8;
+  }
+
+  while (size-- > 0)
+    *optr++ = *iptr++ & d_k;
+
+  return (noutput_items);
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_and_const_bb.h b/gnuradio-core/src/lib/gengen/gr_and_const_bb.h
new file mode 100644 (file)
index 0000000..80e8ea2
--- /dev/null
@@ -0,0 +1,57 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_AND_CONST_BB_H
+#define INCLUDED_GR_AND_CONST_BB_H
+
+#include <gr_sync_block.h>
+
+class gr_and_const_bb;
+typedef boost::shared_ptr<gr_and_const_bb> gr_and_const_bb_sptr;
+
+gr_and_const_bb_sptr gr_make_and_const_bb (unsigned char k);
+
+/*!
+ * \brief output_N = input_N & value
+ * \ingroup math_blk
+ *
+ * bitwise boolean and of const to the data stream.
+ */
+class gr_and_const_bb : public gr_sync_block
+{
+  friend gr_and_const_bb_sptr gr_make_and_const_bb (unsigned char k);
+
+  unsigned char        d_k;            // the constant
+  gr_and_const_bb (unsigned char k);
+
+ public:
+  unsigned char k () const { return d_k; }
+  void set_k (unsigned char k) { d_k = k; }
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_and_const_bb.i b/gnuradio-core/src/lib/gengen/gr_and_const_bb.i
new file mode 100644 (file)
index 0000000..3456e7c
--- /dev/null
@@ -0,0 +1,37 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,and_const_bb)
+
+gr_and_const_bb_sptr gr_make_and_const_bb (unsigned char k);
+
+class gr_and_const_bb : public gr_sync_block
+{
+ private:
+  gr_and_const_bb (unsigned char k);
+
+ public:
+  unsigned char k () const { return d_k; }
+  void set_k (unsigned char k) { d_k = k; }
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_and_const_ii.cc b/gnuradio-core/src/lib/gengen/gr_and_const_ii.cc
new file mode 100644 (file)
index 0000000..8b01c95
--- /dev/null
@@ -0,0 +1,72 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_and_const_ii.h>
+#include <gr_io_signature.h>
+
+gr_and_const_ii_sptr
+gr_make_and_const_ii (int k)
+{
+  return gr_and_const_ii_sptr (new gr_and_const_ii (k));
+};
+
+gr_and_const_ii::gr_and_const_ii (int k)
+  : gr_sync_block ("and_const_ii",
+                  gr_make_io_signature (1, 1, sizeof (int)),
+                  gr_make_io_signature (1, 1, sizeof (int))),
+  d_k (k)
+{
+}
+
+int
+gr_and_const_ii::work (int noutput_items,
+             gr_vector_const_void_star &input_items,
+             gr_vector_void_star &output_items)
+{
+  int *iptr = (int *) input_items[0];
+  int *optr = (int *) output_items[0];
+
+  int  size = noutput_items;
+
+  while (size >= 8) {
+    *optr++ = *iptr++ & d_k;
+    *optr++ = *iptr++ & d_k;
+    *optr++ = *iptr++ & d_k;
+    *optr++ = *iptr++ & d_k;
+    *optr++ = *iptr++ & d_k;
+    *optr++ = *iptr++ & d_k;
+    *optr++ = *iptr++ & d_k;
+    *optr++ = *iptr++ & d_k;
+    size -= 8;
+  }
+
+  while (size-- > 0)
+    *optr++ = *iptr++ & d_k;
+
+  return (noutput_items);
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_and_const_ii.h b/gnuradio-core/src/lib/gengen/gr_and_const_ii.h
new file mode 100644 (file)
index 0000000..80c0176
--- /dev/null
@@ -0,0 +1,57 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_AND_CONST_II_H
+#define INCLUDED_GR_AND_CONST_II_H
+
+#include <gr_sync_block.h>
+
+class gr_and_const_ii;
+typedef boost::shared_ptr<gr_and_const_ii> gr_and_const_ii_sptr;
+
+gr_and_const_ii_sptr gr_make_and_const_ii (int k);
+
+/*!
+ * \brief output_N = input_N & value
+ * \ingroup math_blk
+ *
+ * bitwise boolean and of const to the data stream.
+ */
+class gr_and_const_ii : public gr_sync_block
+{
+  friend gr_and_const_ii_sptr gr_make_and_const_ii (int k);
+
+  int  d_k;            // the constant
+  gr_and_const_ii (int k);
+
+ public:
+  int k () const { return d_k; }
+  void set_k (int k) { d_k = k; }
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_and_const_ii.i b/gnuradio-core/src/lib/gengen/gr_and_const_ii.i
new file mode 100644 (file)
index 0000000..c637c22
--- /dev/null
@@ -0,0 +1,37 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,and_const_ii)
+
+gr_and_const_ii_sptr gr_make_and_const_ii (int k);
+
+class gr_and_const_ii : public gr_sync_block
+{
+ private:
+  gr_and_const_ii (int k);
+
+ public:
+  int k () const { return d_k; }
+  void set_k (int k) { d_k = k; }
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_and_const_ss.cc b/gnuradio-core/src/lib/gengen/gr_and_const_ss.cc
new file mode 100644 (file)
index 0000000..a27c811
--- /dev/null
@@ -0,0 +1,72 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_and_const_ss.h>
+#include <gr_io_signature.h>
+
+gr_and_const_ss_sptr
+gr_make_and_const_ss (short k)
+{
+  return gr_and_const_ss_sptr (new gr_and_const_ss (k));
+};
+
+gr_and_const_ss::gr_and_const_ss (short k)
+  : gr_sync_block ("and_const_ss",
+                  gr_make_io_signature (1, 1, sizeof (short)),
+                  gr_make_io_signature (1, 1, sizeof (short))),
+  d_k (k)
+{
+}
+
+int
+gr_and_const_ss::work (int noutput_items,
+             gr_vector_const_void_star &input_items,
+             gr_vector_void_star &output_items)
+{
+  short *iptr = (short *) input_items[0];
+  short *optr = (short *) output_items[0];
+
+  int  size = noutput_items;
+
+  while (size >= 8) {
+    *optr++ = *iptr++ & d_k;
+    *optr++ = *iptr++ & d_k;
+    *optr++ = *iptr++ & d_k;
+    *optr++ = *iptr++ & d_k;
+    *optr++ = *iptr++ & d_k;
+    *optr++ = *iptr++ & d_k;
+    *optr++ = *iptr++ & d_k;
+    *optr++ = *iptr++ & d_k;
+    size -= 8;
+  }
+
+  while (size-- > 0)
+    *optr++ = *iptr++ & d_k;
+
+  return (noutput_items);
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_and_const_ss.h b/gnuradio-core/src/lib/gengen/gr_and_const_ss.h
new file mode 100644 (file)
index 0000000..cb3b2d7
--- /dev/null
@@ -0,0 +1,57 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_AND_CONST_SS_H
+#define INCLUDED_GR_AND_CONST_SS_H
+
+#include <gr_sync_block.h>
+
+class gr_and_const_ss;
+typedef boost::shared_ptr<gr_and_const_ss> gr_and_const_ss_sptr;
+
+gr_and_const_ss_sptr gr_make_and_const_ss (short k);
+
+/*!
+ * \brief output_N = input_N & value
+ * \ingroup math_blk
+ *
+ * bitwise boolean and of const to the data stream.
+ */
+class gr_and_const_ss : public gr_sync_block
+{
+  friend gr_and_const_ss_sptr gr_make_and_const_ss (short k);
+
+  short        d_k;            // the constant
+  gr_and_const_ss (short k);
+
+ public:
+  short k () const { return d_k; }
+  void set_k (short k) { d_k = k; }
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_and_const_ss.i b/gnuradio-core/src/lib/gengen/gr_and_const_ss.i
new file mode 100644 (file)
index 0000000..c293438
--- /dev/null
@@ -0,0 +1,37 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,and_const_ss)
+
+gr_and_const_ss_sptr gr_make_and_const_ss (short k);
+
+class gr_and_const_ss : public gr_sync_block
+{
+ private:
+  gr_and_const_ss (short k);
+
+ public:
+  short k () const { return d_k; }
+  void set_k (short k) { d_k = k; }
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_and_ii.cc b/gnuradio-core/src/lib/gengen/gr_and_ii.cc
new file mode 100644 (file)
index 0000000..a38aaf1
--- /dev/null
@@ -0,0 +1,62 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_and_ii.h>
+#include <gr_io_signature.h>
+
+gr_and_ii_sptr
+gr_make_and_ii ()
+{
+  return gr_and_ii_sptr (new gr_and_ii ());
+}
+
+gr_and_ii::gr_and_ii ()
+  : gr_sync_block ("and_ii",
+                  gr_make_io_signature (1, -1, sizeof (int)),
+                  gr_make_io_signature (1,  1, sizeof (int)))
+{
+}
+
+int
+gr_and_ii::work (int noutput_items,
+             gr_vector_const_void_star &input_items,
+             gr_vector_void_star &output_items)
+{
+  int *optr = (int *) output_items[0];
+
+  int ninputs = input_items.size ();
+
+  for (int i = 0; i < noutput_items; i++) {
+    int acc = ((int *) input_items[0])[i];
+    for (int j = 1; j < ninputs; j++)
+      acc = acc & ((int *) input_items[j])[i];
+
+    *optr++ = (int) acc;
+  }
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_and_ii.h b/gnuradio-core/src/lib/gengen/gr_and_ii.h
new file mode 100644 (file)
index 0000000..2e77257
--- /dev/null
@@ -0,0 +1,54 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_AND_II_H
+#define INCLUDED_GR_AND_II_H
+
+#include <gr_sync_block.h>
+
+class gr_and_ii;
+typedef boost::shared_ptr<gr_and_ii> gr_and_ii_sptr;
+
+gr_and_ii_sptr gr_make_and_ii ();
+
+/*!
+ * \brief output = input_0 & input_1 & , ... & input_N)
+ * \ingroup math_blk
+ *
+ * bitwise boolean and across all input streams.
+ */
+class gr_and_ii : public gr_sync_block
+{
+  friend gr_and_ii_sptr gr_make_and_ii ();
+
+  gr_and_ii ();
+
+ public:
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_and_ii.i b/gnuradio-core/src/lib/gengen/gr_and_ii.i
new file mode 100644 (file)
index 0000000..c45d7e1
--- /dev/null
@@ -0,0 +1,33 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,and_ii)
+
+gr_and_ii_sptr gr_make_and_ii ();
+
+class gr_and_ii : public gr_sync_block
+{
+ private:
+  gr_and_ii ();
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_and_ss.cc b/gnuradio-core/src/lib/gengen/gr_and_ss.cc
new file mode 100644 (file)
index 0000000..5fd4f21
--- /dev/null
@@ -0,0 +1,62 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_and_ss.h>
+#include <gr_io_signature.h>
+
+gr_and_ss_sptr
+gr_make_and_ss ()
+{
+  return gr_and_ss_sptr (new gr_and_ss ());
+}
+
+gr_and_ss::gr_and_ss ()
+  : gr_sync_block ("and_ss",
+                  gr_make_io_signature (1, -1, sizeof (short)),
+                  gr_make_io_signature (1,  1, sizeof (short)))
+{
+}
+
+int
+gr_and_ss::work (int noutput_items,
+             gr_vector_const_void_star &input_items,
+             gr_vector_void_star &output_items)
+{
+  short *optr = (short *) output_items[0];
+
+  int ninputs = input_items.size ();
+
+  for (int i = 0; i < noutput_items; i++) {
+    short acc = ((short *) input_items[0])[i];
+    for (int j = 1; j < ninputs; j++)
+      acc = acc & ((short *) input_items[j])[i];
+
+    *optr++ = (short) acc;
+  }
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_and_ss.h b/gnuradio-core/src/lib/gengen/gr_and_ss.h
new file mode 100644 (file)
index 0000000..a35a9f9
--- /dev/null
@@ -0,0 +1,54 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_AND_SS_H
+#define INCLUDED_GR_AND_SS_H
+
+#include <gr_sync_block.h>
+
+class gr_and_ss;
+typedef boost::shared_ptr<gr_and_ss> gr_and_ss_sptr;
+
+gr_and_ss_sptr gr_make_and_ss ();
+
+/*!
+ * \brief output = input_0 & input_1 & , ... & input_N)
+ * \ingroup math_blk
+ *
+ * bitwise boolean and across all input streams.
+ */
+class gr_and_ss : public gr_sync_block
+{
+  friend gr_and_ss_sptr gr_make_and_ss ();
+
+  gr_and_ss ();
+
+ public:
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_and_ss.i b/gnuradio-core/src/lib/gengen/gr_and_ss.i
new file mode 100644 (file)
index 0000000..46f196f
--- /dev/null
@@ -0,0 +1,33 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,and_ss)
+
+gr_and_ss_sptr gr_make_and_ss ();
+
+class gr_and_ss : public gr_sync_block
+{
+ private:
+  gr_and_ss ();
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_argmax_fs.cc b/gnuradio-core/src/lib/gengen/gr_argmax_fs.cc
new file mode 100644 (file)
index 0000000..1e974de
--- /dev/null
@@ -0,0 +1,79 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_argmax_fs.h>
+#include <gr_io_signature.h>
+
+gr_argmax_fs_sptr
+gr_make_argmax_fs ( size_t vlen )
+{
+       return gr_argmax_fs_sptr ( new gr_argmax_fs(vlen));
+}
+
+gr_argmax_fs::gr_argmax_fs( size_t vlen)
+       : gr_sync_block ( "argmax_fs",
+                   gr_make_io_signature (1, -1, vlen*sizeof (float)),
+                   gr_make_io_signature (2, 2, sizeof (short))),
+       d_vlen(vlen)
+{
+}
+
+
+int
+gr_argmax_fs::work( int noutput_items,
+       gr_vector_const_void_star &input_items,
+       gr_vector_void_star &output_items)
+{
+
+       int ninputs = input_items.size ();
+
+       short *x_optr = (short *) output_items[0];
+       short *y_optr = (short *) output_items[1];
+
+       for (int i=0; i<noutput_items; i++) {
+
+               float max = ((float *) input_items[0])[i*d_vlen];
+               int x = 0;
+               int y = 0;
+
+               for (int j=0; j < (int) d_vlen; j++ ) {
+                       for (int k=0; k<ninputs; k++) {
+                               if ( ((float *) input_items[k])[i*d_vlen + j] > max) {
+                                       max = ((float *) input_items[k])[i*d_vlen + j];
+                                       x = j;
+                                       y = k;
+                               }
+                       }
+               }
+
+               *x_optr++ = (short) x;
+               *y_optr++ = (short) y;
+       }
+       return noutput_items;
+}
+
diff --git a/gnuradio-core/src/lib/gengen/gr_argmax_fs.h b/gnuradio-core/src/lib/gengen/gr_argmax_fs.h
new file mode 100644 (file)
index 0000000..de1099d
--- /dev/null
@@ -0,0 +1,51 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_ARGMAX_FS_H
+#define INCLUDED_GR_ARGMAX_FS_H
+
+#include <gr_sync_block.h>
+
+class gr_argmax_fs;
+typedef boost::shared_ptr<gr_argmax_fs> gr_argmax_fs_sptr;
+
+gr_argmax_fs_sptr gr_make_argmax_fs (size_t vlen);
+
+
+class gr_argmax_fs : public gr_sync_block
+{
+  friend gr_argmax_fs_sptr gr_make_argmax_fs (size_t vlen);
+
+  gr_argmax_fs (size_t vlen);
+  size_t d_vlen;
+
+ public:
+
+  int work (int noutput_items,
+            gr_vector_const_void_star &input_items,
+            gr_vector_void_star &output_items);
+};
+
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_argmax_fs.i b/gnuradio-core/src/lib/gengen/gr_argmax_fs.i
new file mode 100644 (file)
index 0000000..2c2ff22
--- /dev/null
@@ -0,0 +1,34 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,argmax_fs)
+
+gr_argmax_fs_sptr gr_make_argmax_fs (size_t vlen);
+
+class gr_argmax_fs : public gr_sync_block
+{
+ private:
+  gr_argmax_fs (size_t vlen);
+  size_t d_vlen;
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_argmax_is.cc b/gnuradio-core/src/lib/gengen/gr_argmax_is.cc
new file mode 100644 (file)
index 0000000..09c4b15
--- /dev/null
@@ -0,0 +1,79 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_argmax_is.h>
+#include <gr_io_signature.h>
+
+gr_argmax_is_sptr
+gr_make_argmax_is ( size_t vlen )
+{
+       return gr_argmax_is_sptr ( new gr_argmax_is(vlen));
+}
+
+gr_argmax_is::gr_argmax_is( size_t vlen)
+       : gr_sync_block ( "argmax_is",
+                   gr_make_io_signature (1, -1, vlen*sizeof (int)),
+                   gr_make_io_signature (2, 2, sizeof (short))),
+       d_vlen(vlen)
+{
+}
+
+
+int
+gr_argmax_is::work( int noutput_items,
+       gr_vector_const_void_star &input_items,
+       gr_vector_void_star &output_items)
+{
+
+       int ninputs = input_items.size ();
+
+       short *x_optr = (short *) output_items[0];
+       short *y_optr = (short *) output_items[1];
+
+       for (int i=0; i<noutput_items; i++) {
+
+               int max = ((int *) input_items[0])[i*d_vlen];
+               int x = 0;
+               int y = 0;
+
+               for (int j=0; j < (int) d_vlen; j++ ) {
+                       for (int k=0; k<ninputs; k++) {
+                               if ( ((int *) input_items[k])[i*d_vlen + j] > max) {
+                                       max = ((int *) input_items[k])[i*d_vlen + j];
+                                       x = j;
+                                       y = k;
+                               }
+                       }
+               }
+
+               *x_optr++ = (short) x;
+               *y_optr++ = (short) y;
+       }
+       return noutput_items;
+}
+
diff --git a/gnuradio-core/src/lib/gengen/gr_argmax_is.h b/gnuradio-core/src/lib/gengen/gr_argmax_is.h
new file mode 100644 (file)
index 0000000..2e7595a
--- /dev/null
@@ -0,0 +1,51 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_ARGMAX_IS_H
+#define INCLUDED_GR_ARGMAX_IS_H
+
+#include <gr_sync_block.h>
+
+class gr_argmax_is;
+typedef boost::shared_ptr<gr_argmax_is> gr_argmax_is_sptr;
+
+gr_argmax_is_sptr gr_make_argmax_is (size_t vlen);
+
+
+class gr_argmax_is : public gr_sync_block
+{
+  friend gr_argmax_is_sptr gr_make_argmax_is (size_t vlen);
+
+  gr_argmax_is (size_t vlen);
+  size_t d_vlen;
+
+ public:
+
+  int work (int noutput_items,
+            gr_vector_const_void_star &input_items,
+            gr_vector_void_star &output_items);
+};
+
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_argmax_is.i b/gnuradio-core/src/lib/gengen/gr_argmax_is.i
new file mode 100644 (file)
index 0000000..b5b64e8
--- /dev/null
@@ -0,0 +1,34 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,argmax_is)
+
+gr_argmax_is_sptr gr_make_argmax_is (size_t vlen);
+
+class gr_argmax_is : public gr_sync_block
+{
+ private:
+  gr_argmax_is (size_t vlen);
+  size_t d_vlen;
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_argmax_ss.cc b/gnuradio-core/src/lib/gengen/gr_argmax_ss.cc
new file mode 100644 (file)
index 0000000..0008a6d
--- /dev/null
@@ -0,0 +1,79 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_argmax_ss.h>
+#include <gr_io_signature.h>
+
+gr_argmax_ss_sptr
+gr_make_argmax_ss ( size_t vlen )
+{
+       return gr_argmax_ss_sptr ( new gr_argmax_ss(vlen));
+}
+
+gr_argmax_ss::gr_argmax_ss( size_t vlen)
+       : gr_sync_block ( "argmax_ss",
+                   gr_make_io_signature (1, -1, vlen*sizeof (short)),
+                   gr_make_io_signature (2, 2, sizeof (short))),
+       d_vlen(vlen)
+{
+}
+
+
+int
+gr_argmax_ss::work( int noutput_items,
+       gr_vector_const_void_star &input_items,
+       gr_vector_void_star &output_items)
+{
+
+       int ninputs = input_items.size ();
+
+       short *x_optr = (short *) output_items[0];
+       short *y_optr = (short *) output_items[1];
+
+       for (int i=0; i<noutput_items; i++) {
+
+               short max = ((short *) input_items[0])[i*d_vlen];
+               int x = 0;
+               int y = 0;
+
+               for (int j=0; j < (int) d_vlen; j++ ) {
+                       for (int k=0; k<ninputs; k++) {
+                               if ( ((short *) input_items[k])[i*d_vlen + j] > max) {
+                                       max = ((short *) input_items[k])[i*d_vlen + j];
+                                       x = j;
+                                       y = k;
+                               }
+                       }
+               }
+
+               *x_optr++ = (short) x;
+               *y_optr++ = (short) y;
+       }
+       return noutput_items;
+}
+
diff --git a/gnuradio-core/src/lib/gengen/gr_argmax_ss.h b/gnuradio-core/src/lib/gengen/gr_argmax_ss.h
new file mode 100644 (file)
index 0000000..182fb77
--- /dev/null
@@ -0,0 +1,51 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_ARGMAX_SS_H
+#define INCLUDED_GR_ARGMAX_SS_H
+
+#include <gr_sync_block.h>
+
+class gr_argmax_ss;
+typedef boost::shared_ptr<gr_argmax_ss> gr_argmax_ss_sptr;
+
+gr_argmax_ss_sptr gr_make_argmax_ss (size_t vlen);
+
+
+class gr_argmax_ss : public gr_sync_block
+{
+  friend gr_argmax_ss_sptr gr_make_argmax_ss (size_t vlen);
+
+  gr_argmax_ss (size_t vlen);
+  size_t d_vlen;
+
+ public:
+
+  int work (int noutput_items,
+            gr_vector_const_void_star &input_items,
+            gr_vector_void_star &output_items);
+};
+
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_argmax_ss.i b/gnuradio-core/src/lib/gengen/gr_argmax_ss.i
new file mode 100644 (file)
index 0000000..9905d46
--- /dev/null
@@ -0,0 +1,34 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,argmax_ss)
+
+gr_argmax_ss_sptr gr_make_argmax_ss (size_t vlen);
+
+class gr_argmax_ss : public gr_sync_block
+{
+ private:
+  gr_argmax_ss (size_t vlen);
+  size_t d_vlen;
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_bc.cc b/gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_bc.cc
new file mode 100644 (file)
index 0000000..bc7e34a
--- /dev/null
@@ -0,0 +1,74 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_chunks_to_symbols_bc.h>
+#include <gr_io_signature.h>
+#include <assert.h>
+#include <iostream>
+#include <string.h>
+
+gr_chunks_to_symbols_bc_sptr
+gr_make_chunks_to_symbols_bc (const std::vector<gr_complex> &symbol_table, const int D)
+{
+  return gr_chunks_to_symbols_bc_sptr (new gr_chunks_to_symbols_bc (symbol_table,D));
+}
+
+gr_chunks_to_symbols_bc::gr_chunks_to_symbols_bc (const std::vector<gr_complex> &symbol_table, const int D)
+  : gr_sync_interpolator ("chunks_to_symbols_bc",
+                         gr_make_io_signature (1, -1, sizeof (unsigned char)),
+                         gr_make_io_signature (1, -1, sizeof (gr_complex)),
+                         D),
+  d_D (D),
+  d_symbol_table (symbol_table)
+{
+}
+
+int
+gr_chunks_to_symbols_bc::work (int noutput_items,
+                       gr_vector_const_void_star &input_items,
+                       gr_vector_void_star &output_items)
+{
+  assert (noutput_items % d_D == 0);
+  assert (input_items.size() == output_items.size());
+  int nstreams = input_items.size();
+
+  for (int m=0;m<nstreams;m++) {
+    const unsigned char *in = (unsigned char *) input_items[m];
+    gr_complex *out = (gr_complex *) output_items[m];
+
+    // per stream processing
+    for (int i = 0; i < noutput_items / d_D; i++){
+      assert (((unsigned int)in[i]*d_D+d_D) <= d_symbol_table.size());
+      memcpy(out, &d_symbol_table[(unsigned int)in[i]*d_D], d_D*sizeof(gr_complex));
+      out+=d_D;
+    }
+    // end of per stream processing
+
+  }
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_bc.h b/gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_bc.h
new file mode 100644 (file)
index 0000000..9b25679
--- /dev/null
@@ -0,0 +1,72 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_CHUNKS_TO_SYMBOLS_BC_H
+#define INCLUDED_GR_CHUNKS_TO_SYMBOLS_BC_H
+
+#include <gr_sync_interpolator.h>
+
+class gr_chunks_to_symbols_bc;
+typedef boost::shared_ptr<gr_chunks_to_symbols_bc> gr_chunks_to_symbols_bc_sptr;
+
+gr_chunks_to_symbols_bc_sptr gr_make_chunks_to_symbols_bc (const std::vector<gr_complex> &symbol_table, const int D = 1);
+
+/*!
+ * \brief Map a stream of symbol indexes (unpacked bytes or shorts) to stream of float or complex onstellation points.in \p D dimensions (\p D = 1 by default)
+ * \ingroup converter_blk
+ *
+ * input: stream of unsigned char; output: stream of gr_complex
+ *
+ * out[n D + k] = symbol_table[in[n] D + k], k=0,1,...,D-1
+ *
+ * The combination of gr_packed_to_unpacked_XX followed by
+ * gr_chunks_to_symbols_XY handles the general case of mapping 
+ * from a stream of bytes or shorts into arbitrary float
+ * or complex symbols.
+ *
+ * \sa gr_packed_to_unpacked_bb, gr_unpacked_to_packed_bb,
+ * \sa gr_packed_to_unpacked_ss, gr_unpacked_to_packed_ss,
+ * \sa gr_chunks_to_symbols_bf, gr_chunks_to_symbols_bc.
+ * \sa gr_chunks_to_symbols_sf, gr_chunks_to_symbols_sc.
+ */
+
+class gr_chunks_to_symbols_bc : public gr_sync_interpolator
+{
+  friend gr_chunks_to_symbols_bc_sptr gr_make_chunks_to_symbols_bc (const std::vector<gr_complex> &symbol_table, const int D);
+
+  int d_D;
+  std::vector<gr_complex> d_symbol_table;
+  gr_chunks_to_symbols_bc (const std::vector<gr_complex> &symbol_table, const int D = 1);
+
+ public:
+  int D () const { return d_D; }
+  std::vector<gr_complex> symbol_table () const { return d_symbol_table; }
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+
+  bool check_topology(int ninputs, int noutputs) { return ninputs == noutputs; }
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_bc.i b/gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_bc.i
new file mode 100644 (file)
index 0000000..e8f2374
--- /dev/null
@@ -0,0 +1,37 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ *
+ * This file is part of GNU Radio
+ *
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ *
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,chunks_to_symbols_bc);
+
+gr_chunks_to_symbols_bc_sptr gr_make_chunks_to_symbols_bc (const std::vector<gr_complex> &symbol_table, const int D = 1);
+
+class gr_chunks_to_symbols_bc : public gr_sync_interpolator
+{
+private:
+  gr_chunks_to_symbols_bc (const std::vector<gr_complex> &symbol_table, const int D = 1);
+
+public:
+  int D () const { return d_D; }
+  std::vector<gr_complex> symbol_table () const { return d_symbol_table; }
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_bf.cc b/gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_bf.cc
new file mode 100644 (file)
index 0000000..25ee9cb
--- /dev/null
@@ -0,0 +1,74 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_chunks_to_symbols_bf.h>
+#include <gr_io_signature.h>
+#include <assert.h>
+#include <iostream>
+#include <string.h>
+
+gr_chunks_to_symbols_bf_sptr
+gr_make_chunks_to_symbols_bf (const std::vector<float> &symbol_table, const int D)
+{
+  return gr_chunks_to_symbols_bf_sptr (new gr_chunks_to_symbols_bf (symbol_table,D));
+}
+
+gr_chunks_to_symbols_bf::gr_chunks_to_symbols_bf (const std::vector<float> &symbol_table, const int D)
+  : gr_sync_interpolator ("chunks_to_symbols_bf",
+                         gr_make_io_signature (1, -1, sizeof (unsigned char)),
+                         gr_make_io_signature (1, -1, sizeof (float)),
+                         D),
+  d_D (D),
+  d_symbol_table (symbol_table)
+{
+}
+
+int
+gr_chunks_to_symbols_bf::work (int noutput_items,
+                       gr_vector_const_void_star &input_items,
+                       gr_vector_void_star &output_items)
+{
+  assert (noutput_items % d_D == 0);
+  assert (input_items.size() == output_items.size());
+  int nstreams = input_items.size();
+
+  for (int m=0;m<nstreams;m++) {
+    const unsigned char *in = (unsigned char *) input_items[m];
+    float *out = (float *) output_items[m];
+
+    // per stream processing
+    for (int i = 0; i < noutput_items / d_D; i++){
+      assert (((unsigned int)in[i]*d_D+d_D) <= d_symbol_table.size());
+      memcpy(out, &d_symbol_table[(unsigned int)in[i]*d_D], d_D*sizeof(float));
+      out+=d_D;
+    }
+    // end of per stream processing
+
+  }
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_bf.h b/gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_bf.h
new file mode 100644 (file)
index 0000000..7b331e9
--- /dev/null
@@ -0,0 +1,72 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_CHUNKS_TO_SYMBOLS_BF_H
+#define INCLUDED_GR_CHUNKS_TO_SYMBOLS_BF_H
+
+#include <gr_sync_interpolator.h>
+
+class gr_chunks_to_symbols_bf;
+typedef boost::shared_ptr<gr_chunks_to_symbols_bf> gr_chunks_to_symbols_bf_sptr;
+
+gr_chunks_to_symbols_bf_sptr gr_make_chunks_to_symbols_bf (const std::vector<float> &symbol_table, const int D = 1);
+
+/*!
+ * \brief Map a stream of symbol indexes (unpacked bytes or shorts) to stream of float or complex onstellation points.in \p D dimensions (\p D = 1 by default)
+ * \ingroup converter_blk
+ *
+ * input: stream of unsigned char; output: stream of float
+ *
+ * out[n D + k] = symbol_table[in[n] D + k], k=0,1,...,D-1
+ *
+ * The combination of gr_packed_to_unpacked_XX followed by
+ * gr_chunks_to_symbols_XY handles the general case of mapping 
+ * from a stream of bytes or shorts into arbitrary float
+ * or complex symbols.
+ *
+ * \sa gr_packed_to_unpacked_bb, gr_unpacked_to_packed_bb,
+ * \sa gr_packed_to_unpacked_ss, gr_unpacked_to_packed_ss,
+ * \sa gr_chunks_to_symbols_bf, gr_chunks_to_symbols_bc.
+ * \sa gr_chunks_to_symbols_sf, gr_chunks_to_symbols_sc.
+ */
+
+class gr_chunks_to_symbols_bf : public gr_sync_interpolator
+{
+  friend gr_chunks_to_symbols_bf_sptr gr_make_chunks_to_symbols_bf (const std::vector<float> &symbol_table, const int D);
+
+  int d_D;
+  std::vector<float> d_symbol_table;
+  gr_chunks_to_symbols_bf (const std::vector<float> &symbol_table, const int D = 1);
+
+ public:
+  int D () const { return d_D; }
+  std::vector<float> symbol_table () const { return d_symbol_table; }
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+
+  bool check_topology(int ninputs, int noutputs) { return ninputs == noutputs; }
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_bf.i b/gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_bf.i
new file mode 100644 (file)
index 0000000..31e176a
--- /dev/null
@@ -0,0 +1,37 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ *
+ * This file is part of GNU Radio
+ *
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ *
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,chunks_to_symbols_bf);
+
+gr_chunks_to_symbols_bf_sptr gr_make_chunks_to_symbols_bf (const std::vector<float> &symbol_table, const int D = 1);
+
+class gr_chunks_to_symbols_bf : public gr_sync_interpolator
+{
+private:
+  gr_chunks_to_symbols_bf (const std::vector<float> &symbol_table, const int D = 1);
+
+public:
+  int D () const { return d_D; }
+  std::vector<float> symbol_table () const { return d_symbol_table; }
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_ic.cc b/gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_ic.cc
new file mode 100644 (file)
index 0000000..fd706c3
--- /dev/null
@@ -0,0 +1,74 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_chunks_to_symbols_ic.h>
+#include <gr_io_signature.h>
+#include <assert.h>
+#include <iostream>
+#include <string.h>
+
+gr_chunks_to_symbols_ic_sptr
+gr_make_chunks_to_symbols_ic (const std::vector<gr_complex> &symbol_table, const int D)
+{
+  return gr_chunks_to_symbols_ic_sptr (new gr_chunks_to_symbols_ic (symbol_table,D));
+}
+
+gr_chunks_to_symbols_ic::gr_chunks_to_symbols_ic (const std::vector<gr_complex> &symbol_table, const int D)
+  : gr_sync_interpolator ("chunks_to_symbols_ic",
+                         gr_make_io_signature (1, -1, sizeof (int)),
+                         gr_make_io_signature (1, -1, sizeof (gr_complex)),
+                         D),
+  d_D (D),
+  d_symbol_table (symbol_table)
+{
+}
+
+int
+gr_chunks_to_symbols_ic::work (int noutput_items,
+                       gr_vector_const_void_star &input_items,
+                       gr_vector_void_star &output_items)
+{
+  assert (noutput_items % d_D == 0);
+  assert (input_items.size() == output_items.size());
+  int nstreams = input_items.size();
+
+  for (int m=0;m<nstreams;m++) {
+    const int *in = (int *) input_items[m];
+    gr_complex *out = (gr_complex *) output_items[m];
+
+    // per stream processing
+    for (int i = 0; i < noutput_items / d_D; i++){
+      assert (((unsigned int)in[i]*d_D+d_D) <= d_symbol_table.size());
+      memcpy(out, &d_symbol_table[(unsigned int)in[i]*d_D], d_D*sizeof(gr_complex));
+      out+=d_D;
+    }
+    // end of per stream processing
+
+  }
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_ic.h b/gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_ic.h
new file mode 100644 (file)
index 0000000..fd6c434
--- /dev/null
@@ -0,0 +1,72 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_CHUNKS_TO_SYMBOLS_IC_H
+#define INCLUDED_GR_CHUNKS_TO_SYMBOLS_IC_H
+
+#include <gr_sync_interpolator.h>
+
+class gr_chunks_to_symbols_ic;
+typedef boost::shared_ptr<gr_chunks_to_symbols_ic> gr_chunks_to_symbols_ic_sptr;
+
+gr_chunks_to_symbols_ic_sptr gr_make_chunks_to_symbols_ic (const std::vector<gr_complex> &symbol_table, const int D = 1);
+
+/*!
+ * \brief Map a stream of symbol indexes (unpacked bytes or shorts) to stream of float or complex onstellation points.in \p D dimensions (\p D = 1 by default)
+ * \ingroup converter_blk
+ *
+ * input: stream of int; output: stream of gr_complex
+ *
+ * out[n D + k] = symbol_table[in[n] D + k], k=0,1,...,D-1
+ *
+ * The combination of gr_packed_to_unpacked_XX followed by
+ * gr_chunks_to_symbols_XY handles the general case of mapping 
+ * from a stream of bytes or shorts into arbitrary float
+ * or complex symbols.
+ *
+ * \sa gr_packed_to_unpacked_bb, gr_unpacked_to_packed_bb,
+ * \sa gr_packed_to_unpacked_ss, gr_unpacked_to_packed_ss,
+ * \sa gr_chunks_to_symbols_bf, gr_chunks_to_symbols_bc.
+ * \sa gr_chunks_to_symbols_sf, gr_chunks_to_symbols_sc.
+ */
+
+class gr_chunks_to_symbols_ic : public gr_sync_interpolator
+{
+  friend gr_chunks_to_symbols_ic_sptr gr_make_chunks_to_symbols_ic (const std::vector<gr_complex> &symbol_table, const int D);
+
+  int d_D;
+  std::vector<gr_complex> d_symbol_table;
+  gr_chunks_to_symbols_ic (const std::vector<gr_complex> &symbol_table, const int D = 1);
+
+ public:
+  int D () const { return d_D; }
+  std::vector<gr_complex> symbol_table () const { return d_symbol_table; }
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+
+  bool check_topology(int ninputs, int noutputs) { return ninputs == noutputs; }
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_ic.i b/gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_ic.i
new file mode 100644 (file)
index 0000000..571e2eb
--- /dev/null
@@ -0,0 +1,37 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ *
+ * This file is part of GNU Radio
+ *
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ *
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,chunks_to_symbols_ic);
+
+gr_chunks_to_symbols_ic_sptr gr_make_chunks_to_symbols_ic (const std::vector<gr_complex> &symbol_table, const int D = 1);
+
+class gr_chunks_to_symbols_ic : public gr_sync_interpolator
+{
+private:
+  gr_chunks_to_symbols_ic (const std::vector<gr_complex> &symbol_table, const int D = 1);
+
+public:
+  int D () const { return d_D; }
+  std::vector<gr_complex> symbol_table () const { return d_symbol_table; }
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_if.cc b/gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_if.cc
new file mode 100644 (file)
index 0000000..5751f73
--- /dev/null
@@ -0,0 +1,74 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_chunks_to_symbols_if.h>
+#include <gr_io_signature.h>
+#include <assert.h>
+#include <iostream>
+#include <string.h>
+
+gr_chunks_to_symbols_if_sptr
+gr_make_chunks_to_symbols_if (const std::vector<float> &symbol_table, const int D)
+{
+  return gr_chunks_to_symbols_if_sptr (new gr_chunks_to_symbols_if (symbol_table,D));
+}
+
+gr_chunks_to_symbols_if::gr_chunks_to_symbols_if (const std::vector<float> &symbol_table, const int D)
+  : gr_sync_interpolator ("chunks_to_symbols_if",
+                         gr_make_io_signature (1, -1, sizeof (int)),
+                         gr_make_io_signature (1, -1, sizeof (float)),
+                         D),
+  d_D (D),
+  d_symbol_table (symbol_table)
+{
+}
+
+int
+gr_chunks_to_symbols_if::work (int noutput_items,
+                       gr_vector_const_void_star &input_items,
+                       gr_vector_void_star &output_items)
+{
+  assert (noutput_items % d_D == 0);
+  assert (input_items.size() == output_items.size());
+  int nstreams = input_items.size();
+
+  for (int m=0;m<nstreams;m++) {
+    const int *in = (int *) input_items[m];
+    float *out = (float *) output_items[m];
+
+    // per stream processing
+    for (int i = 0; i < noutput_items / d_D; i++){
+      assert (((unsigned int)in[i]*d_D+d_D) <= d_symbol_table.size());
+      memcpy(out, &d_symbol_table[(unsigned int)in[i]*d_D], d_D*sizeof(float));
+      out+=d_D;
+    }
+    // end of per stream processing
+
+  }
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_if.h b/gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_if.h
new file mode 100644 (file)
index 0000000..a9301ef
--- /dev/null
@@ -0,0 +1,72 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_CHUNKS_TO_SYMBOLS_IF_H
+#define INCLUDED_GR_CHUNKS_TO_SYMBOLS_IF_H
+
+#include <gr_sync_interpolator.h>
+
+class gr_chunks_to_symbols_if;
+typedef boost::shared_ptr<gr_chunks_to_symbols_if> gr_chunks_to_symbols_if_sptr;
+
+gr_chunks_to_symbols_if_sptr gr_make_chunks_to_symbols_if (const std::vector<float> &symbol_table, const int D = 1);
+
+/*!
+ * \brief Map a stream of symbol indexes (unpacked bytes or shorts) to stream of float or complex onstellation points.in \p D dimensions (\p D = 1 by default)
+ * \ingroup converter_blk
+ *
+ * input: stream of int; output: stream of float
+ *
+ * out[n D + k] = symbol_table[in[n] D + k], k=0,1,...,D-1
+ *
+ * The combination of gr_packed_to_unpacked_XX followed by
+ * gr_chunks_to_symbols_XY handles the general case of mapping 
+ * from a stream of bytes or shorts into arbitrary float
+ * or complex symbols.
+ *
+ * \sa gr_packed_to_unpacked_bb, gr_unpacked_to_packed_bb,
+ * \sa gr_packed_to_unpacked_ss, gr_unpacked_to_packed_ss,
+ * \sa gr_chunks_to_symbols_bf, gr_chunks_to_symbols_bc.
+ * \sa gr_chunks_to_symbols_sf, gr_chunks_to_symbols_sc.
+ */
+
+class gr_chunks_to_symbols_if : public gr_sync_interpolator
+{
+  friend gr_chunks_to_symbols_if_sptr gr_make_chunks_to_symbols_if (const std::vector<float> &symbol_table, const int D);
+
+  int d_D;
+  std::vector<float> d_symbol_table;
+  gr_chunks_to_symbols_if (const std::vector<float> &symbol_table, const int D = 1);
+
+ public:
+  int D () const { return d_D; }
+  std::vector<float> symbol_table () const { return d_symbol_table; }
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+
+  bool check_topology(int ninputs, int noutputs) { return ninputs == noutputs; }
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_if.i b/gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_if.i
new file mode 100644 (file)
index 0000000..4ead6b4
--- /dev/null
@@ -0,0 +1,37 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ *
+ * This file is part of GNU Radio
+ *
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ *
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,chunks_to_symbols_if);
+
+gr_chunks_to_symbols_if_sptr gr_make_chunks_to_symbols_if (const std::vector<float> &symbol_table, const int D = 1);
+
+class gr_chunks_to_symbols_if : public gr_sync_interpolator
+{
+private:
+  gr_chunks_to_symbols_if (const std::vector<float> &symbol_table, const int D = 1);
+
+public:
+  int D () const { return d_D; }
+  std::vector<float> symbol_table () const { return d_symbol_table; }
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_sc.cc b/gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_sc.cc
new file mode 100644 (file)
index 0000000..32f6c6e
--- /dev/null
@@ -0,0 +1,74 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_chunks_to_symbols_sc.h>
+#include <gr_io_signature.h>
+#include <assert.h>
+#include <iostream>
+#include <string.h>
+
+gr_chunks_to_symbols_sc_sptr
+gr_make_chunks_to_symbols_sc (const std::vector<gr_complex> &symbol_table, const int D)
+{
+  return gr_chunks_to_symbols_sc_sptr (new gr_chunks_to_symbols_sc (symbol_table,D));
+}
+
+gr_chunks_to_symbols_sc::gr_chunks_to_symbols_sc (const std::vector<gr_complex> &symbol_table, const int D)
+  : gr_sync_interpolator ("chunks_to_symbols_sc",
+                         gr_make_io_signature (1, -1, sizeof (short)),
+                         gr_make_io_signature (1, -1, sizeof (gr_complex)),
+                         D),
+  d_D (D),
+  d_symbol_table (symbol_table)
+{
+}
+
+int
+gr_chunks_to_symbols_sc::work (int noutput_items,
+                       gr_vector_const_void_star &input_items,
+                       gr_vector_void_star &output_items)
+{
+  assert (noutput_items % d_D == 0);
+  assert (input_items.size() == output_items.size());
+  int nstreams = input_items.size();
+
+  for (int m=0;m<nstreams;m++) {
+    const short *in = (short *) input_items[m];
+    gr_complex *out = (gr_complex *) output_items[m];
+
+    // per stream processing
+    for (int i = 0; i < noutput_items / d_D; i++){
+      assert (((unsigned int)in[i]*d_D+d_D) <= d_symbol_table.size());
+      memcpy(out, &d_symbol_table[(unsigned int)in[i]*d_D], d_D*sizeof(gr_complex));
+      out+=d_D;
+    }
+    // end of per stream processing
+
+  }
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_sc.h b/gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_sc.h
new file mode 100644 (file)
index 0000000..b0b968f
--- /dev/null
@@ -0,0 +1,72 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_CHUNKS_TO_SYMBOLS_SC_H
+#define INCLUDED_GR_CHUNKS_TO_SYMBOLS_SC_H
+
+#include <gr_sync_interpolator.h>
+
+class gr_chunks_to_symbols_sc;
+typedef boost::shared_ptr<gr_chunks_to_symbols_sc> gr_chunks_to_symbols_sc_sptr;
+
+gr_chunks_to_symbols_sc_sptr gr_make_chunks_to_symbols_sc (const std::vector<gr_complex> &symbol_table, const int D = 1);
+
+/*!
+ * \brief Map a stream of symbol indexes (unpacked bytes or shorts) to stream of float or complex onstellation points.in \p D dimensions (\p D = 1 by default)
+ * \ingroup converter_blk
+ *
+ * input: stream of short; output: stream of gr_complex
+ *
+ * out[n D + k] = symbol_table[in[n] D + k], k=0,1,...,D-1
+ *
+ * The combination of gr_packed_to_unpacked_XX followed by
+ * gr_chunks_to_symbols_XY handles the general case of mapping 
+ * from a stream of bytes or shorts into arbitrary float
+ * or complex symbols.
+ *
+ * \sa gr_packed_to_unpacked_bb, gr_unpacked_to_packed_bb,
+ * \sa gr_packed_to_unpacked_ss, gr_unpacked_to_packed_ss,
+ * \sa gr_chunks_to_symbols_bf, gr_chunks_to_symbols_bc.
+ * \sa gr_chunks_to_symbols_sf, gr_chunks_to_symbols_sc.
+ */
+
+class gr_chunks_to_symbols_sc : public gr_sync_interpolator
+{
+  friend gr_chunks_to_symbols_sc_sptr gr_make_chunks_to_symbols_sc (const std::vector<gr_complex> &symbol_table, const int D);
+
+  int d_D;
+  std::vector<gr_complex> d_symbol_table;
+  gr_chunks_to_symbols_sc (const std::vector<gr_complex> &symbol_table, const int D = 1);
+
+ public:
+  int D () const { return d_D; }
+  std::vector<gr_complex> symbol_table () const { return d_symbol_table; }
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+
+  bool check_topology(int ninputs, int noutputs) { return ninputs == noutputs; }
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_sc.i b/gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_sc.i
new file mode 100644 (file)
index 0000000..fa67b03
--- /dev/null
@@ -0,0 +1,37 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ *
+ * This file is part of GNU Radio
+ *
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ *
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,chunks_to_symbols_sc);
+
+gr_chunks_to_symbols_sc_sptr gr_make_chunks_to_symbols_sc (const std::vector<gr_complex> &symbol_table, const int D = 1);
+
+class gr_chunks_to_symbols_sc : public gr_sync_interpolator
+{
+private:
+  gr_chunks_to_symbols_sc (const std::vector<gr_complex> &symbol_table, const int D = 1);
+
+public:
+  int D () const { return d_D; }
+  std::vector<gr_complex> symbol_table () const { return d_symbol_table; }
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_sf.cc b/gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_sf.cc
new file mode 100644 (file)
index 0000000..079c4c0
--- /dev/null
@@ -0,0 +1,74 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_chunks_to_symbols_sf.h>
+#include <gr_io_signature.h>
+#include <assert.h>
+#include <iostream>
+#include <string.h>
+
+gr_chunks_to_symbols_sf_sptr
+gr_make_chunks_to_symbols_sf (const std::vector<float> &symbol_table, const int D)
+{
+  return gr_chunks_to_symbols_sf_sptr (new gr_chunks_to_symbols_sf (symbol_table,D));
+}
+
+gr_chunks_to_symbols_sf::gr_chunks_to_symbols_sf (const std::vector<float> &symbol_table, const int D)
+  : gr_sync_interpolator ("chunks_to_symbols_sf",
+                         gr_make_io_signature (1, -1, sizeof (short)),
+                         gr_make_io_signature (1, -1, sizeof (float)),
+                         D),
+  d_D (D),
+  d_symbol_table (symbol_table)
+{
+}
+
+int
+gr_chunks_to_symbols_sf::work (int noutput_items,
+                       gr_vector_const_void_star &input_items,
+                       gr_vector_void_star &output_items)
+{
+  assert (noutput_items % d_D == 0);
+  assert (input_items.size() == output_items.size());
+  int nstreams = input_items.size();
+
+  for (int m=0;m<nstreams;m++) {
+    const short *in = (short *) input_items[m];
+    float *out = (float *) output_items[m];
+
+    // per stream processing
+    for (int i = 0; i < noutput_items / d_D; i++){
+      assert (((unsigned int)in[i]*d_D+d_D) <= d_symbol_table.size());
+      memcpy(out, &d_symbol_table[(unsigned int)in[i]*d_D], d_D*sizeof(float));
+      out+=d_D;
+    }
+    // end of per stream processing
+
+  }
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_sf.h b/gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_sf.h
new file mode 100644 (file)
index 0000000..c0bfe6f
--- /dev/null
@@ -0,0 +1,72 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_CHUNKS_TO_SYMBOLS_SF_H
+#define INCLUDED_GR_CHUNKS_TO_SYMBOLS_SF_H
+
+#include <gr_sync_interpolator.h>
+
+class gr_chunks_to_symbols_sf;
+typedef boost::shared_ptr<gr_chunks_to_symbols_sf> gr_chunks_to_symbols_sf_sptr;
+
+gr_chunks_to_symbols_sf_sptr gr_make_chunks_to_symbols_sf (const std::vector<float> &symbol_table, const int D = 1);
+
+/*!
+ * \brief Map a stream of symbol indexes (unpacked bytes or shorts) to stream of float or complex onstellation points.in \p D dimensions (\p D = 1 by default)
+ * \ingroup converter_blk
+ *
+ * input: stream of short; output: stream of float
+ *
+ * out[n D + k] = symbol_table[in[n] D + k], k=0,1,...,D-1
+ *
+ * The combination of gr_packed_to_unpacked_XX followed by
+ * gr_chunks_to_symbols_XY handles the general case of mapping 
+ * from a stream of bytes or shorts into arbitrary float
+ * or complex symbols.
+ *
+ * \sa gr_packed_to_unpacked_bb, gr_unpacked_to_packed_bb,
+ * \sa gr_packed_to_unpacked_ss, gr_unpacked_to_packed_ss,
+ * \sa gr_chunks_to_symbols_bf, gr_chunks_to_symbols_bc.
+ * \sa gr_chunks_to_symbols_sf, gr_chunks_to_symbols_sc.
+ */
+
+class gr_chunks_to_symbols_sf : public gr_sync_interpolator
+{
+  friend gr_chunks_to_symbols_sf_sptr gr_make_chunks_to_symbols_sf (const std::vector<float> &symbol_table, const int D);
+
+  int d_D;
+  std::vector<float> d_symbol_table;
+  gr_chunks_to_symbols_sf (const std::vector<float> &symbol_table, const int D = 1);
+
+ public:
+  int D () const { return d_D; }
+  std::vector<float> symbol_table () const { return d_symbol_table; }
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+
+  bool check_topology(int ninputs, int noutputs) { return ninputs == noutputs; }
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_sf.i b/gnuradio-core/src/lib/gengen/gr_chunks_to_symbols_sf.i
new file mode 100644 (file)
index 0000000..fb3a74d
--- /dev/null
@@ -0,0 +1,37 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ *
+ * This file is part of GNU Radio
+ *
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ *
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,chunks_to_symbols_sf);
+
+gr_chunks_to_symbols_sf_sptr gr_make_chunks_to_symbols_sf (const std::vector<float> &symbol_table, const int D = 1);
+
+class gr_chunks_to_symbols_sf : public gr_sync_interpolator
+{
+private:
+  gr_chunks_to_symbols_sf (const std::vector<float> &symbol_table, const int D = 1);
+
+public:
+  int D () const { return d_D; }
+  std::vector<float> symbol_table () const { return d_symbol_table; }
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_divide_cc.cc b/gnuradio-core/src/lib/gengen/gr_divide_cc.cc
new file mode 100644 (file)
index 0000000..4ab9aa5
--- /dev/null
@@ -0,0 +1,72 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004, 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_divide_cc.h>
+#include <gr_io_signature.h>
+
+gr_divide_cc_sptr
+gr_make_divide_cc (size_t vlen)
+{
+  return gr_divide_cc_sptr (new gr_divide_cc (vlen));
+}
+
+gr_divide_cc::gr_divide_cc (size_t vlen)
+  : gr_sync_block ("divide_cc",
+                  gr_make_io_signature (1, -1, sizeof (gr_complex)*vlen),
+                  gr_make_io_signature (1,  1, sizeof (gr_complex)*vlen)),
+  d_vlen (vlen)
+{
+}
+
+int
+gr_divide_cc::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  gr_complex *optr = (gr_complex *) output_items[0];
+
+  int ninputs = input_items.size ();
+
+  if (ninputs == 1){           // compute reciprocal
+    for (int i = 0; i < noutput_items*d_vlen; i++)
+      *optr++ = (gr_complex) ((gr_complex) 1 /
+                           ((gr_complex *) input_items[0])[i]);
+  }
+
+  else {
+    for (int i = 0; i < noutput_items*d_vlen; i++){
+      gr_complex acc = ((gr_complex *) input_items[0])[i];
+      for (int j = 1; j < ninputs; j++)
+       acc /= ((gr_complex *) input_items[j])[i];
+
+      *optr++ = (gr_complex) acc;
+    }
+  }
+
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_divide_cc.h b/gnuradio-core/src/lib/gengen/gr_divide_cc.h
new file mode 100644 (file)
index 0000000..f0e7f7f
--- /dev/null
@@ -0,0 +1,56 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004, 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_DIVIDE_CC_H
+#define INCLUDED_GR_DIVIDE_CC_H
+
+#include <gr_sync_block.h>
+
+class gr_divide_cc;
+typedef boost::shared_ptr<gr_divide_cc> gr_divide_cc_sptr;
+
+gr_divide_cc_sptr gr_make_divide_cc (size_t vlen = 1);
+
+/*!
+ * \brief output = input_0 / input_1 / input_x ...)
+ * \ingroup math_blk
+ *
+ * Divide across all input streams.
+ */
+class gr_divide_cc : public gr_sync_block
+{
+  friend gr_divide_cc_sptr gr_make_divide_cc (size_t vlen);
+
+  gr_divide_cc (size_t vlen);
+
+  size_t d_vlen;
+
+ public:
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_divide_cc.i b/gnuradio-core/src/lib/gengen/gr_divide_cc.i
new file mode 100644 (file)
index 0000000..64b0cd7
--- /dev/null
@@ -0,0 +1,33 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004, 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,divide_cc)
+
+gr_divide_cc_sptr gr_make_divide_cc (size_t vlen = 1);
+
+class gr_divide_cc : public gr_sync_block
+{
+ private:
+  gr_divide_cc (size_t vlen);
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_divide_ff.cc b/gnuradio-core/src/lib/gengen/gr_divide_ff.cc
new file mode 100644 (file)
index 0000000..a33cbf5
--- /dev/null
@@ -0,0 +1,72 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004, 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_divide_ff.h>
+#include <gr_io_signature.h>
+
+gr_divide_ff_sptr
+gr_make_divide_ff (size_t vlen)
+{
+  return gr_divide_ff_sptr (new gr_divide_ff (vlen));
+}
+
+gr_divide_ff::gr_divide_ff (size_t vlen)
+  : gr_sync_block ("divide_ff",
+                  gr_make_io_signature (1, -1, sizeof (float)*vlen),
+                  gr_make_io_signature (1,  1, sizeof (float)*vlen)),
+  d_vlen (vlen)
+{
+}
+
+int
+gr_divide_ff::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  float *optr = (float *) output_items[0];
+
+  int ninputs = input_items.size ();
+
+  if (ninputs == 1){           // compute reciprocal
+    for (int i = 0; i < noutput_items*d_vlen; i++)
+      *optr++ = (float) ((float) 1 /
+                           ((float *) input_items[0])[i]);
+  }
+
+  else {
+    for (int i = 0; i < noutput_items*d_vlen; i++){
+      float acc = ((float *) input_items[0])[i];
+      for (int j = 1; j < ninputs; j++)
+       acc /= ((float *) input_items[j])[i];
+
+      *optr++ = (float) acc;
+    }
+  }
+
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_divide_ff.h b/gnuradio-core/src/lib/gengen/gr_divide_ff.h
new file mode 100644 (file)
index 0000000..67c6a7f
--- /dev/null
@@ -0,0 +1,56 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004, 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_DIVIDE_FF_H
+#define INCLUDED_GR_DIVIDE_FF_H
+
+#include <gr_sync_block.h>
+
+class gr_divide_ff;
+typedef boost::shared_ptr<gr_divide_ff> gr_divide_ff_sptr;
+
+gr_divide_ff_sptr gr_make_divide_ff (size_t vlen = 1);
+
+/*!
+ * \brief output = input_0 / input_1 / input_x ...)
+ * \ingroup math_blk
+ *
+ * Divide across all input streams.
+ */
+class gr_divide_ff : public gr_sync_block
+{
+  friend gr_divide_ff_sptr gr_make_divide_ff (size_t vlen);
+
+  gr_divide_ff (size_t vlen);
+
+  size_t d_vlen;
+
+ public:
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_divide_ff.i b/gnuradio-core/src/lib/gengen/gr_divide_ff.i
new file mode 100644 (file)
index 0000000..c88cae1
--- /dev/null
@@ -0,0 +1,33 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004, 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,divide_ff)
+
+gr_divide_ff_sptr gr_make_divide_ff (size_t vlen = 1);
+
+class gr_divide_ff : public gr_sync_block
+{
+ private:
+  gr_divide_ff (size_t vlen);
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_divide_ii.cc b/gnuradio-core/src/lib/gengen/gr_divide_ii.cc
new file mode 100644 (file)
index 0000000..e4d8213
--- /dev/null
@@ -0,0 +1,72 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004, 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_divide_ii.h>
+#include <gr_io_signature.h>
+
+gr_divide_ii_sptr
+gr_make_divide_ii (size_t vlen)
+{
+  return gr_divide_ii_sptr (new gr_divide_ii (vlen));
+}
+
+gr_divide_ii::gr_divide_ii (size_t vlen)
+  : gr_sync_block ("divide_ii",
+                  gr_make_io_signature (1, -1, sizeof (int)*vlen),
+                  gr_make_io_signature (1,  1, sizeof (int)*vlen)),
+  d_vlen (vlen)
+{
+}
+
+int
+gr_divide_ii::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  int *optr = (int *) output_items[0];
+
+  int ninputs = input_items.size ();
+
+  if (ninputs == 1){           // compute reciprocal
+    for (int i = 0; i < noutput_items*d_vlen; i++)
+      *optr++ = (int) ((int) 1 /
+                           ((int *) input_items[0])[i]);
+  }
+
+  else {
+    for (int i = 0; i < noutput_items*d_vlen; i++){
+      int acc = ((int *) input_items[0])[i];
+      for (int j = 1; j < ninputs; j++)
+       acc /= ((int *) input_items[j])[i];
+
+      *optr++ = (int) acc;
+    }
+  }
+
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_divide_ii.h b/gnuradio-core/src/lib/gengen/gr_divide_ii.h
new file mode 100644 (file)
index 0000000..8c73288
--- /dev/null
@@ -0,0 +1,56 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004, 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_DIVIDE_II_H
+#define INCLUDED_GR_DIVIDE_II_H
+
+#include <gr_sync_block.h>
+
+class gr_divide_ii;
+typedef boost::shared_ptr<gr_divide_ii> gr_divide_ii_sptr;
+
+gr_divide_ii_sptr gr_make_divide_ii (size_t vlen = 1);
+
+/*!
+ * \brief output = input_0 / input_1 / input_x ...)
+ * \ingroup math_blk
+ *
+ * Divide across all input streams.
+ */
+class gr_divide_ii : public gr_sync_block
+{
+  friend gr_divide_ii_sptr gr_make_divide_ii (size_t vlen);
+
+  gr_divide_ii (size_t vlen);
+
+  size_t d_vlen;
+
+ public:
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_divide_ii.i b/gnuradio-core/src/lib/gengen/gr_divide_ii.i
new file mode 100644 (file)
index 0000000..db42d27
--- /dev/null
@@ -0,0 +1,33 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004, 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,divide_ii)
+
+gr_divide_ii_sptr gr_make_divide_ii (size_t vlen = 1);
+
+class gr_divide_ii : public gr_sync_block
+{
+ private:
+  gr_divide_ii (size_t vlen);
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_divide_ss.cc b/gnuradio-core/src/lib/gengen/gr_divide_ss.cc
new file mode 100644 (file)
index 0000000..53f6cef
--- /dev/null
@@ -0,0 +1,72 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004, 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_divide_ss.h>
+#include <gr_io_signature.h>
+
+gr_divide_ss_sptr
+gr_make_divide_ss (size_t vlen)
+{
+  return gr_divide_ss_sptr (new gr_divide_ss (vlen));
+}
+
+gr_divide_ss::gr_divide_ss (size_t vlen)
+  : gr_sync_block ("divide_ss",
+                  gr_make_io_signature (1, -1, sizeof (short)*vlen),
+                  gr_make_io_signature (1,  1, sizeof (short)*vlen)),
+  d_vlen (vlen)
+{
+}
+
+int
+gr_divide_ss::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  short *optr = (short *) output_items[0];
+
+  int ninputs = input_items.size ();
+
+  if (ninputs == 1){           // compute reciprocal
+    for (int i = 0; i < noutput_items*d_vlen; i++)
+      *optr++ = (short) ((short) 1 /
+                           ((short *) input_items[0])[i]);
+  }
+
+  else {
+    for (int i = 0; i < noutput_items*d_vlen; i++){
+      short acc = ((short *) input_items[0])[i];
+      for (int j = 1; j < ninputs; j++)
+       acc /= ((short *) input_items[j])[i];
+
+      *optr++ = (short) acc;
+    }
+  }
+
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_divide_ss.h b/gnuradio-core/src/lib/gengen/gr_divide_ss.h
new file mode 100644 (file)
index 0000000..cbda2ed
--- /dev/null
@@ -0,0 +1,56 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004, 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_DIVIDE_SS_H
+#define INCLUDED_GR_DIVIDE_SS_H
+
+#include <gr_sync_block.h>
+
+class gr_divide_ss;
+typedef boost::shared_ptr<gr_divide_ss> gr_divide_ss_sptr;
+
+gr_divide_ss_sptr gr_make_divide_ss (size_t vlen = 1);
+
+/*!
+ * \brief output = input_0 / input_1 / input_x ...)
+ * \ingroup math_blk
+ *
+ * Divide across all input streams.
+ */
+class gr_divide_ss : public gr_sync_block
+{
+  friend gr_divide_ss_sptr gr_make_divide_ss (size_t vlen);
+
+  gr_divide_ss (size_t vlen);
+
+  size_t d_vlen;
+
+ public:
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_divide_ss.i b/gnuradio-core/src/lib/gengen/gr_divide_ss.i
new file mode 100644 (file)
index 0000000..a4d0628
--- /dev/null
@@ -0,0 +1,33 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004, 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,divide_ss)
+
+gr_divide_ss_sptr gr_make_divide_ss (size_t vlen = 1);
+
+class gr_divide_ss : public gr_sync_block
+{
+ private:
+  gr_divide_ss (size_t vlen);
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_integrate_cc.cc b/gnuradio-core/src/lib/gengen/gr_integrate_cc.cc
new file mode 100644 (file)
index 0000000..4452713
--- /dev/null
@@ -0,0 +1,67 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_integrate_cc.h>
+#include <gr_io_signature.h>
+
+gr_integrate_cc_sptr 
+gr_make_integrate_cc (int decim)
+{
+  return gr_integrate_cc_sptr (new gr_integrate_cc (decim));
+}
+
+gr_integrate_cc::gr_integrate_cc (int decim)
+  : gr_sync_decimator ("integrate_cc",
+                      gr_make_io_signature (1, 1, sizeof (gr_complex)),
+                      gr_make_io_signature (1, 1, sizeof (gr_complex)),
+                      decim),
+    d_decim(decim),
+    d_count(0)
+{
+}
+
+gr_integrate_cc::~gr_integrate_cc ()
+{
+}
+
+int 
+gr_integrate_cc::work (int noutput_items,
+             gr_vector_const_void_star &input_items,
+             gr_vector_void_star &output_items)
+{
+  const gr_complex *in = (const gr_complex *) input_items[0];
+  gr_complex *out = (gr_complex *) output_items[0];
+  
+  for (int i = 0; i < noutput_items; i++) {
+    out[i] = (gr_complex)0;
+    for (int j = 0; j < d_decim; j++)
+      out[i] += in[i*d_decim+j];
+  }
+
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_integrate_cc.h b/gnuradio-core/src/lib/gengen/gr_integrate_cc.h
new file mode 100644 (file)
index 0000000..4071953
--- /dev/null
@@ -0,0 +1,60 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_INTEGRATE_CC_H
+#define INCLUDED_GR_INTEGRATE_CC_H
+
+#include <gr_sync_decimator.h>
+
+class gr_integrate_cc;
+
+typedef boost::shared_ptr<gr_integrate_cc> gr_integrate_cc_sptr;
+
+gr_integrate_cc_sptr gr_make_integrate_cc (int decim);
+
+/*!
+ * \brief output = sum(input[0]...input[n])
+ * \ingroup math_blk
+ *
+ * Integrate successive samples in input stream and decimate
+ */
+class gr_integrate_cc : public gr_sync_decimator
+{
+private:
+  friend gr_integrate_cc_sptr gr_make_integrate_cc(int decim);
+
+  gr_integrate_cc (int decim);
+
+  int d_decim;
+  int d_count;
+
+public:
+  ~gr_integrate_cc (); 
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif /* INCLUDED_GR_INTEGRATE_CC_H */
diff --git a/gnuradio-core/src/lib/gengen/gr_integrate_cc.i b/gnuradio-core/src/lib/gengen/gr_integrate_cc.i
new file mode 100644 (file)
index 0000000..b3657f6
--- /dev/null
@@ -0,0 +1,33 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,integrate_cc);
+
+gr_integrate_cc_sptr gr_make_integrate_cc (int decim);
+
+class gr_integrate_cc : public gr_sync_decimator
+{
+private:
+  gr_integrate_cc ();
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_integrate_ff.cc b/gnuradio-core/src/lib/gengen/gr_integrate_ff.cc
new file mode 100644 (file)
index 0000000..7794255
--- /dev/null
@@ -0,0 +1,67 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_integrate_ff.h>
+#include <gr_io_signature.h>
+
+gr_integrate_ff_sptr 
+gr_make_integrate_ff (int decim)
+{
+  return gr_integrate_ff_sptr (new gr_integrate_ff (decim));
+}
+
+gr_integrate_ff::gr_integrate_ff (int decim)
+  : gr_sync_decimator ("integrate_ff",
+                      gr_make_io_signature (1, 1, sizeof (float)),
+                      gr_make_io_signature (1, 1, sizeof (float)),
+                      decim),
+    d_decim(decim),
+    d_count(0)
+{
+}
+
+gr_integrate_ff::~gr_integrate_ff ()
+{
+}
+
+int 
+gr_integrate_ff::work (int noutput_items,
+             gr_vector_const_void_star &input_items,
+             gr_vector_void_star &output_items)
+{
+  const float *in = (const float *) input_items[0];
+  float *out = (float *) output_items[0];
+  
+  for (int i = 0; i < noutput_items; i++) {
+    out[i] = (float)0;
+    for (int j = 0; j < d_decim; j++)
+      out[i] += in[i*d_decim+j];
+  }
+
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_integrate_ff.h b/gnuradio-core/src/lib/gengen/gr_integrate_ff.h
new file mode 100644 (file)
index 0000000..e9ada5d
--- /dev/null
@@ -0,0 +1,60 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_INTEGRATE_FF_H
+#define INCLUDED_GR_INTEGRATE_FF_H
+
+#include <gr_sync_decimator.h>
+
+class gr_integrate_ff;
+
+typedef boost::shared_ptr<gr_integrate_ff> gr_integrate_ff_sptr;
+
+gr_integrate_ff_sptr gr_make_integrate_ff (int decim);
+
+/*!
+ * \brief output = sum(input[0]...input[n])
+ * \ingroup math_blk
+ *
+ * Integrate successive samples in input stream and decimate
+ */
+class gr_integrate_ff : public gr_sync_decimator
+{
+private:
+  friend gr_integrate_ff_sptr gr_make_integrate_ff(int decim);
+
+  gr_integrate_ff (int decim);
+
+  int d_decim;
+  int d_count;
+
+public:
+  ~gr_integrate_ff (); 
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif /* INCLUDED_GR_INTEGRATE_FF_H */
diff --git a/gnuradio-core/src/lib/gengen/gr_integrate_ff.i b/gnuradio-core/src/lib/gengen/gr_integrate_ff.i
new file mode 100644 (file)
index 0000000..7557516
--- /dev/null
@@ -0,0 +1,33 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,integrate_ff);
+
+gr_integrate_ff_sptr gr_make_integrate_ff (int decim);
+
+class gr_integrate_ff : public gr_sync_decimator
+{
+private:
+  gr_integrate_ff ();
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_integrate_ii.cc b/gnuradio-core/src/lib/gengen/gr_integrate_ii.cc
new file mode 100644 (file)
index 0000000..473a3e2
--- /dev/null
@@ -0,0 +1,67 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_integrate_ii.h>
+#include <gr_io_signature.h>
+
+gr_integrate_ii_sptr 
+gr_make_integrate_ii (int decim)
+{
+  return gr_integrate_ii_sptr (new gr_integrate_ii (decim));
+}
+
+gr_integrate_ii::gr_integrate_ii (int decim)
+  : gr_sync_decimator ("integrate_ii",
+                      gr_make_io_signature (1, 1, sizeof (int)),
+                      gr_make_io_signature (1, 1, sizeof (int)),
+                      decim),
+    d_decim(decim),
+    d_count(0)
+{
+}
+
+gr_integrate_ii::~gr_integrate_ii ()
+{
+}
+
+int 
+gr_integrate_ii::work (int noutput_items,
+             gr_vector_const_void_star &input_items,
+             gr_vector_void_star &output_items)
+{
+  const int *in = (const int *) input_items[0];
+  int *out = (int *) output_items[0];
+  
+  for (int i = 0; i < noutput_items; i++) {
+    out[i] = (int)0;
+    for (int j = 0; j < d_decim; j++)
+      out[i] += in[i*d_decim+j];
+  }
+
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_integrate_ii.h b/gnuradio-core/src/lib/gengen/gr_integrate_ii.h
new file mode 100644 (file)
index 0000000..822b242
--- /dev/null
@@ -0,0 +1,60 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_INTEGRATE_II_H
+#define INCLUDED_GR_INTEGRATE_II_H
+
+#include <gr_sync_decimator.h>
+
+class gr_integrate_ii;
+
+typedef boost::shared_ptr<gr_integrate_ii> gr_integrate_ii_sptr;
+
+gr_integrate_ii_sptr gr_make_integrate_ii (int decim);
+
+/*!
+ * \brief output = sum(input[0]...input[n])
+ * \ingroup math_blk
+ *
+ * Integrate successive samples in input stream and decimate
+ */
+class gr_integrate_ii : public gr_sync_decimator
+{
+private:
+  friend gr_integrate_ii_sptr gr_make_integrate_ii(int decim);
+
+  gr_integrate_ii (int decim);
+
+  int d_decim;
+  int d_count;
+
+public:
+  ~gr_integrate_ii (); 
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif /* INCLUDED_GR_INTEGRATE_II_H */
diff --git a/gnuradio-core/src/lib/gengen/gr_integrate_ii.i b/gnuradio-core/src/lib/gengen/gr_integrate_ii.i
new file mode 100644 (file)
index 0000000..30745bf
--- /dev/null
@@ -0,0 +1,33 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,integrate_ii);
+
+gr_integrate_ii_sptr gr_make_integrate_ii (int decim);
+
+class gr_integrate_ii : public gr_sync_decimator
+{
+private:
+  gr_integrate_ii ();
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_integrate_ss.cc b/gnuradio-core/src/lib/gengen/gr_integrate_ss.cc
new file mode 100644 (file)
index 0000000..bda6e38
--- /dev/null
@@ -0,0 +1,67 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_integrate_ss.h>
+#include <gr_io_signature.h>
+
+gr_integrate_ss_sptr 
+gr_make_integrate_ss (int decim)
+{
+  return gr_integrate_ss_sptr (new gr_integrate_ss (decim));
+}
+
+gr_integrate_ss::gr_integrate_ss (int decim)
+  : gr_sync_decimator ("integrate_ss",
+                      gr_make_io_signature (1, 1, sizeof (short)),
+                      gr_make_io_signature (1, 1, sizeof (short)),
+                      decim),
+    d_decim(decim),
+    d_count(0)
+{
+}
+
+gr_integrate_ss::~gr_integrate_ss ()
+{
+}
+
+int 
+gr_integrate_ss::work (int noutput_items,
+             gr_vector_const_void_star &input_items,
+             gr_vector_void_star &output_items)
+{
+  const short *in = (const short *) input_items[0];
+  short *out = (short *) output_items[0];
+  
+  for (int i = 0; i < noutput_items; i++) {
+    out[i] = (short)0;
+    for (int j = 0; j < d_decim; j++)
+      out[i] += in[i*d_decim+j];
+  }
+
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_integrate_ss.h b/gnuradio-core/src/lib/gengen/gr_integrate_ss.h
new file mode 100644 (file)
index 0000000..cf3a06b
--- /dev/null
@@ -0,0 +1,60 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_INTEGRATE_SS_H
+#define INCLUDED_GR_INTEGRATE_SS_H
+
+#include <gr_sync_decimator.h>
+
+class gr_integrate_ss;
+
+typedef boost::shared_ptr<gr_integrate_ss> gr_integrate_ss_sptr;
+
+gr_integrate_ss_sptr gr_make_integrate_ss (int decim);
+
+/*!
+ * \brief output = sum(input[0]...input[n])
+ * \ingroup math_blk
+ *
+ * Integrate successive samples in input stream and decimate
+ */
+class gr_integrate_ss : public gr_sync_decimator
+{
+private:
+  friend gr_integrate_ss_sptr gr_make_integrate_ss(int decim);
+
+  gr_integrate_ss (int decim);
+
+  int d_decim;
+  int d_count;
+
+public:
+  ~gr_integrate_ss (); 
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif /* INCLUDED_GR_INTEGRATE_SS_H */
diff --git a/gnuradio-core/src/lib/gengen/gr_integrate_ss.i b/gnuradio-core/src/lib/gengen/gr_integrate_ss.i
new file mode 100644 (file)
index 0000000..c00149b
--- /dev/null
@@ -0,0 +1,33 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,integrate_ss);
+
+gr_integrate_ss_sptr gr_make_integrate_ss (int decim);
+
+class gr_integrate_ss : public gr_sync_decimator
+{
+private:
+  gr_integrate_ss ();
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_max_ff.cc b/gnuradio-core/src/lib/gengen/gr_max_ff.cc
new file mode 100644 (file)
index 0000000..b370c66
--- /dev/null
@@ -0,0 +1,71 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_max_ff.h>
+#include <gr_io_signature.h>
+
+gr_max_ff_sptr
+gr_make_max_ff ( size_t vlen )
+{
+       return gr_max_ff_sptr ( new gr_max_ff(vlen));
+}
+
+gr_max_ff::gr_max_ff( size_t vlen)
+       : gr_sync_block ( "max_ff",
+                   gr_make_io_signature (1, -1, vlen*sizeof (float)),
+                   gr_make_io_signature (1, 1, sizeof (float))),
+                  d_vlen(vlen)
+{
+}
+
+int
+gr_max_ff::work( int noutput_items,
+       gr_vector_const_void_star &input_items,
+       gr_vector_void_star &output_items)
+{
+       float *optr = (float *) output_items[0];
+
+       int ninputs = input_items.size ();
+       
+       for (int i=0; i<noutput_items; i++) {
+
+               float max = ((float *) input_items[0])[i*d_vlen];
+               
+               for (int j=0; j < (int) d_vlen; j++ ) {
+                       for (int k=0; k<ninputs; k++) {
+                               if ( ((float *) input_items[k])[i*d_vlen + j] > max) {
+                                       max = ((float*) input_items[k])[i*d_vlen + j];
+                               }
+                       }
+               }
+
+               *optr++ = (float) max;
+       }
+       return noutput_items;
+}
+
diff --git a/gnuradio-core/src/lib/gengen/gr_max_ff.h b/gnuradio-core/src/lib/gengen/gr_max_ff.h
new file mode 100644 (file)
index 0000000..ce0b055
--- /dev/null
@@ -0,0 +1,51 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_MAX_FF_H
+#define INCLUDED_GR_MAX_FF_H
+
+#include <gr_sync_block.h>
+
+class gr_max_ff;
+typedef boost::shared_ptr<gr_max_ff> gr_max_ff_sptr;
+
+gr_max_ff_sptr gr_make_max_ff (size_t vlen);
+
+
+class gr_max_ff : public gr_sync_block
+{
+  friend gr_max_ff_sptr gr_make_max_ff (size_t vlen);
+
+  gr_max_ff (size_t vlen);
+  size_t d_vlen;
+
+ public:
+
+  int work (int noutput_items,
+            gr_vector_const_void_star &input_items,
+            gr_vector_void_star &output_items);
+};
+
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_max_ff.i b/gnuradio-core/src/lib/gengen/gr_max_ff.i
new file mode 100644 (file)
index 0000000..06e8885
--- /dev/null
@@ -0,0 +1,34 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,max_ff)
+
+gr_max_ff_sptr gr_make_max_ff (size_t vlen);
+
+class gr_max_ff : public gr_sync_block
+{
+ private:
+  gr_max_ff (size_t vlen);
+  size_t d_vlen;
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_max_ii.cc b/gnuradio-core/src/lib/gengen/gr_max_ii.cc
new file mode 100644 (file)
index 0000000..6933ad5
--- /dev/null
@@ -0,0 +1,71 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_max_ii.h>
+#include <gr_io_signature.h>
+
+gr_max_ii_sptr
+gr_make_max_ii ( size_t vlen )
+{
+       return gr_max_ii_sptr ( new gr_max_ii(vlen));
+}
+
+gr_max_ii::gr_max_ii( size_t vlen)
+       : gr_sync_block ( "max_ii",
+                   gr_make_io_signature (1, -1, vlen*sizeof (int)),
+                   gr_make_io_signature (1, 1, sizeof (int))),
+                  d_vlen(vlen)
+{
+}
+
+int
+gr_max_ii::work( int noutput_items,
+       gr_vector_const_void_star &input_items,
+       gr_vector_void_star &output_items)
+{
+       int *optr = (int *) output_items[0];
+
+       int ninputs = input_items.size ();
+       
+       for (int i=0; i<noutput_items; i++) {
+
+               int max = ((int *) input_items[0])[i*d_vlen];
+               
+               for (int j=0; j < (int) d_vlen; j++ ) {
+                       for (int k=0; k<ninputs; k++) {
+                               if ( ((int *) input_items[k])[i*d_vlen + j] > max) {
+                                       max = ((int*) input_items[k])[i*d_vlen + j];
+                               }
+                       }
+               }
+
+               *optr++ = (int) max;
+       }
+       return noutput_items;
+}
+
diff --git a/gnuradio-core/src/lib/gengen/gr_max_ii.h b/gnuradio-core/src/lib/gengen/gr_max_ii.h
new file mode 100644 (file)
index 0000000..ebffdaa
--- /dev/null
@@ -0,0 +1,51 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_MAX_II_H
+#define INCLUDED_GR_MAX_II_H
+
+#include <gr_sync_block.h>
+
+class gr_max_ii;
+typedef boost::shared_ptr<gr_max_ii> gr_max_ii_sptr;
+
+gr_max_ii_sptr gr_make_max_ii (size_t vlen);
+
+
+class gr_max_ii : public gr_sync_block
+{
+  friend gr_max_ii_sptr gr_make_max_ii (size_t vlen);
+
+  gr_max_ii (size_t vlen);
+  size_t d_vlen;
+
+ public:
+
+  int work (int noutput_items,
+            gr_vector_const_void_star &input_items,
+            gr_vector_void_star &output_items);
+};
+
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_max_ii.i b/gnuradio-core/src/lib/gengen/gr_max_ii.i
new file mode 100644 (file)
index 0000000..050b902
--- /dev/null
@@ -0,0 +1,34 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,max_ii)
+
+gr_max_ii_sptr gr_make_max_ii (size_t vlen);
+
+class gr_max_ii : public gr_sync_block
+{
+ private:
+  gr_max_ii (size_t vlen);
+  size_t d_vlen;
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_max_ss.cc b/gnuradio-core/src/lib/gengen/gr_max_ss.cc
new file mode 100644 (file)
index 0000000..9121344
--- /dev/null
@@ -0,0 +1,71 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_max_ss.h>
+#include <gr_io_signature.h>
+
+gr_max_ss_sptr
+gr_make_max_ss ( size_t vlen )
+{
+       return gr_max_ss_sptr ( new gr_max_ss(vlen));
+}
+
+gr_max_ss::gr_max_ss( size_t vlen)
+       : gr_sync_block ( "max_ss",
+                   gr_make_io_signature (1, -1, vlen*sizeof (short)),
+                   gr_make_io_signature (1, 1, sizeof (short))),
+                  d_vlen(vlen)
+{
+}
+
+int
+gr_max_ss::work( int noutput_items,
+       gr_vector_const_void_star &input_items,
+       gr_vector_void_star &output_items)
+{
+       short *optr = (short *) output_items[0];
+
+       int ninputs = input_items.size ();
+       
+       for (int i=0; i<noutput_items; i++) {
+
+               short max = ((short *) input_items[0])[i*d_vlen];
+               
+               for (int j=0; j < (int) d_vlen; j++ ) {
+                       for (int k=0; k<ninputs; k++) {
+                               if ( ((short *) input_items[k])[i*d_vlen + j] > max) {
+                                       max = ((short*) input_items[k])[i*d_vlen + j];
+                               }
+                       }
+               }
+
+               *optr++ = (short) max;
+       }
+       return noutput_items;
+}
+
diff --git a/gnuradio-core/src/lib/gengen/gr_max_ss.h b/gnuradio-core/src/lib/gengen/gr_max_ss.h
new file mode 100644 (file)
index 0000000..ae68e4f
--- /dev/null
@@ -0,0 +1,51 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_MAX_SS_H
+#define INCLUDED_GR_MAX_SS_H
+
+#include <gr_sync_block.h>
+
+class gr_max_ss;
+typedef boost::shared_ptr<gr_max_ss> gr_max_ss_sptr;
+
+gr_max_ss_sptr gr_make_max_ss (size_t vlen);
+
+
+class gr_max_ss : public gr_sync_block
+{
+  friend gr_max_ss_sptr gr_make_max_ss (size_t vlen);
+
+  gr_max_ss (size_t vlen);
+  size_t d_vlen;
+
+ public:
+
+  int work (int noutput_items,
+            gr_vector_const_void_star &input_items,
+            gr_vector_void_star &output_items);
+};
+
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_max_ss.i b/gnuradio-core/src/lib/gengen/gr_max_ss.i
new file mode 100644 (file)
index 0000000..a081326
--- /dev/null
@@ -0,0 +1,34 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,max_ss)
+
+gr_max_ss_sptr gr_make_max_ss (size_t vlen);
+
+class gr_max_ss : public gr_sync_block
+{
+ private:
+  gr_max_ss (size_t vlen);
+  size_t d_vlen;
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_moving_average_cc.cc b/gnuradio-core/src/lib/gengen/gr_moving_average_cc.cc
new file mode 100644 (file)
index 0000000..96c5d56
--- /dev/null
@@ -0,0 +1,93 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_moving_average_cc.h>
+#include <gr_io_signature.h>
+
+gr_moving_average_cc_sptr 
+gr_make_moving_average_cc (int length, gr_complex scale, int max_iter)
+{
+  return gr_moving_average_cc_sptr (new gr_moving_average_cc (length, scale, max_iter));
+}
+
+gr_moving_average_cc::gr_moving_average_cc (int length, gr_complex scale, int max_iter)
+  : gr_sync_block ("moving_average_cc",
+                  gr_make_io_signature (1, 1, sizeof (gr_complex)),
+                  gr_make_io_signature (1, 1, sizeof (gr_complex))),
+  d_length(length),
+  d_scale(scale),
+  d_max_iter(max_iter),
+  d_new_length(length),
+  d_new_scale(scale),
+  d_updated(false)
+{
+  set_history(length);
+}
+
+gr_moving_average_cc::~gr_moving_average_cc ()
+{
+}
+
+void
+gr_moving_average_cc::set_length_and_scale(int length, gr_complex scale)
+{
+  d_new_length = length;
+  d_new_scale = scale;
+  d_updated = true;
+}
+
+int 
+gr_moving_average_cc::work (int noutput_items,
+             gr_vector_const_void_star &input_items,
+             gr_vector_void_star &output_items)
+{
+  if (d_updated) {
+    d_length = d_new_length;
+    d_scale = d_new_scale;
+    set_history(d_length);
+    d_updated = false;
+    return 0; // history requirements might have changed
+  }
+
+  const gr_complex *in = (const gr_complex *) input_items[0];
+  gr_complex *out = (gr_complex *) output_items[0];
+
+  gr_complex sum = 0;
+  int num_iter = (noutput_items>d_max_iter) ? d_max_iter : noutput_items;
+  for (int i = 0; i < d_length-1 ; i++) {
+    sum += in[i];
+  }
+
+  for (int i = 0; i < num_iter; i++) {
+    sum += in[i+d_length-1];
+    out[i] = sum * d_scale;
+    sum -= in[i];
+  }
+
+  return num_iter;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_moving_average_cc.h b/gnuradio-core/src/lib/gengen/gr_moving_average_cc.h
new file mode 100644 (file)
index 0000000..981933d
--- /dev/null
@@ -0,0 +1,71 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_MOVING_AVERAGE_CC_H
+#define INCLUDED_GR_MOVING_AVERAGE_CC_H
+
+#include <gr_sync_block.h>
+
+class gr_moving_average_cc;
+
+typedef boost::shared_ptr<gr_moving_average_cc> gr_moving_average_cc_sptr;
+
+gr_moving_average_cc_sptr gr_make_moving_average_cc (int length, gr_complex scale, int max_iter = 4096);
+
+/*!
+ * \brief output is the moving sum of the last N samples, scaled by the scale factor
+ * \ingroup filter_blk
+ *
+ * max_iter limits how long we go without flushing the accumulator
+ * This is necessary to avoid numerical instability for float and complex.
+ */
+class gr_moving_average_cc : public gr_sync_block
+{
+private:
+  friend gr_moving_average_cc_sptr gr_make_moving_average_cc(int length, gr_complex scale, int max_iter);
+
+  gr_moving_average_cc (int length, gr_complex scale, int max_iter = 4096);
+
+  int d_length;
+  gr_complex d_scale;
+  int d_max_iter;
+
+  int d_new_length;
+  gr_complex d_new_scale;
+  bool d_updated;
+
+public:
+  ~gr_moving_average_cc ();    
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+
+  int length() const { return d_new_length; }
+  gr_complex scale() const { return d_new_scale; }
+
+  void set_length_and_scale(int length, gr_complex scale);
+};
+
+#endif /* INCLUDED_GR_MOVING_AVERAGE_CC_H */
diff --git a/gnuradio-core/src/lib/gengen/gr_moving_average_cc.i b/gnuradio-core/src/lib/gengen/gr_moving_average_cc.i
new file mode 100644 (file)
index 0000000..8102e55
--- /dev/null
@@ -0,0 +1,38 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,moving_average_cc);
+
+gr_moving_average_cc_sptr gr_make_moving_average_cc (int length, gr_complex scale, int max_iter=4096);
+
+class gr_moving_average_cc : public gr_sync_block
+{
+private:
+  gr_moving_average_cc ();
+
+ public:
+  int length() const;
+  gr_complex scale() const;
+  void set_length_and_scale(int length, gr_complex scale);
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_moving_average_ff.cc b/gnuradio-core/src/lib/gengen/gr_moving_average_ff.cc
new file mode 100644 (file)
index 0000000..364c905
--- /dev/null
@@ -0,0 +1,93 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_moving_average_ff.h>
+#include <gr_io_signature.h>
+
+gr_moving_average_ff_sptr 
+gr_make_moving_average_ff (int length, float scale, int max_iter)
+{
+  return gr_moving_average_ff_sptr (new gr_moving_average_ff (length, scale, max_iter));
+}
+
+gr_moving_average_ff::gr_moving_average_ff (int length, float scale, int max_iter)
+  : gr_sync_block ("moving_average_ff",
+                  gr_make_io_signature (1, 1, sizeof (float)),
+                  gr_make_io_signature (1, 1, sizeof (float))),
+  d_length(length),
+  d_scale(scale),
+  d_max_iter(max_iter),
+  d_new_length(length),
+  d_new_scale(scale),
+  d_updated(false)
+{
+  set_history(length);
+}
+
+gr_moving_average_ff::~gr_moving_average_ff ()
+{
+}
+
+void
+gr_moving_average_ff::set_length_and_scale(int length, float scale)
+{
+  d_new_length = length;
+  d_new_scale = scale;
+  d_updated = true;
+}
+
+int 
+gr_moving_average_ff::work (int noutput_items,
+             gr_vector_const_void_star &input_items,
+             gr_vector_void_star &output_items)
+{
+  if (d_updated) {
+    d_length = d_new_length;
+    d_scale = d_new_scale;
+    set_history(d_length);
+    d_updated = false;
+    return 0; // history requirements might have changed
+  }
+
+  const float *in = (const float *) input_items[0];
+  float *out = (float *) output_items[0];
+
+  float sum = 0;
+  int num_iter = (noutput_items>d_max_iter) ? d_max_iter : noutput_items;
+  for (int i = 0; i < d_length-1 ; i++) {
+    sum += in[i];
+  }
+
+  for (int i = 0; i < num_iter; i++) {
+    sum += in[i+d_length-1];
+    out[i] = sum * d_scale;
+    sum -= in[i];
+  }
+
+  return num_iter;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_moving_average_ff.h b/gnuradio-core/src/lib/gengen/gr_moving_average_ff.h
new file mode 100644 (file)
index 0000000..404fd14
--- /dev/null
@@ -0,0 +1,71 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_MOVING_AVERAGE_FF_H
+#define INCLUDED_GR_MOVING_AVERAGE_FF_H
+
+#include <gr_sync_block.h>
+
+class gr_moving_average_ff;
+
+typedef boost::shared_ptr<gr_moving_average_ff> gr_moving_average_ff_sptr;
+
+gr_moving_average_ff_sptr gr_make_moving_average_ff (int length, float scale, int max_iter = 4096);
+
+/*!
+ * \brief output is the moving sum of the last N samples, scaled by the scale factor
+ * \ingroup filter_blk
+ *
+ * max_iter limits how long we go without flushing the accumulator
+ * This is necessary to avoid numerical instability for float and complex.
+ */
+class gr_moving_average_ff : public gr_sync_block
+{
+private:
+  friend gr_moving_average_ff_sptr gr_make_moving_average_ff(int length, float scale, int max_iter);
+
+  gr_moving_average_ff (int length, float scale, int max_iter = 4096);
+
+  int d_length;
+  float d_scale;
+  int d_max_iter;
+
+  int d_new_length;
+  float d_new_scale;
+  bool d_updated;
+
+public:
+  ~gr_moving_average_ff ();    
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+
+  int length() const { return d_new_length; }
+  float scale() const { return d_new_scale; }
+
+  void set_length_and_scale(int length, float scale);
+};
+
+#endif /* INCLUDED_GR_MOVING_AVERAGE_FF_H */
diff --git a/gnuradio-core/src/lib/gengen/gr_moving_average_ff.i b/gnuradio-core/src/lib/gengen/gr_moving_average_ff.i
new file mode 100644 (file)
index 0000000..1e3a670
--- /dev/null
@@ -0,0 +1,38 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,moving_average_ff);
+
+gr_moving_average_ff_sptr gr_make_moving_average_ff (int length, float scale, int max_iter=4096);
+
+class gr_moving_average_ff : public gr_sync_block
+{
+private:
+  gr_moving_average_ff ();
+
+ public:
+  int length() const;
+  float scale() const;
+  void set_length_and_scale(int length, float scale);
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_moving_average_ii.cc b/gnuradio-core/src/lib/gengen/gr_moving_average_ii.cc
new file mode 100644 (file)
index 0000000..4cb6470
--- /dev/null
@@ -0,0 +1,93 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_moving_average_ii.h>
+#include <gr_io_signature.h>
+
+gr_moving_average_ii_sptr 
+gr_make_moving_average_ii (int length, int scale, int max_iter)
+{
+  return gr_moving_average_ii_sptr (new gr_moving_average_ii (length, scale, max_iter));
+}
+
+gr_moving_average_ii::gr_moving_average_ii (int length, int scale, int max_iter)
+  : gr_sync_block ("moving_average_ii",
+                  gr_make_io_signature (1, 1, sizeof (int)),
+                  gr_make_io_signature (1, 1, sizeof (int))),
+  d_length(length),
+  d_scale(scale),
+  d_max_iter(max_iter),
+  d_new_length(length),
+  d_new_scale(scale),
+  d_updated(false)
+{
+  set_history(length);
+}
+
+gr_moving_average_ii::~gr_moving_average_ii ()
+{
+}
+
+void
+gr_moving_average_ii::set_length_and_scale(int length, int scale)
+{
+  d_new_length = length;
+  d_new_scale = scale;
+  d_updated = true;
+}
+
+int 
+gr_moving_average_ii::work (int noutput_items,
+             gr_vector_const_void_star &input_items,
+             gr_vector_void_star &output_items)
+{
+  if (d_updated) {
+    d_length = d_new_length;
+    d_scale = d_new_scale;
+    set_history(d_length);
+    d_updated = false;
+    return 0; // history requirements might have changed
+  }
+
+  const int *in = (const int *) input_items[0];
+  int *out = (int *) output_items[0];
+
+  int sum = 0;
+  int num_iter = (noutput_items>d_max_iter) ? d_max_iter : noutput_items;
+  for (int i = 0; i < d_length-1 ; i++) {
+    sum += in[i];
+  }
+
+  for (int i = 0; i < num_iter; i++) {
+    sum += in[i+d_length-1];
+    out[i] = sum * d_scale;
+    sum -= in[i];
+  }
+
+  return num_iter;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_moving_average_ii.h b/gnuradio-core/src/lib/gengen/gr_moving_average_ii.h
new file mode 100644 (file)
index 0000000..b979b0e
--- /dev/null
@@ -0,0 +1,71 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_MOVING_AVERAGE_II_H
+#define INCLUDED_GR_MOVING_AVERAGE_II_H
+
+#include <gr_sync_block.h>
+
+class gr_moving_average_ii;
+
+typedef boost::shared_ptr<gr_moving_average_ii> gr_moving_average_ii_sptr;
+
+gr_moving_average_ii_sptr gr_make_moving_average_ii (int length, int scale, int max_iter = 4096);
+
+/*!
+ * \brief output is the moving sum of the last N samples, scaled by the scale factor
+ * \ingroup filter_blk
+ *
+ * max_iter limits how long we go without flushing the accumulator
+ * This is necessary to avoid numerical instability for float and complex.
+ */
+class gr_moving_average_ii : public gr_sync_block
+{
+private:
+  friend gr_moving_average_ii_sptr gr_make_moving_average_ii(int length, int scale, int max_iter);
+
+  gr_moving_average_ii (int length, int scale, int max_iter = 4096);
+
+  int d_length;
+  int d_scale;
+  int d_max_iter;
+
+  int d_new_length;
+  int d_new_scale;
+  bool d_updated;
+
+public:
+  ~gr_moving_average_ii ();    
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+
+  int length() const { return d_new_length; }
+  int scale() const { return d_new_scale; }
+
+  void set_length_and_scale(int length, int scale);
+};
+
+#endif /* INCLUDED_GR_MOVING_AVERAGE_II_H */
diff --git a/gnuradio-core/src/lib/gengen/gr_moving_average_ii.i b/gnuradio-core/src/lib/gengen/gr_moving_average_ii.i
new file mode 100644 (file)
index 0000000..3306f3f
--- /dev/null
@@ -0,0 +1,38 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,moving_average_ii);
+
+gr_moving_average_ii_sptr gr_make_moving_average_ii (int length, int scale, int max_iter=4096);
+
+class gr_moving_average_ii : public gr_sync_block
+{
+private:
+  gr_moving_average_ii ();
+
+ public:
+  int length() const;
+  int scale() const;
+  void set_length_and_scale(int length, int scale);
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_moving_average_ss.cc b/gnuradio-core/src/lib/gengen/gr_moving_average_ss.cc
new file mode 100644 (file)
index 0000000..3ce0175
--- /dev/null
@@ -0,0 +1,93 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_moving_average_ss.h>
+#include <gr_io_signature.h>
+
+gr_moving_average_ss_sptr 
+gr_make_moving_average_ss (int length, short scale, int max_iter)
+{
+  return gr_moving_average_ss_sptr (new gr_moving_average_ss (length, scale, max_iter));
+}
+
+gr_moving_average_ss::gr_moving_average_ss (int length, short scale, int max_iter)
+  : gr_sync_block ("moving_average_ss",
+                  gr_make_io_signature (1, 1, sizeof (short)),
+                  gr_make_io_signature (1, 1, sizeof (short))),
+  d_length(length),
+  d_scale(scale),
+  d_max_iter(max_iter),
+  d_new_length(length),
+  d_new_scale(scale),
+  d_updated(false)
+{
+  set_history(length);
+}
+
+gr_moving_average_ss::~gr_moving_average_ss ()
+{
+}
+
+void
+gr_moving_average_ss::set_length_and_scale(int length, short scale)
+{
+  d_new_length = length;
+  d_new_scale = scale;
+  d_updated = true;
+}
+
+int 
+gr_moving_average_ss::work (int noutput_items,
+             gr_vector_const_void_star &input_items,
+             gr_vector_void_star &output_items)
+{
+  if (d_updated) {
+    d_length = d_new_length;
+    d_scale = d_new_scale;
+    set_history(d_length);
+    d_updated = false;
+    return 0; // history requirements might have changed
+  }
+
+  const short *in = (const short *) input_items[0];
+  short *out = (short *) output_items[0];
+
+  short sum = 0;
+  int num_iter = (noutput_items>d_max_iter) ? d_max_iter : noutput_items;
+  for (int i = 0; i < d_length-1 ; i++) {
+    sum += in[i];
+  }
+
+  for (int i = 0; i < num_iter; i++) {
+    sum += in[i+d_length-1];
+    out[i] = sum * d_scale;
+    sum -= in[i];
+  }
+
+  return num_iter;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_moving_average_ss.h b/gnuradio-core/src/lib/gengen/gr_moving_average_ss.h
new file mode 100644 (file)
index 0000000..bbb9d9f
--- /dev/null
@@ -0,0 +1,71 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_MOVING_AVERAGE_SS_H
+#define INCLUDED_GR_MOVING_AVERAGE_SS_H
+
+#include <gr_sync_block.h>
+
+class gr_moving_average_ss;
+
+typedef boost::shared_ptr<gr_moving_average_ss> gr_moving_average_ss_sptr;
+
+gr_moving_average_ss_sptr gr_make_moving_average_ss (int length, short scale, int max_iter = 4096);
+
+/*!
+ * \brief output is the moving sum of the last N samples, scaled by the scale factor
+ * \ingroup filter_blk
+ *
+ * max_iter limits how long we go without flushing the accumulator
+ * This is necessary to avoid numerical instability for float and complex.
+ */
+class gr_moving_average_ss : public gr_sync_block
+{
+private:
+  friend gr_moving_average_ss_sptr gr_make_moving_average_ss(int length, short scale, int max_iter);
+
+  gr_moving_average_ss (int length, short scale, int max_iter = 4096);
+
+  int d_length;
+  short d_scale;
+  int d_max_iter;
+
+  int d_new_length;
+  short d_new_scale;
+  bool d_updated;
+
+public:
+  ~gr_moving_average_ss ();    
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+
+  int length() const { return d_new_length; }
+  short scale() const { return d_new_scale; }
+
+  void set_length_and_scale(int length, short scale);
+};
+
+#endif /* INCLUDED_GR_MOVING_AVERAGE_SS_H */
diff --git a/gnuradio-core/src/lib/gengen/gr_moving_average_ss.i b/gnuradio-core/src/lib/gengen/gr_moving_average_ss.i
new file mode 100644 (file)
index 0000000..1473892
--- /dev/null
@@ -0,0 +1,38 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,moving_average_ss);
+
+gr_moving_average_ss_sptr gr_make_moving_average_ss (int length, short scale, int max_iter=4096);
+
+class gr_moving_average_ss : public gr_sync_block
+{
+private:
+  gr_moving_average_ss ();
+
+ public:
+  int length() const;
+  short scale() const;
+  void set_length_and_scale(int length, short scale);
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_multiply_cc.cc b/gnuradio-core/src/lib/gengen/gr_multiply_cc.cc
new file mode 100644 (file)
index 0000000..05b4211
--- /dev/null
@@ -0,0 +1,63 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004, 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_multiply_cc.h>
+#include <gr_io_signature.h>
+
+gr_multiply_cc_sptr
+gr_make_multiply_cc (size_t vlen)
+{
+  return gr_multiply_cc_sptr (new gr_multiply_cc (vlen));
+}
+
+gr_multiply_cc::gr_multiply_cc (size_t vlen)
+  : gr_sync_block ("multiply_cc",
+                  gr_make_io_signature (1, -1, sizeof (gr_complex)*vlen),
+                  gr_make_io_signature (1,  1, sizeof (gr_complex)*vlen)),
+  d_vlen (vlen)
+{
+}
+
+int
+gr_multiply_cc::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  gr_complex *optr = (gr_complex *) output_items[0];
+
+  int ninputs = input_items.size ();
+
+  for (int i = 0; i < noutput_items*d_vlen; i++){
+    gr_complex acc = ((gr_complex *) input_items[0])[i];
+    for (int j = 1; j < ninputs; j++)
+      acc *= ((gr_complex *) input_items[j])[i];
+
+    *optr++ = (gr_complex) acc;
+  }
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_multiply_cc.h b/gnuradio-core/src/lib/gengen/gr_multiply_cc.h
new file mode 100644 (file)
index 0000000..4c072aa
--- /dev/null
@@ -0,0 +1,56 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004, 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_MULTIPLY_CC_H
+#define INCLUDED_GR_MULTIPLY_CC_H
+
+#include <gr_sync_block.h>
+
+class gr_multiply_cc;
+typedef boost::shared_ptr<gr_multiply_cc> gr_multiply_cc_sptr;
+
+gr_multiply_cc_sptr gr_make_multiply_cc (size_t vlen = 1);
+
+/*!
+ * \brief output = prod (input_0, input_1, ...)
+ * \ingroup math_blk
+ *
+ * Multiply across all input streams.
+ */
+class gr_multiply_cc : public gr_sync_block
+{
+  friend gr_multiply_cc_sptr gr_make_multiply_cc (size_t vlen);
+
+  gr_multiply_cc (size_t vlen);
+
+  size_t d_vlen;
+
+ public:
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_multiply_cc.i b/gnuradio-core/src/lib/gengen/gr_multiply_cc.i
new file mode 100644 (file)
index 0000000..43e0230
--- /dev/null
@@ -0,0 +1,33 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004, 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,multiply_cc)
+
+gr_multiply_cc_sptr gr_make_multiply_cc (size_t vlen = 1);
+
+class gr_multiply_cc : public gr_sync_block
+{
+ private:
+  gr_multiply_cc (size_t vlen);
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_multiply_const_cc.cc b/gnuradio-core/src/lib/gengen/gr_multiply_const_cc.cc
new file mode 100644 (file)
index 0000000..a409e6f
--- /dev/null
@@ -0,0 +1,72 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_multiply_const_cc.h>
+#include <gr_io_signature.h>
+
+gr_multiply_const_cc_sptr
+gr_make_multiply_const_cc (gr_complex k)
+{
+  return gr_multiply_const_cc_sptr (new gr_multiply_const_cc (k));
+}
+
+gr_multiply_const_cc::gr_multiply_const_cc (gr_complex k)
+  : gr_sync_block ("multiply_const_cc",
+                  gr_make_io_signature (1, 1, sizeof (gr_complex)),
+                  gr_make_io_signature (1, 1, sizeof (gr_complex))),
+    d_k (k)
+{
+}
+
+int
+gr_multiply_const_cc::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  gr_complex *iptr = (gr_complex *) input_items[0];
+  gr_complex *optr = (gr_complex *) output_items[0];
+
+  int  size = noutput_items;
+
+  while (size >= 8){
+    *optr++ = *iptr++ * d_k;
+    *optr++ = *iptr++ * d_k;
+    *optr++ = *iptr++ * d_k;
+    *optr++ = *iptr++ * d_k;
+    *optr++ = *iptr++ * d_k;
+    *optr++ = *iptr++ * d_k;
+    *optr++ = *iptr++ * d_k;
+    *optr++ = *iptr++ * d_k;
+    size -= 8;
+  }
+
+  while (size-- > 0)
+    *optr++ = *iptr++ * d_k;
+  
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_multiply_const_cc.h b/gnuradio-core/src/lib/gengen/gr_multiply_const_cc.h
new file mode 100644 (file)
index 0000000..31d8e98
--- /dev/null
@@ -0,0 +1,55 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_MULTIPLY_CONST_CC_H
+#define INCLUDED_GR_MULTIPLY_CONST_CC_H
+
+#include <gr_sync_block.h>
+
+class gr_multiply_const_cc;
+typedef boost::shared_ptr<gr_multiply_const_cc> gr_multiply_const_cc_sptr;
+
+gr_multiply_const_cc_sptr gr_make_multiply_const_cc (gr_complex k);
+
+/*!
+ * \brief output = input * constant
+ * \ingroup math_blk
+ */
+class gr_multiply_const_cc : public gr_sync_block
+{
+  friend gr_multiply_const_cc_sptr gr_make_multiply_const_cc (gr_complex k);
+
+  gr_complex   d_k;            // the constant
+  gr_multiply_const_cc (gr_complex k);
+
+ public:
+  gr_complex k () const { return d_k; }
+  void set_k (gr_complex k) { d_k = k; }
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_multiply_const_cc.i b/gnuradio-core/src/lib/gengen/gr_multiply_const_cc.i
new file mode 100644 (file)
index 0000000..7e19be5
--- /dev/null
@@ -0,0 +1,37 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,multiply_const_cc)
+
+gr_multiply_const_cc_sptr gr_make_multiply_const_cc (gr_complex k);
+
+class gr_multiply_const_cc : public gr_sync_block
+{
+ private:
+  gr_multiply_const_cc (gr_complex k);
+
+ public:
+  gr_complex k () const { return d_k; }
+  void set_k (gr_complex k) { d_k = k; }
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_multiply_const_ff.cc b/gnuradio-core/src/lib/gengen/gr_multiply_const_ff.cc
new file mode 100644 (file)
index 0000000..69b0adb
--- /dev/null
@@ -0,0 +1,72 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_multiply_const_ff.h>
+#include <gr_io_signature.h>
+
+gr_multiply_const_ff_sptr
+gr_make_multiply_const_ff (float k)
+{
+  return gr_multiply_const_ff_sptr (new gr_multiply_const_ff (k));
+}
+
+gr_multiply_const_ff::gr_multiply_const_ff (float k)
+  : gr_sync_block ("multiply_const_ff",
+                  gr_make_io_signature (1, 1, sizeof (float)),
+                  gr_make_io_signature (1, 1, sizeof (float))),
+    d_k (k)
+{
+}
+
+int
+gr_multiply_const_ff::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  float *iptr = (float *) input_items[0];
+  float *optr = (float *) output_items[0];
+
+  int  size = noutput_items;
+
+  while (size >= 8){
+    *optr++ = *iptr++ * d_k;
+    *optr++ = *iptr++ * d_k;
+    *optr++ = *iptr++ * d_k;
+    *optr++ = *iptr++ * d_k;
+    *optr++ = *iptr++ * d_k;
+    *optr++ = *iptr++ * d_k;
+    *optr++ = *iptr++ * d_k;
+    *optr++ = *iptr++ * d_k;
+    size -= 8;
+  }
+
+  while (size-- > 0)
+    *optr++ = *iptr++ * d_k;
+  
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_multiply_const_ff.h b/gnuradio-core/src/lib/gengen/gr_multiply_const_ff.h
new file mode 100644 (file)
index 0000000..75eccbc
--- /dev/null
@@ -0,0 +1,55 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_MULTIPLY_CONST_FF_H
+#define INCLUDED_GR_MULTIPLY_CONST_FF_H
+
+#include <gr_sync_block.h>
+
+class gr_multiply_const_ff;
+typedef boost::shared_ptr<gr_multiply_const_ff> gr_multiply_const_ff_sptr;
+
+gr_multiply_const_ff_sptr gr_make_multiply_const_ff (float k);
+
+/*!
+ * \brief output = input * constant
+ * \ingroup math_blk
+ */
+class gr_multiply_const_ff : public gr_sync_block
+{
+  friend gr_multiply_const_ff_sptr gr_make_multiply_const_ff (float k);
+
+  float        d_k;            // the constant
+  gr_multiply_const_ff (float k);
+
+ public:
+  float k () const { return d_k; }
+  void set_k (float k) { d_k = k; }
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_multiply_const_ff.i b/gnuradio-core/src/lib/gengen/gr_multiply_const_ff.i
new file mode 100644 (file)
index 0000000..734d2fc
--- /dev/null
@@ -0,0 +1,37 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,multiply_const_ff)
+
+gr_multiply_const_ff_sptr gr_make_multiply_const_ff (float k);
+
+class gr_multiply_const_ff : public gr_sync_block
+{
+ private:
+  gr_multiply_const_ff (float k);
+
+ public:
+  float k () const { return d_k; }
+  void set_k (float k) { d_k = k; }
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_multiply_const_ii.cc b/gnuradio-core/src/lib/gengen/gr_multiply_const_ii.cc
new file mode 100644 (file)
index 0000000..634681f
--- /dev/null
@@ -0,0 +1,72 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_multiply_const_ii.h>
+#include <gr_io_signature.h>
+
+gr_multiply_const_ii_sptr
+gr_make_multiply_const_ii (int k)
+{
+  return gr_multiply_const_ii_sptr (new gr_multiply_const_ii (k));
+}
+
+gr_multiply_const_ii::gr_multiply_const_ii (int k)
+  : gr_sync_block ("multiply_const_ii",
+                  gr_make_io_signature (1, 1, sizeof (int)),
+                  gr_make_io_signature (1, 1, sizeof (int))),
+    d_k (k)
+{
+}
+
+int
+gr_multiply_const_ii::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  int *iptr = (int *) input_items[0];
+  int *optr = (int *) output_items[0];
+
+  int  size = noutput_items;
+
+  while (size >= 8){
+    *optr++ = *iptr++ * d_k;
+    *optr++ = *iptr++ * d_k;
+    *optr++ = *iptr++ * d_k;
+    *optr++ = *iptr++ * d_k;
+    *optr++ = *iptr++ * d_k;
+    *optr++ = *iptr++ * d_k;
+    *optr++ = *iptr++ * d_k;
+    *optr++ = *iptr++ * d_k;
+    size -= 8;
+  }
+
+  while (size-- > 0)
+    *optr++ = *iptr++ * d_k;
+  
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_multiply_const_ii.h b/gnuradio-core/src/lib/gengen/gr_multiply_const_ii.h
new file mode 100644 (file)
index 0000000..3ca5cf4
--- /dev/null
@@ -0,0 +1,55 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_MULTIPLY_CONST_II_H
+#define INCLUDED_GR_MULTIPLY_CONST_II_H
+
+#include <gr_sync_block.h>
+
+class gr_multiply_const_ii;
+typedef boost::shared_ptr<gr_multiply_const_ii> gr_multiply_const_ii_sptr;
+
+gr_multiply_const_ii_sptr gr_make_multiply_const_ii (int k);
+
+/*!
+ * \brief output = input * constant
+ * \ingroup math_blk
+ */
+class gr_multiply_const_ii : public gr_sync_block
+{
+  friend gr_multiply_const_ii_sptr gr_make_multiply_const_ii (int k);
+
+  int  d_k;            // the constant
+  gr_multiply_const_ii (int k);
+
+ public:
+  int k () const { return d_k; }
+  void set_k (int k) { d_k = k; }
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_multiply_const_ii.i b/gnuradio-core/src/lib/gengen/gr_multiply_const_ii.i
new file mode 100644 (file)
index 0000000..620941c
--- /dev/null
@@ -0,0 +1,37 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,multiply_const_ii)
+
+gr_multiply_const_ii_sptr gr_make_multiply_const_ii (int k);
+
+class gr_multiply_const_ii : public gr_sync_block
+{
+ private:
+  gr_multiply_const_ii (int k);
+
+ public:
+  int k () const { return d_k; }
+  void set_k (int k) { d_k = k; }
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_multiply_const_ss.cc b/gnuradio-core/src/lib/gengen/gr_multiply_const_ss.cc
new file mode 100644 (file)
index 0000000..ad53927
--- /dev/null
@@ -0,0 +1,72 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_multiply_const_ss.h>
+#include <gr_io_signature.h>
+
+gr_multiply_const_ss_sptr
+gr_make_multiply_const_ss (short k)
+{
+  return gr_multiply_const_ss_sptr (new gr_multiply_const_ss (k));
+}
+
+gr_multiply_const_ss::gr_multiply_const_ss (short k)
+  : gr_sync_block ("multiply_const_ss",
+                  gr_make_io_signature (1, 1, sizeof (short)),
+                  gr_make_io_signature (1, 1, sizeof (short))),
+    d_k (k)
+{
+}
+
+int
+gr_multiply_const_ss::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  short *iptr = (short *) input_items[0];
+  short *optr = (short *) output_items[0];
+
+  int  size = noutput_items;
+
+  while (size >= 8){
+    *optr++ = *iptr++ * d_k;
+    *optr++ = *iptr++ * d_k;
+    *optr++ = *iptr++ * d_k;
+    *optr++ = *iptr++ * d_k;
+    *optr++ = *iptr++ * d_k;
+    *optr++ = *iptr++ * d_k;
+    *optr++ = *iptr++ * d_k;
+    *optr++ = *iptr++ * d_k;
+    size -= 8;
+  }
+
+  while (size-- > 0)
+    *optr++ = *iptr++ * d_k;
+  
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_multiply_const_ss.h b/gnuradio-core/src/lib/gengen/gr_multiply_const_ss.h
new file mode 100644 (file)
index 0000000..150be9b
--- /dev/null
@@ -0,0 +1,55 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_MULTIPLY_CONST_SS_H
+#define INCLUDED_GR_MULTIPLY_CONST_SS_H
+
+#include <gr_sync_block.h>
+
+class gr_multiply_const_ss;
+typedef boost::shared_ptr<gr_multiply_const_ss> gr_multiply_const_ss_sptr;
+
+gr_multiply_const_ss_sptr gr_make_multiply_const_ss (short k);
+
+/*!
+ * \brief output = input * constant
+ * \ingroup math_blk
+ */
+class gr_multiply_const_ss : public gr_sync_block
+{
+  friend gr_multiply_const_ss_sptr gr_make_multiply_const_ss (short k);
+
+  short        d_k;            // the constant
+  gr_multiply_const_ss (short k);
+
+ public:
+  short k () const { return d_k; }
+  void set_k (short k) { d_k = k; }
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_multiply_const_ss.i b/gnuradio-core/src/lib/gengen/gr_multiply_const_ss.i
new file mode 100644 (file)
index 0000000..eb4fb7f
--- /dev/null
@@ -0,0 +1,37 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,multiply_const_ss)
+
+gr_multiply_const_ss_sptr gr_make_multiply_const_ss (short k);
+
+class gr_multiply_const_ss : public gr_sync_block
+{
+ private:
+  gr_multiply_const_ss (short k);
+
+ public:
+  short k () const { return d_k; }
+  void set_k (short k) { d_k = k; }
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_multiply_const_vcc.cc b/gnuradio-core/src/lib/gengen/gr_multiply_const_vcc.cc
new file mode 100644 (file)
index 0000000..686a1f4
--- /dev/null
@@ -0,0 +1,61 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_multiply_const_vcc.h>
+#include <gr_io_signature.h>
+
+gr_multiply_const_vcc_sptr
+gr_make_multiply_const_vcc (const std::vector<gr_complex> k)
+{
+  return gr_multiply_const_vcc_sptr (new gr_multiply_const_vcc (k));
+}
+
+gr_multiply_const_vcc::gr_multiply_const_vcc (const std::vector<gr_complex> k)
+  : gr_sync_block ("multiply_const_vcc",
+                  gr_make_io_signature (1, 1, sizeof(gr_complex)*k.size()),
+                  gr_make_io_signature (1, 1, sizeof(gr_complex)*k.size()))
+{
+  d_k = k;
+}
+
+int
+gr_multiply_const_vcc::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  gr_complex *iptr = (gr_complex *)input_items[0];
+  gr_complex *optr = (gr_complex *)output_items[0];
+  int nitems_per_block = output_signature()->sizeof_stream_item(0)/sizeof(gr_complex);
+
+  for (int i = 0; i < noutput_items; i++)
+    for (int j = 0; j < nitems_per_block; j++)
+      *optr++ = *iptr++ * d_k[j];
+  
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_multiply_const_vcc.h b/gnuradio-core/src/lib/gengen/gr_multiply_const_vcc.h
new file mode 100644 (file)
index 0000000..c30f249
--- /dev/null
@@ -0,0 +1,55 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_MULTIPLY_CONST_VCC_H
+#define INCLUDED_GR_MULTIPLY_CONST_VCC_H
+
+#include <gr_sync_block.h>
+
+class gr_multiply_const_vcc;
+typedef boost::shared_ptr<gr_multiply_const_vcc> gr_multiply_const_vcc_sptr;
+
+gr_multiply_const_vcc_sptr gr_make_multiply_const_vcc (const std::vector<gr_complex> k);
+
+/*!
+ * \brief output vector = input vector * constant vector (element-wise)
+ * \ingroup math_blk
+ */
+class gr_multiply_const_vcc : public gr_sync_block
+{
+  friend gr_multiply_const_vcc_sptr gr_make_multiply_const_vcc (const std::vector<gr_complex> k);
+
+  std::vector<gr_complex> d_k; // the constant
+  gr_multiply_const_vcc (const std::vector<gr_complex> k);
+
+ public:
+  const std::vector<gr_complex> k () const { return d_k; }
+  void set_k (const std::vector<gr_complex> k) { d_k = k; }
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_multiply_const_vcc.i b/gnuradio-core/src/lib/gengen/gr_multiply_const_vcc.i
new file mode 100644 (file)
index 0000000..dbe4e43
--- /dev/null
@@ -0,0 +1,37 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,multiply_const_vcc)
+
+gr_multiply_const_vcc_sptr gr_make_multiply_const_vcc (const std::vector<gr_complex> k);
+
+class gr_multiply_const_vcc : public gr_sync_block
+{
+ private:
+  gr_multiply_const_vcc (const std::vector<gr_complex> k);
+
+ public:
+  std::vector<gr_complex> k () const { return d_k; }
+  void set_k (const std::vector<gr_complex> k) { d_k = k; }
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_multiply_const_vff.cc b/gnuradio-core/src/lib/gengen/gr_multiply_const_vff.cc
new file mode 100644 (file)
index 0000000..b4315c2
--- /dev/null
@@ -0,0 +1,61 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_multiply_const_vff.h>
+#include <gr_io_signature.h>
+
+gr_multiply_const_vff_sptr
+gr_make_multiply_const_vff (const std::vector<float> k)
+{
+  return gr_multiply_const_vff_sptr (new gr_multiply_const_vff (k));
+}
+
+gr_multiply_const_vff::gr_multiply_const_vff (const std::vector<float> k)
+  : gr_sync_block ("multiply_const_vff",
+                  gr_make_io_signature (1, 1, sizeof(float)*k.size()),
+                  gr_make_io_signature (1, 1, sizeof(float)*k.size()))
+{
+  d_k = k;
+}
+
+int
+gr_multiply_const_vff::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  float *iptr = (float *)input_items[0];
+  float *optr = (float *)output_items[0];
+  int nitems_per_block = output_signature()->sizeof_stream_item(0)/sizeof(float);
+
+  for (int i = 0; i < noutput_items; i++)
+    for (int j = 0; j < nitems_per_block; j++)
+      *optr++ = *iptr++ * d_k[j];
+  
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_multiply_const_vff.h b/gnuradio-core/src/lib/gengen/gr_multiply_const_vff.h
new file mode 100644 (file)
index 0000000..fb5a67b
--- /dev/null
@@ -0,0 +1,55 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_MULTIPLY_CONST_VFF_H
+#define INCLUDED_GR_MULTIPLY_CONST_VFF_H
+
+#include <gr_sync_block.h>
+
+class gr_multiply_const_vff;
+typedef boost::shared_ptr<gr_multiply_const_vff> gr_multiply_const_vff_sptr;
+
+gr_multiply_const_vff_sptr gr_make_multiply_const_vff (const std::vector<float> k);
+
+/*!
+ * \brief output vector = input vector * constant vector (element-wise)
+ * \ingroup math_blk
+ */
+class gr_multiply_const_vff : public gr_sync_block
+{
+  friend gr_multiply_const_vff_sptr gr_make_multiply_const_vff (const std::vector<float> k);
+
+  std::vector<float> d_k; // the constant
+  gr_multiply_const_vff (const std::vector<float> k);
+
+ public:
+  const std::vector<float> k () const { return d_k; }
+  void set_k (const std::vector<float> k) { d_k = k; }
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_multiply_const_vff.i b/gnuradio-core/src/lib/gengen/gr_multiply_const_vff.i
new file mode 100644 (file)
index 0000000..2c9d911
--- /dev/null
@@ -0,0 +1,37 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,multiply_const_vff)
+
+gr_multiply_const_vff_sptr gr_make_multiply_const_vff (const std::vector<float> k);
+
+class gr_multiply_const_vff : public gr_sync_block
+{
+ private:
+  gr_multiply_const_vff (const std::vector<float> k);
+
+ public:
+  std::vector<float> k () const { return d_k; }
+  void set_k (const std::vector<float> k) { d_k = k; }
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_multiply_const_vii.cc b/gnuradio-core/src/lib/gengen/gr_multiply_const_vii.cc
new file mode 100644 (file)
index 0000000..19092ba
--- /dev/null
@@ -0,0 +1,61 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_multiply_const_vii.h>
+#include <gr_io_signature.h>
+
+gr_multiply_const_vii_sptr
+gr_make_multiply_const_vii (const std::vector<int> k)
+{
+  return gr_multiply_const_vii_sptr (new gr_multiply_const_vii (k));
+}
+
+gr_multiply_const_vii::gr_multiply_const_vii (const std::vector<int> k)
+  : gr_sync_block ("multiply_const_vii",
+                  gr_make_io_signature (1, 1, sizeof(int)*k.size()),
+                  gr_make_io_signature (1, 1, sizeof(int)*k.size()))
+{
+  d_k = k;
+}
+
+int
+gr_multiply_const_vii::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  int *iptr = (int *)input_items[0];
+  int *optr = (int *)output_items[0];
+  int nitems_per_block = output_signature()->sizeof_stream_item(0)/sizeof(int);
+
+  for (int i = 0; i < noutput_items; i++)
+    for (int j = 0; j < nitems_per_block; j++)
+      *optr++ = *iptr++ * d_k[j];
+  
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_multiply_const_vii.h b/gnuradio-core/src/lib/gengen/gr_multiply_const_vii.h
new file mode 100644 (file)
index 0000000..2117c26
--- /dev/null
@@ -0,0 +1,55 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_MULTIPLY_CONST_VII_H
+#define INCLUDED_GR_MULTIPLY_CONST_VII_H
+
+#include <gr_sync_block.h>
+
+class gr_multiply_const_vii;
+typedef boost::shared_ptr<gr_multiply_const_vii> gr_multiply_const_vii_sptr;
+
+gr_multiply_const_vii_sptr gr_make_multiply_const_vii (const std::vector<int> k);
+
+/*!
+ * \brief output vector = input vector * constant vector (element-wise)
+ * \ingroup math_blk
+ */
+class gr_multiply_const_vii : public gr_sync_block
+{
+  friend gr_multiply_const_vii_sptr gr_make_multiply_const_vii (const std::vector<int> k);
+
+  std::vector<int> d_k; // the constant
+  gr_multiply_const_vii (const std::vector<int> k);
+
+ public:
+  const std::vector<int> k () const { return d_k; }
+  void set_k (const std::vector<int> k) { d_k = k; }
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_multiply_const_vii.i b/gnuradio-core/src/lib/gengen/gr_multiply_const_vii.i
new file mode 100644 (file)
index 0000000..4b79288
--- /dev/null
@@ -0,0 +1,37 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,multiply_const_vii)
+
+gr_multiply_const_vii_sptr gr_make_multiply_const_vii (const std::vector<int> k);
+
+class gr_multiply_const_vii : public gr_sync_block
+{
+ private:
+  gr_multiply_const_vii (const std::vector<int> k);
+
+ public:
+  std::vector<int> k () const { return d_k; }
+  void set_k (const std::vector<int> k) { d_k = k; }
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_multiply_const_vss.cc b/gnuradio-core/src/lib/gengen/gr_multiply_const_vss.cc
new file mode 100644 (file)
index 0000000..d2bde15
--- /dev/null
@@ -0,0 +1,61 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_multiply_const_vss.h>
+#include <gr_io_signature.h>
+
+gr_multiply_const_vss_sptr
+gr_make_multiply_const_vss (const std::vector<short> k)
+{
+  return gr_multiply_const_vss_sptr (new gr_multiply_const_vss (k));
+}
+
+gr_multiply_const_vss::gr_multiply_const_vss (const std::vector<short> k)
+  : gr_sync_block ("multiply_const_vss",
+                  gr_make_io_signature (1, 1, sizeof(short)*k.size()),
+                  gr_make_io_signature (1, 1, sizeof(short)*k.size()))
+{
+  d_k = k;
+}
+
+int
+gr_multiply_const_vss::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  short *iptr = (short *)input_items[0];
+  short *optr = (short *)output_items[0];
+  int nitems_per_block = output_signature()->sizeof_stream_item(0)/sizeof(short);
+
+  for (int i = 0; i < noutput_items; i++)
+    for (int j = 0; j < nitems_per_block; j++)
+      *optr++ = *iptr++ * d_k[j];
+  
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_multiply_const_vss.h b/gnuradio-core/src/lib/gengen/gr_multiply_const_vss.h
new file mode 100644 (file)
index 0000000..d141148
--- /dev/null
@@ -0,0 +1,55 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_MULTIPLY_CONST_VSS_H
+#define INCLUDED_GR_MULTIPLY_CONST_VSS_H
+
+#include <gr_sync_block.h>
+
+class gr_multiply_const_vss;
+typedef boost::shared_ptr<gr_multiply_const_vss> gr_multiply_const_vss_sptr;
+
+gr_multiply_const_vss_sptr gr_make_multiply_const_vss (const std::vector<short> k);
+
+/*!
+ * \brief output vector = input vector * constant vector (element-wise)
+ * \ingroup math_blk
+ */
+class gr_multiply_const_vss : public gr_sync_block
+{
+  friend gr_multiply_const_vss_sptr gr_make_multiply_const_vss (const std::vector<short> k);
+
+  std::vector<short> d_k; // the constant
+  gr_multiply_const_vss (const std::vector<short> k);
+
+ public:
+  const std::vector<short> k () const { return d_k; }
+  void set_k (const std::vector<short> k) { d_k = k; }
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_multiply_const_vss.i b/gnuradio-core/src/lib/gengen/gr_multiply_const_vss.i
new file mode 100644 (file)
index 0000000..f00ca30
--- /dev/null
@@ -0,0 +1,37 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,multiply_const_vss)
+
+gr_multiply_const_vss_sptr gr_make_multiply_const_vss (const std::vector<short> k);
+
+class gr_multiply_const_vss : public gr_sync_block
+{
+ private:
+  gr_multiply_const_vss (const std::vector<short> k);
+
+ public:
+  std::vector<short> k () const { return d_k; }
+  void set_k (const std::vector<short> k) { d_k = k; }
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_multiply_ff.cc b/gnuradio-core/src/lib/gengen/gr_multiply_ff.cc
new file mode 100644 (file)
index 0000000..7277942
--- /dev/null
@@ -0,0 +1,63 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004, 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_multiply_ff.h>
+#include <gr_io_signature.h>
+
+gr_multiply_ff_sptr
+gr_make_multiply_ff (size_t vlen)
+{
+  return gr_multiply_ff_sptr (new gr_multiply_ff (vlen));
+}
+
+gr_multiply_ff::gr_multiply_ff (size_t vlen)
+  : gr_sync_block ("multiply_ff",
+                  gr_make_io_signature (1, -1, sizeof (float)*vlen),
+                  gr_make_io_signature (1,  1, sizeof (float)*vlen)),
+  d_vlen (vlen)
+{
+}
+
+int
+gr_multiply_ff::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  float *optr = (float *) output_items[0];
+
+  int ninputs = input_items.size ();
+
+  for (int i = 0; i < noutput_items*d_vlen; i++){
+    float acc = ((float *) input_items[0])[i];
+    for (int j = 1; j < ninputs; j++)
+      acc *= ((float *) input_items[j])[i];
+
+    *optr++ = (float) acc;
+  }
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_multiply_ff.h b/gnuradio-core/src/lib/gengen/gr_multiply_ff.h
new file mode 100644 (file)
index 0000000..c60a854
--- /dev/null
@@ -0,0 +1,56 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004, 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_MULTIPLY_FF_H
+#define INCLUDED_GR_MULTIPLY_FF_H
+
+#include <gr_sync_block.h>
+
+class gr_multiply_ff;
+typedef boost::shared_ptr<gr_multiply_ff> gr_multiply_ff_sptr;
+
+gr_multiply_ff_sptr gr_make_multiply_ff (size_t vlen = 1);
+
+/*!
+ * \brief output = prod (input_0, input_1, ...)
+ * \ingroup math_blk
+ *
+ * Multiply across all input streams.
+ */
+class gr_multiply_ff : public gr_sync_block
+{
+  friend gr_multiply_ff_sptr gr_make_multiply_ff (size_t vlen);
+
+  gr_multiply_ff (size_t vlen);
+
+  size_t d_vlen;
+
+ public:
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_multiply_ff.i b/gnuradio-core/src/lib/gengen/gr_multiply_ff.i
new file mode 100644 (file)
index 0000000..5af9209
--- /dev/null
@@ -0,0 +1,33 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004, 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,multiply_ff)
+
+gr_multiply_ff_sptr gr_make_multiply_ff (size_t vlen = 1);
+
+class gr_multiply_ff : public gr_sync_block
+{
+ private:
+  gr_multiply_ff (size_t vlen);
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_multiply_ii.cc b/gnuradio-core/src/lib/gengen/gr_multiply_ii.cc
new file mode 100644 (file)
index 0000000..3032339
--- /dev/null
@@ -0,0 +1,63 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004, 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_multiply_ii.h>
+#include <gr_io_signature.h>
+
+gr_multiply_ii_sptr
+gr_make_multiply_ii (size_t vlen)
+{
+  return gr_multiply_ii_sptr (new gr_multiply_ii (vlen));
+}
+
+gr_multiply_ii::gr_multiply_ii (size_t vlen)
+  : gr_sync_block ("multiply_ii",
+                  gr_make_io_signature (1, -1, sizeof (int)*vlen),
+                  gr_make_io_signature (1,  1, sizeof (int)*vlen)),
+  d_vlen (vlen)
+{
+}
+
+int
+gr_multiply_ii::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  int *optr = (int *) output_items[0];
+
+  int ninputs = input_items.size ();
+
+  for (int i = 0; i < noutput_items*d_vlen; i++){
+    int acc = ((int *) input_items[0])[i];
+    for (int j = 1; j < ninputs; j++)
+      acc *= ((int *) input_items[j])[i];
+
+    *optr++ = (int) acc;
+  }
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_multiply_ii.h b/gnuradio-core/src/lib/gengen/gr_multiply_ii.h
new file mode 100644 (file)
index 0000000..420e82b
--- /dev/null
@@ -0,0 +1,56 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004, 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_MULTIPLY_II_H
+#define INCLUDED_GR_MULTIPLY_II_H
+
+#include <gr_sync_block.h>
+
+class gr_multiply_ii;
+typedef boost::shared_ptr<gr_multiply_ii> gr_multiply_ii_sptr;
+
+gr_multiply_ii_sptr gr_make_multiply_ii (size_t vlen = 1);
+
+/*!
+ * \brief output = prod (input_0, input_1, ...)
+ * \ingroup math_blk
+ *
+ * Multiply across all input streams.
+ */
+class gr_multiply_ii : public gr_sync_block
+{
+  friend gr_multiply_ii_sptr gr_make_multiply_ii (size_t vlen);
+
+  gr_multiply_ii (size_t vlen);
+
+  size_t d_vlen;
+
+ public:
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_multiply_ii.i b/gnuradio-core/src/lib/gengen/gr_multiply_ii.i
new file mode 100644 (file)
index 0000000..cbcc737
--- /dev/null
@@ -0,0 +1,33 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004, 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,multiply_ii)
+
+gr_multiply_ii_sptr gr_make_multiply_ii (size_t vlen = 1);
+
+class gr_multiply_ii : public gr_sync_block
+{
+ private:
+  gr_multiply_ii (size_t vlen);
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_multiply_ss.cc b/gnuradio-core/src/lib/gengen/gr_multiply_ss.cc
new file mode 100644 (file)
index 0000000..6b379d8
--- /dev/null
@@ -0,0 +1,63 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004, 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_multiply_ss.h>
+#include <gr_io_signature.h>
+
+gr_multiply_ss_sptr
+gr_make_multiply_ss (size_t vlen)
+{
+  return gr_multiply_ss_sptr (new gr_multiply_ss (vlen));
+}
+
+gr_multiply_ss::gr_multiply_ss (size_t vlen)
+  : gr_sync_block ("multiply_ss",
+                  gr_make_io_signature (1, -1, sizeof (short)*vlen),
+                  gr_make_io_signature (1,  1, sizeof (short)*vlen)),
+  d_vlen (vlen)
+{
+}
+
+int
+gr_multiply_ss::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  short *optr = (short *) output_items[0];
+
+  int ninputs = input_items.size ();
+
+  for (int i = 0; i < noutput_items*d_vlen; i++){
+    short acc = ((short *) input_items[0])[i];
+    for (int j = 1; j < ninputs; j++)
+      acc *= ((short *) input_items[j])[i];
+
+    *optr++ = (short) acc;
+  }
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_multiply_ss.h b/gnuradio-core/src/lib/gengen/gr_multiply_ss.h
new file mode 100644 (file)
index 0000000..8dceb94
--- /dev/null
@@ -0,0 +1,56 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004, 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_MULTIPLY_SS_H
+#define INCLUDED_GR_MULTIPLY_SS_H
+
+#include <gr_sync_block.h>
+
+class gr_multiply_ss;
+typedef boost::shared_ptr<gr_multiply_ss> gr_multiply_ss_sptr;
+
+gr_multiply_ss_sptr gr_make_multiply_ss (size_t vlen = 1);
+
+/*!
+ * \brief output = prod (input_0, input_1, ...)
+ * \ingroup math_blk
+ *
+ * Multiply across all input streams.
+ */
+class gr_multiply_ss : public gr_sync_block
+{
+  friend gr_multiply_ss_sptr gr_make_multiply_ss (size_t vlen);
+
+  gr_multiply_ss (size_t vlen);
+
+  size_t d_vlen;
+
+ public:
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_multiply_ss.i b/gnuradio-core/src/lib/gengen/gr_multiply_ss.i
new file mode 100644 (file)
index 0000000..461a8fc
--- /dev/null
@@ -0,0 +1,33 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004, 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,multiply_ss)
+
+gr_multiply_ss_sptr gr_make_multiply_ss (size_t vlen = 1);
+
+class gr_multiply_ss : public gr_sync_block
+{
+ private:
+  gr_multiply_ss (size_t vlen);
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_mute_cc.cc b/gnuradio-core/src/lib/gengen/gr_mute_cc.cc
new file mode 100644 (file)
index 0000000..0de4e28
--- /dev/null
@@ -0,0 +1,79 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_mute_cc.h>
+#include <gr_io_signature.h>
+#include <string.h>
+
+
+gr_mute_cc_sptr
+gr_make_mute_cc (bool mute)
+{
+  return gr_mute_cc_sptr (new gr_mute_cc (mute));
+}
+
+gr_mute_cc::gr_mute_cc (bool mute)
+  : gr_sync_block ("mute_cc",
+                  gr_make_io_signature (1, 1, sizeof (gr_complex)),
+                  gr_make_io_signature (1, 1, sizeof (gr_complex))),
+    d_mute (mute)
+{
+}
+
+int
+gr_mute_cc::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  gr_complex *iptr = (gr_complex *) input_items[0];
+  gr_complex *optr = (gr_complex *) output_items[0];
+
+  int  size = noutput_items;
+
+  if (d_mute){
+    memset (optr, 0, noutput_items * sizeof(gr_complex));
+  }
+  else {
+    while (size >= 8){
+      *optr++ = *iptr++;
+      *optr++ = *iptr++;
+      *optr++ = *iptr++;
+      *optr++ = *iptr++;
+      *optr++ = *iptr++;
+      *optr++ = *iptr++;
+      *optr++ = *iptr++;
+      *optr++ = *iptr++;
+      size -= 8;
+    }
+
+    while (size-- > 0)
+      *optr++ = *iptr++;
+  }
+  
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_mute_cc.h b/gnuradio-core/src/lib/gengen/gr_mute_cc.h
new file mode 100644 (file)
index 0000000..51e5dc4
--- /dev/null
@@ -0,0 +1,55 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_MUTE_CC_H
+#define INCLUDED_GR_MUTE_CC_H
+
+#include <gr_sync_block.h>
+
+class gr_mute_cc;
+typedef boost::shared_ptr<gr_mute_cc> gr_mute_cc_sptr;
+
+gr_mute_cc_sptr gr_make_mute_cc (bool mute=false);
+
+/*!
+ * \brief output = input or zero if muted.
+ * \ingroup level_blk
+ */
+class gr_mute_cc : public gr_sync_block
+{
+  friend gr_mute_cc_sptr gr_make_mute_cc (bool mute);
+
+  bool         d_mute;
+  gr_mute_cc (bool mute);
+
+ public:
+  bool mute () const { return d_mute; }
+  void set_mute (bool mute) { d_mute = mute; }
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_mute_cc.i b/gnuradio-core/src/lib/gengen/gr_mute_cc.i
new file mode 100644 (file)
index 0000000..e527762
--- /dev/null
@@ -0,0 +1,37 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,mute_cc)
+
+gr_mute_cc_sptr gr_make_mute_cc(bool mute=false);
+
+class gr_mute_cc : public gr_sync_block
+{
+ private:
+  gr_mute_cc (bool mute);
+
+ public:
+  bool mute () const { return d_mute; }
+  void set_mute (bool mute) { d_mute = mute; }
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_mute_ff.cc b/gnuradio-core/src/lib/gengen/gr_mute_ff.cc
new file mode 100644 (file)
index 0000000..59f819b
--- /dev/null
@@ -0,0 +1,79 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_mute_ff.h>
+#include <gr_io_signature.h>
+#include <string.h>
+
+
+gr_mute_ff_sptr
+gr_make_mute_ff (bool mute)
+{
+  return gr_mute_ff_sptr (new gr_mute_ff (mute));
+}
+
+gr_mute_ff::gr_mute_ff (bool mute)
+  : gr_sync_block ("mute_ff",
+                  gr_make_io_signature (1, 1, sizeof (float)),
+                  gr_make_io_signature (1, 1, sizeof (float))),
+    d_mute (mute)
+{
+}
+
+int
+gr_mute_ff::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  float *iptr = (float *) input_items[0];
+  float *optr = (float *) output_items[0];
+
+  int  size = noutput_items;
+
+  if (d_mute){
+    memset (optr, 0, noutput_items * sizeof(float));
+  }
+  else {
+    while (size >= 8){
+      *optr++ = *iptr++;
+      *optr++ = *iptr++;
+      *optr++ = *iptr++;
+      *optr++ = *iptr++;
+      *optr++ = *iptr++;
+      *optr++ = *iptr++;
+      *optr++ = *iptr++;
+      *optr++ = *iptr++;
+      size -= 8;
+    }
+
+    while (size-- > 0)
+      *optr++ = *iptr++;
+  }
+  
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_mute_ff.h b/gnuradio-core/src/lib/gengen/gr_mute_ff.h
new file mode 100644 (file)
index 0000000..3a76fd8
--- /dev/null
@@ -0,0 +1,55 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_MUTE_FF_H
+#define INCLUDED_GR_MUTE_FF_H
+
+#include <gr_sync_block.h>
+
+class gr_mute_ff;
+typedef boost::shared_ptr<gr_mute_ff> gr_mute_ff_sptr;
+
+gr_mute_ff_sptr gr_make_mute_ff (bool mute=false);
+
+/*!
+ * \brief output = input or zero if muted.
+ * \ingroup level_blk
+ */
+class gr_mute_ff : public gr_sync_block
+{
+  friend gr_mute_ff_sptr gr_make_mute_ff (bool mute);
+
+  bool         d_mute;
+  gr_mute_ff (bool mute);
+
+ public:
+  bool mute () const { return d_mute; }
+  void set_mute (bool mute) { d_mute = mute; }
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_mute_ff.i b/gnuradio-core/src/lib/gengen/gr_mute_ff.i
new file mode 100644 (file)
index 0000000..63bf0a1
--- /dev/null
@@ -0,0 +1,37 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,mute_ff)
+
+gr_mute_ff_sptr gr_make_mute_ff(bool mute=false);
+
+class gr_mute_ff : public gr_sync_block
+{
+ private:
+  gr_mute_ff (bool mute);
+
+ public:
+  bool mute () const { return d_mute; }
+  void set_mute (bool mute) { d_mute = mute; }
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_mute_ii.cc b/gnuradio-core/src/lib/gengen/gr_mute_ii.cc
new file mode 100644 (file)
index 0000000..94f5ea8
--- /dev/null
@@ -0,0 +1,79 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_mute_ii.h>
+#include <gr_io_signature.h>
+#include <string.h>
+
+
+gr_mute_ii_sptr
+gr_make_mute_ii (bool mute)
+{
+  return gr_mute_ii_sptr (new gr_mute_ii (mute));
+}
+
+gr_mute_ii::gr_mute_ii (bool mute)
+  : gr_sync_block ("mute_ii",
+                  gr_make_io_signature (1, 1, sizeof (int)),
+                  gr_make_io_signature (1, 1, sizeof (int))),
+    d_mute (mute)
+{
+}
+
+int
+gr_mute_ii::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  int *iptr = (int *) input_items[0];
+  int *optr = (int *) output_items[0];
+
+  int  size = noutput_items;
+
+  if (d_mute){
+    memset (optr, 0, noutput_items * sizeof(int));
+  }
+  else {
+    while (size >= 8){
+      *optr++ = *iptr++;
+      *optr++ = *iptr++;
+      *optr++ = *iptr++;
+      *optr++ = *iptr++;
+      *optr++ = *iptr++;
+      *optr++ = *iptr++;
+      *optr++ = *iptr++;
+      *optr++ = *iptr++;
+      size -= 8;
+    }
+
+    while (size-- > 0)
+      *optr++ = *iptr++;
+  }
+  
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_mute_ii.h b/gnuradio-core/src/lib/gengen/gr_mute_ii.h
new file mode 100644 (file)
index 0000000..d809f8e
--- /dev/null
@@ -0,0 +1,55 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_MUTE_II_H
+#define INCLUDED_GR_MUTE_II_H
+
+#include <gr_sync_block.h>
+
+class gr_mute_ii;
+typedef boost::shared_ptr<gr_mute_ii> gr_mute_ii_sptr;
+
+gr_mute_ii_sptr gr_make_mute_ii (bool mute=false);
+
+/*!
+ * \brief output = input or zero if muted.
+ * \ingroup level_blk
+ */
+class gr_mute_ii : public gr_sync_block
+{
+  friend gr_mute_ii_sptr gr_make_mute_ii (bool mute);
+
+  bool         d_mute;
+  gr_mute_ii (bool mute);
+
+ public:
+  bool mute () const { return d_mute; }
+  void set_mute (bool mute) { d_mute = mute; }
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_mute_ii.i b/gnuradio-core/src/lib/gengen/gr_mute_ii.i
new file mode 100644 (file)
index 0000000..c52c56f
--- /dev/null
@@ -0,0 +1,37 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,mute_ii)
+
+gr_mute_ii_sptr gr_make_mute_ii(bool mute=false);
+
+class gr_mute_ii : public gr_sync_block
+{
+ private:
+  gr_mute_ii (bool mute);
+
+ public:
+  bool mute () const { return d_mute; }
+  void set_mute (bool mute) { d_mute = mute; }
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_mute_ss.cc b/gnuradio-core/src/lib/gengen/gr_mute_ss.cc
new file mode 100644 (file)
index 0000000..cb912ea
--- /dev/null
@@ -0,0 +1,79 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_mute_ss.h>
+#include <gr_io_signature.h>
+#include <string.h>
+
+
+gr_mute_ss_sptr
+gr_make_mute_ss (bool mute)
+{
+  return gr_mute_ss_sptr (new gr_mute_ss (mute));
+}
+
+gr_mute_ss::gr_mute_ss (bool mute)
+  : gr_sync_block ("mute_ss",
+                  gr_make_io_signature (1, 1, sizeof (short)),
+                  gr_make_io_signature (1, 1, sizeof (short))),
+    d_mute (mute)
+{
+}
+
+int
+gr_mute_ss::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  short *iptr = (short *) input_items[0];
+  short *optr = (short *) output_items[0];
+
+  int  size = noutput_items;
+
+  if (d_mute){
+    memset (optr, 0, noutput_items * sizeof(short));
+  }
+  else {
+    while (size >= 8){
+      *optr++ = *iptr++;
+      *optr++ = *iptr++;
+      *optr++ = *iptr++;
+      *optr++ = *iptr++;
+      *optr++ = *iptr++;
+      *optr++ = *iptr++;
+      *optr++ = *iptr++;
+      *optr++ = *iptr++;
+      size -= 8;
+    }
+
+    while (size-- > 0)
+      *optr++ = *iptr++;
+  }
+  
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_mute_ss.h b/gnuradio-core/src/lib/gengen/gr_mute_ss.h
new file mode 100644 (file)
index 0000000..80ca5f9
--- /dev/null
@@ -0,0 +1,55 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_MUTE_SS_H
+#define INCLUDED_GR_MUTE_SS_H
+
+#include <gr_sync_block.h>
+
+class gr_mute_ss;
+typedef boost::shared_ptr<gr_mute_ss> gr_mute_ss_sptr;
+
+gr_mute_ss_sptr gr_make_mute_ss (bool mute=false);
+
+/*!
+ * \brief output = input or zero if muted.
+ * \ingroup level_blk
+ */
+class gr_mute_ss : public gr_sync_block
+{
+  friend gr_mute_ss_sptr gr_make_mute_ss (bool mute);
+
+  bool         d_mute;
+  gr_mute_ss (bool mute);
+
+ public:
+  bool mute () const { return d_mute; }
+  void set_mute (bool mute) { d_mute = mute; }
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_mute_ss.i b/gnuradio-core/src/lib/gengen/gr_mute_ss.i
new file mode 100644 (file)
index 0000000..580b943
--- /dev/null
@@ -0,0 +1,37 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,mute_ss)
+
+gr_mute_ss_sptr gr_make_mute_ss(bool mute=false);
+
+class gr_mute_ss : public gr_sync_block
+{
+ private:
+  gr_mute_ss (bool mute);
+
+ public:
+  bool mute () const { return d_mute; }
+  void set_mute (bool mute) { d_mute = mute; }
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_noise_source_c.cc b/gnuradio-core/src/lib/gengen/gr_noise_source_c.cc
new file mode 100644 (file)
index 0000000..04ab4d7
--- /dev/null
@@ -0,0 +1,99 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <gr_noise_source_c.h>
+#include <gr_io_signature.h>
+#include <stdexcept>
+
+
+gr_noise_source_c_sptr 
+gr_make_noise_source_c (gr_noise_type_t type, float ampl, long seed)
+{
+  return gr_noise_source_c_sptr (new gr_noise_source_c (type, ampl, seed));
+}
+
+
+gr_noise_source_c::gr_noise_source_c (gr_noise_type_t type, float ampl, long seed)
+  : gr_sync_block ("noise_source_c",
+                  gr_make_io_signature (0, 0, 0),
+                  gr_make_io_signature (1, 1, sizeof (gr_complex))),
+    d_type (type),
+    d_ampl (ampl),
+    d_rng (seed)
+{
+}
+
+int
+gr_noise_source_c::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  gr_complex *out = (gr_complex *) output_items[0];
+  
+  switch (d_type){
+#if 1  // complex?
+
+  case GR_UNIFORM:
+    for (int i = 0; i < noutput_items; i++)
+      out[i] = gr_complex (d_ampl * ((d_rng.ran1 () * 2.0) - 1.0),
+                          d_ampl * ((d_rng.ran1 () * 2.0) - 1.0));
+    break;
+
+  case GR_GAUSSIAN:
+    for (int i = 0; i < noutput_items; i++)
+      out[i] = d_ampl * d_rng.rayleigh_complex ();
+    break;
+
+#else                  // nope...
+
+  case GR_UNIFORM:
+    for (int i = 0; i < noutput_items; i++)
+      out[i] = (gr_complex)(d_ampl * ((d_rng.ran1 () * 2.0) - 1.0));
+    break;
+
+  case GR_GAUSSIAN:
+    for (int i = 0; i < noutput_items; i++)
+      out[i] = (gr_complex)(d_ampl * d_rng.gasdev ());
+    break;
+    
+  case GR_LAPLACIAN:
+    for (int i = 0; i < noutput_items; i++)
+      out[i] = (gr_complex)(d_ampl * d_rng.laplacian ());
+    break;
+    
+  case GR_IMPULSE:     // FIXME changeable impulse settings
+    for (int i = 0; i < noutput_items; i++)
+      out[i] = (gr_complex)(d_ampl * d_rng.impulse (9));
+    break;
+#endif
+    
+  default:
+    throw std::runtime_error ("invalid type");
+  }
+
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_noise_source_c.h b/gnuradio-core/src/lib/gengen/gr_noise_source_c.h
new file mode 100644 (file)
index 0000000..6d5cc2d
--- /dev/null
@@ -0,0 +1,63 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_NOISE_SOURCE_C_H
+#define INCLUDED_GR_NOISE_SOURCE_C_H
+
+#include <gr_sync_block.h>
+#include <gr_noise_type.h>
+#include <gr_random.h>
+
+
+class gr_noise_source_c;
+typedef boost::shared_ptr<gr_noise_source_c> gr_noise_source_c_sptr;
+
+gr_noise_source_c_sptr 
+gr_make_noise_source_c (gr_noise_type_t type, float ampl, long seed = 3021);
+
+/*!
+ * \brief random number source
+ * \ingroup source_blk
+ */
+class gr_noise_source_c : public gr_sync_block {
+  friend gr_noise_source_c_sptr 
+  gr_make_noise_source_c (gr_noise_type_t type, float ampl, long seed);
+
+  gr_noise_type_t      d_type;
+  float                        d_ampl;
+  gr_random            d_rng;
+
+  gr_noise_source_c (gr_noise_type_t type, float ampl, long seed = 3021);
+
+ public:
+  void set_type (gr_noise_type_t type) { d_type = type; }
+  void set_amplitude (float ampl) { d_ampl = ampl; }
+
+  virtual int work (int noutput_items,
+                        gr_vector_const_void_star &input_items,
+                        gr_vector_void_star &output_items);
+};
+
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_noise_source_c.i b/gnuradio-core/src/lib/gengen/gr_noise_source_c.i
new file mode 100644 (file)
index 0000000..6687b85
--- /dev/null
@@ -0,0 +1,37 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,noise_source_c);
+
+gr_noise_source_c_sptr 
+gr_make_noise_source_c (gr_noise_type_t type, float ampl, long seed = 3021);
+
+class gr_noise_source_c : public gr_block {
+ private:
+  gr_noise_source_c (gr_noise_type_t type, float ampl, long seed = 3021);
+
+ public:
+  void set_type (gr_noise_type_t type) { d_type = type; }
+  void set_amplitude (float ampl) { d_ampl = ampl; }
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_noise_source_f.cc b/gnuradio-core/src/lib/gengen/gr_noise_source_f.cc
new file mode 100644 (file)
index 0000000..1067c9d
--- /dev/null
@@ -0,0 +1,99 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <gr_noise_source_f.h>
+#include <gr_io_signature.h>
+#include <stdexcept>
+
+
+gr_noise_source_f_sptr 
+gr_make_noise_source_f (gr_noise_type_t type, float ampl, long seed)
+{
+  return gr_noise_source_f_sptr (new gr_noise_source_f (type, ampl, seed));
+}
+
+
+gr_noise_source_f::gr_noise_source_f (gr_noise_type_t type, float ampl, long seed)
+  : gr_sync_block ("noise_source_f",
+                  gr_make_io_signature (0, 0, 0),
+                  gr_make_io_signature (1, 1, sizeof (float))),
+    d_type (type),
+    d_ampl (ampl),
+    d_rng (seed)
+{
+}
+
+int
+gr_noise_source_f::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  float *out = (float *) output_items[0];
+  
+  switch (d_type){
+#if 0  // complex?
+
+  case GR_UNIFORM:
+    for (int i = 0; i < noutput_items; i++)
+      out[i] = gr_complex (d_ampl * ((d_rng.ran1 () * 2.0) - 1.0),
+                          d_ampl * ((d_rng.ran1 () * 2.0) - 1.0));
+    break;
+
+  case GR_GAUSSIAN:
+    for (int i = 0; i < noutput_items; i++)
+      out[i] = d_ampl * d_rng.rayleigh_complex ();
+    break;
+
+#else                  // nope...
+
+  case GR_UNIFORM:
+    for (int i = 0; i < noutput_items; i++)
+      out[i] = (float)(d_ampl * ((d_rng.ran1 () * 2.0) - 1.0));
+    break;
+
+  case GR_GAUSSIAN:
+    for (int i = 0; i < noutput_items; i++)
+      out[i] = (float)(d_ampl * d_rng.gasdev ());
+    break;
+    
+  case GR_LAPLACIAN:
+    for (int i = 0; i < noutput_items; i++)
+      out[i] = (float)(d_ampl * d_rng.laplacian ());
+    break;
+    
+  case GR_IMPULSE:     // FIXME changeable impulse settings
+    for (int i = 0; i < noutput_items; i++)
+      out[i] = (float)(d_ampl * d_rng.impulse (9));
+    break;
+#endif
+    
+  default:
+    throw std::runtime_error ("invalid type");
+  }
+
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_noise_source_f.h b/gnuradio-core/src/lib/gengen/gr_noise_source_f.h
new file mode 100644 (file)
index 0000000..d07361e
--- /dev/null
@@ -0,0 +1,63 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_NOISE_SOURCE_F_H
+#define INCLUDED_GR_NOISE_SOURCE_F_H
+
+#include <gr_sync_block.h>
+#include <gr_noise_type.h>
+#include <gr_random.h>
+
+
+class gr_noise_source_f;
+typedef boost::shared_ptr<gr_noise_source_f> gr_noise_source_f_sptr;
+
+gr_noise_source_f_sptr 
+gr_make_noise_source_f (gr_noise_type_t type, float ampl, long seed = 3021);
+
+/*!
+ * \brief random number source
+ * \ingroup source_blk
+ */
+class gr_noise_source_f : public gr_sync_block {
+  friend gr_noise_source_f_sptr 
+  gr_make_noise_source_f (gr_noise_type_t type, float ampl, long seed);
+
+  gr_noise_type_t      d_type;
+  float                        d_ampl;
+  gr_random            d_rng;
+
+  gr_noise_source_f (gr_noise_type_t type, float ampl, long seed = 3021);
+
+ public:
+  void set_type (gr_noise_type_t type) { d_type = type; }
+  void set_amplitude (float ampl) { d_ampl = ampl; }
+
+  virtual int work (int noutput_items,
+                        gr_vector_const_void_star &input_items,
+                        gr_vector_void_star &output_items);
+};
+
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_noise_source_f.i b/gnuradio-core/src/lib/gengen/gr_noise_source_f.i
new file mode 100644 (file)
index 0000000..0fb3326
--- /dev/null
@@ -0,0 +1,37 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,noise_source_f);
+
+gr_noise_source_f_sptr 
+gr_make_noise_source_f (gr_noise_type_t type, float ampl, long seed = 3021);
+
+class gr_noise_source_f : public gr_block {
+ private:
+  gr_noise_source_f (gr_noise_type_t type, float ampl, long seed = 3021);
+
+ public:
+  void set_type (gr_noise_type_t type) { d_type = type; }
+  void set_amplitude (float ampl) { d_ampl = ampl; }
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_noise_source_i.cc b/gnuradio-core/src/lib/gengen/gr_noise_source_i.cc
new file mode 100644 (file)
index 0000000..a191cca
--- /dev/null
@@ -0,0 +1,99 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <gr_noise_source_i.h>
+#include <gr_io_signature.h>
+#include <stdexcept>
+
+
+gr_noise_source_i_sptr 
+gr_make_noise_source_i (gr_noise_type_t type, float ampl, long seed)
+{
+  return gr_noise_source_i_sptr (new gr_noise_source_i (type, ampl, seed));
+}
+
+
+gr_noise_source_i::gr_noise_source_i (gr_noise_type_t type, float ampl, long seed)
+  : gr_sync_block ("noise_source_i",
+                  gr_make_io_signature (0, 0, 0),
+                  gr_make_io_signature (1, 1, sizeof (int))),
+    d_type (type),
+    d_ampl (ampl),
+    d_rng (seed)
+{
+}
+
+int
+gr_noise_source_i::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  int *out = (int *) output_items[0];
+  
+  switch (d_type){
+#if 0  // complex?
+
+  case GR_UNIFORM:
+    for (int i = 0; i < noutput_items; i++)
+      out[i] = gr_complex (d_ampl * ((d_rng.ran1 () * 2.0) - 1.0),
+                          d_ampl * ((d_rng.ran1 () * 2.0) - 1.0));
+    break;
+
+  case GR_GAUSSIAN:
+    for (int i = 0; i < noutput_items; i++)
+      out[i] = d_ampl * d_rng.rayleigh_complex ();
+    break;
+
+#else                  // nope...
+
+  case GR_UNIFORM:
+    for (int i = 0; i < noutput_items; i++)
+      out[i] = (int)(d_ampl * ((d_rng.ran1 () * 2.0) - 1.0));
+    break;
+
+  case GR_GAUSSIAN:
+    for (int i = 0; i < noutput_items; i++)
+      out[i] = (int)(d_ampl * d_rng.gasdev ());
+    break;
+    
+  case GR_LAPLACIAN:
+    for (int i = 0; i < noutput_items; i++)
+      out[i] = (int)(d_ampl * d_rng.laplacian ());
+    break;
+    
+  case GR_IMPULSE:     // FIXME changeable impulse settings
+    for (int i = 0; i < noutput_items; i++)
+      out[i] = (int)(d_ampl * d_rng.impulse (9));
+    break;
+#endif
+    
+  default:
+    throw std::runtime_error ("invalid type");
+  }
+
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_noise_source_i.h b/gnuradio-core/src/lib/gengen/gr_noise_source_i.h
new file mode 100644 (file)
index 0000000..b327237
--- /dev/null
@@ -0,0 +1,63 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_NOISE_SOURCE_I_H
+#define INCLUDED_GR_NOISE_SOURCE_I_H
+
+#include <gr_sync_block.h>
+#include <gr_noise_type.h>
+#include <gr_random.h>
+
+
+class gr_noise_source_i;
+typedef boost::shared_ptr<gr_noise_source_i> gr_noise_source_i_sptr;
+
+gr_noise_source_i_sptr 
+gr_make_noise_source_i (gr_noise_type_t type, float ampl, long seed = 3021);
+
+/*!
+ * \brief random number source
+ * \ingroup source_blk
+ */
+class gr_noise_source_i : public gr_sync_block {
+  friend gr_noise_source_i_sptr 
+  gr_make_noise_source_i (gr_noise_type_t type, float ampl, long seed);
+
+  gr_noise_type_t      d_type;
+  float                        d_ampl;
+  gr_random            d_rng;
+
+  gr_noise_source_i (gr_noise_type_t type, float ampl, long seed = 3021);
+
+ public:
+  void set_type (gr_noise_type_t type) { d_type = type; }
+  void set_amplitude (float ampl) { d_ampl = ampl; }
+
+  virtual int work (int noutput_items,
+                        gr_vector_const_void_star &input_items,
+                        gr_vector_void_star &output_items);
+};
+
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_noise_source_i.i b/gnuradio-core/src/lib/gengen/gr_noise_source_i.i
new file mode 100644 (file)
index 0000000..e18d81c
--- /dev/null
@@ -0,0 +1,37 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,noise_source_i);
+
+gr_noise_source_i_sptr 
+gr_make_noise_source_i (gr_noise_type_t type, float ampl, long seed = 3021);
+
+class gr_noise_source_i : public gr_block {
+ private:
+  gr_noise_source_i (gr_noise_type_t type, float ampl, long seed = 3021);
+
+ public:
+  void set_type (gr_noise_type_t type) { d_type = type; }
+  void set_amplitude (float ampl) { d_ampl = ampl; }
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_noise_source_s.cc b/gnuradio-core/src/lib/gengen/gr_noise_source_s.cc
new file mode 100644 (file)
index 0000000..6ccafcf
--- /dev/null
@@ -0,0 +1,99 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <gr_noise_source_s.h>
+#include <gr_io_signature.h>
+#include <stdexcept>
+
+
+gr_noise_source_s_sptr 
+gr_make_noise_source_s (gr_noise_type_t type, float ampl, long seed)
+{
+  return gr_noise_source_s_sptr (new gr_noise_source_s (type, ampl, seed));
+}
+
+
+gr_noise_source_s::gr_noise_source_s (gr_noise_type_t type, float ampl, long seed)
+  : gr_sync_block ("noise_source_s",
+                  gr_make_io_signature (0, 0, 0),
+                  gr_make_io_signature (1, 1, sizeof (short))),
+    d_type (type),
+    d_ampl (ampl),
+    d_rng (seed)
+{
+}
+
+int
+gr_noise_source_s::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  short *out = (short *) output_items[0];
+  
+  switch (d_type){
+#if 0  // complex?
+
+  case GR_UNIFORM:
+    for (int i = 0; i < noutput_items; i++)
+      out[i] = gr_complex (d_ampl * ((d_rng.ran1 () * 2.0) - 1.0),
+                          d_ampl * ((d_rng.ran1 () * 2.0) - 1.0));
+    break;
+
+  case GR_GAUSSIAN:
+    for (int i = 0; i < noutput_items; i++)
+      out[i] = d_ampl * d_rng.rayleigh_complex ();
+    break;
+
+#else                  // nope...
+
+  case GR_UNIFORM:
+    for (int i = 0; i < noutput_items; i++)
+      out[i] = (short)(d_ampl * ((d_rng.ran1 () * 2.0) - 1.0));
+    break;
+
+  case GR_GAUSSIAN:
+    for (int i = 0; i < noutput_items; i++)
+      out[i] = (short)(d_ampl * d_rng.gasdev ());
+    break;
+    
+  case GR_LAPLACIAN:
+    for (int i = 0; i < noutput_items; i++)
+      out[i] = (short)(d_ampl * d_rng.laplacian ());
+    break;
+    
+  case GR_IMPULSE:     // FIXME changeable impulse settings
+    for (int i = 0; i < noutput_items; i++)
+      out[i] = (short)(d_ampl * d_rng.impulse (9));
+    break;
+#endif
+    
+  default:
+    throw std::runtime_error ("invalid type");
+  }
+
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_noise_source_s.h b/gnuradio-core/src/lib/gengen/gr_noise_source_s.h
new file mode 100644 (file)
index 0000000..25bbe51
--- /dev/null
@@ -0,0 +1,63 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_NOISE_SOURCE_S_H
+#define INCLUDED_GR_NOISE_SOURCE_S_H
+
+#include <gr_sync_block.h>
+#include <gr_noise_type.h>
+#include <gr_random.h>
+
+
+class gr_noise_source_s;
+typedef boost::shared_ptr<gr_noise_source_s> gr_noise_source_s_sptr;
+
+gr_noise_source_s_sptr 
+gr_make_noise_source_s (gr_noise_type_t type, float ampl, long seed = 3021);
+
+/*!
+ * \brief random number source
+ * \ingroup source_blk
+ */
+class gr_noise_source_s : public gr_sync_block {
+  friend gr_noise_source_s_sptr 
+  gr_make_noise_source_s (gr_noise_type_t type, float ampl, long seed);
+
+  gr_noise_type_t      d_type;
+  float                        d_ampl;
+  gr_random            d_rng;
+
+  gr_noise_source_s (gr_noise_type_t type, float ampl, long seed = 3021);
+
+ public:
+  void set_type (gr_noise_type_t type) { d_type = type; }
+  void set_amplitude (float ampl) { d_ampl = ampl; }
+
+  virtual int work (int noutput_items,
+                        gr_vector_const_void_star &input_items,
+                        gr_vector_void_star &output_items);
+};
+
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_noise_source_s.i b/gnuradio-core/src/lib/gengen/gr_noise_source_s.i
new file mode 100644 (file)
index 0000000..32c10de
--- /dev/null
@@ -0,0 +1,37 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,noise_source_s);
+
+gr_noise_source_s_sptr 
+gr_make_noise_source_s (gr_noise_type_t type, float ampl, long seed = 3021);
+
+class gr_noise_source_s : public gr_block {
+ private:
+  gr_noise_source_s (gr_noise_type_t type, float ampl, long seed = 3021);
+
+ public:
+  void set_type (gr_noise_type_t type) { d_type = type; }
+  void set_amplitude (float ampl) { d_ampl = ampl; }
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_not_bb.cc b/gnuradio-core/src/lib/gengen/gr_not_bb.cc
new file mode 100644 (file)
index 0000000..11252c1
--- /dev/null
@@ -0,0 +1,58 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_not_bb.h>
+#include <gr_io_signature.h>
+
+gr_not_bb_sptr
+gr_make_not_bb ()
+{
+  return gr_not_bb_sptr (new gr_not_bb ());
+}
+
+gr_not_bb::gr_not_bb ()
+  : gr_sync_block ("not_bb",
+                  gr_make_io_signature (1,  1, sizeof (unsigned char)),
+                  gr_make_io_signature (1,  1, sizeof (unsigned char)))
+{
+}
+
+int
+gr_not_bb::work (int noutput_items,
+             gr_vector_const_void_star &input_items,
+             gr_vector_void_star &output_items)
+{
+  unsigned char *optr =  (unsigned char *) output_items[0];
+  const unsigned char *inptr = (const unsigned char *) input_items[0];
+
+
+  for (int i = 0; i < noutput_items; i++) {
+    *optr++ = ~(inptr[i]);
+  }
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_not_bb.h b/gnuradio-core/src/lib/gengen/gr_not_bb.h
new file mode 100644 (file)
index 0000000..8e51b97
--- /dev/null
@@ -0,0 +1,54 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_NOT_BB_H
+#define INCLUDED_GR_NOT_BB_H
+
+#include <gr_sync_block.h>
+
+class gr_not_bb;
+typedef boost::shared_ptr<gr_not_bb> gr_not_bb_sptr;
+
+gr_not_bb_sptr gr_make_not_bb ();
+
+/*!
+ * \brief output = ~input_0 
+ * \ingroup math_blk
+ *
+ * bitwise boolean not across input stream.
+ */
+class gr_not_bb : public gr_sync_block
+{
+  friend gr_not_bb_sptr gr_make_not_bb ();
+
+  gr_not_bb ();
+
+ public:
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_not_bb.i b/gnuradio-core/src/lib/gengen/gr_not_bb.i
new file mode 100644 (file)
index 0000000..b6ed3bc
--- /dev/null
@@ -0,0 +1,33 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,not_bb)
+
+gr_not_bb_sptr gr_make_not_bb ();
+
+class gr_not_bb : public gr_sync_block
+{
+ private:
+  gr_not_bb ();
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_not_ii.cc b/gnuradio-core/src/lib/gengen/gr_not_ii.cc
new file mode 100644 (file)
index 0000000..abd0657
--- /dev/null
@@ -0,0 +1,58 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_not_ii.h>
+#include <gr_io_signature.h>
+
+gr_not_ii_sptr
+gr_make_not_ii ()
+{
+  return gr_not_ii_sptr (new gr_not_ii ());
+}
+
+gr_not_ii::gr_not_ii ()
+  : gr_sync_block ("not_ii",
+                  gr_make_io_signature (1,  1, sizeof (int)),
+                  gr_make_io_signature (1,  1, sizeof (int)))
+{
+}
+
+int
+gr_not_ii::work (int noutput_items,
+             gr_vector_const_void_star &input_items,
+             gr_vector_void_star &output_items)
+{
+  int *optr =  (int *) output_items[0];
+  const int *inptr = (const int *) input_items[0];
+
+
+  for (int i = 0; i < noutput_items; i++) {
+    *optr++ = ~(inptr[i]);
+  }
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_not_ii.h b/gnuradio-core/src/lib/gengen/gr_not_ii.h
new file mode 100644 (file)
index 0000000..6d1781d
--- /dev/null
@@ -0,0 +1,54 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_NOT_II_H
+#define INCLUDED_GR_NOT_II_H
+
+#include <gr_sync_block.h>
+
+class gr_not_ii;
+typedef boost::shared_ptr<gr_not_ii> gr_not_ii_sptr;
+
+gr_not_ii_sptr gr_make_not_ii ();
+
+/*!
+ * \brief output = ~input_0 
+ * \ingroup math_blk
+ *
+ * bitwise boolean not across input stream.
+ */
+class gr_not_ii : public gr_sync_block
+{
+  friend gr_not_ii_sptr gr_make_not_ii ();
+
+  gr_not_ii ();
+
+ public:
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_not_ii.i b/gnuradio-core/src/lib/gengen/gr_not_ii.i
new file mode 100644 (file)
index 0000000..18ecd9a
--- /dev/null
@@ -0,0 +1,33 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,not_ii)
+
+gr_not_ii_sptr gr_make_not_ii ();
+
+class gr_not_ii : public gr_sync_block
+{
+ private:
+  gr_not_ii ();
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_not_ss.cc b/gnuradio-core/src/lib/gengen/gr_not_ss.cc
new file mode 100644 (file)
index 0000000..77a7d6d
--- /dev/null
@@ -0,0 +1,58 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_not_ss.h>
+#include <gr_io_signature.h>
+
+gr_not_ss_sptr
+gr_make_not_ss ()
+{
+  return gr_not_ss_sptr (new gr_not_ss ());
+}
+
+gr_not_ss::gr_not_ss ()
+  : gr_sync_block ("not_ss",
+                  gr_make_io_signature (1,  1, sizeof (short)),
+                  gr_make_io_signature (1,  1, sizeof (short)))
+{
+}
+
+int
+gr_not_ss::work (int noutput_items,
+             gr_vector_const_void_star &input_items,
+             gr_vector_void_star &output_items)
+{
+  short *optr =  (short *) output_items[0];
+  const short *inptr = (const short *) input_items[0];
+
+
+  for (int i = 0; i < noutput_items; i++) {
+    *optr++ = ~(inptr[i]);
+  }
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_not_ss.h b/gnuradio-core/src/lib/gengen/gr_not_ss.h
new file mode 100644 (file)
index 0000000..439ba85
--- /dev/null
@@ -0,0 +1,54 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_NOT_SS_H
+#define INCLUDED_GR_NOT_SS_H
+
+#include <gr_sync_block.h>
+
+class gr_not_ss;
+typedef boost::shared_ptr<gr_not_ss> gr_not_ss_sptr;
+
+gr_not_ss_sptr gr_make_not_ss ();
+
+/*!
+ * \brief output = ~input_0 
+ * \ingroup math_blk
+ *
+ * bitwise boolean not across input stream.
+ */
+class gr_not_ss : public gr_sync_block
+{
+  friend gr_not_ss_sptr gr_make_not_ss ();
+
+  gr_not_ss ();
+
+ public:
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_not_ss.i b/gnuradio-core/src/lib/gengen/gr_not_ss.i
new file mode 100644 (file)
index 0000000..9407d4f
--- /dev/null
@@ -0,0 +1,33 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,not_ss)
+
+gr_not_ss_sptr gr_make_not_ss ();
+
+class gr_not_ss : public gr_sync_block
+{
+ private:
+  gr_not_ss ();
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_or_bb.cc b/gnuradio-core/src/lib/gengen/gr_or_bb.cc
new file mode 100644 (file)
index 0000000..a983e36
--- /dev/null
@@ -0,0 +1,62 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_or_bb.h>
+#include <gr_io_signature.h>
+
+gr_or_bb_sptr
+gr_make_or_bb ()
+{
+  return gr_or_bb_sptr (new gr_or_bb ());
+}
+
+gr_or_bb::gr_or_bb ()
+  : gr_sync_block ("or_bb",
+                  gr_make_io_signature (1, -1, sizeof (unsigned char)),
+                  gr_make_io_signature (1,  1, sizeof (unsigned char)))
+{
+}
+
+int
+gr_or_bb::work (int noutput_items,
+             gr_vector_const_void_star &input_items,
+             gr_vector_void_star &output_items)
+{
+  unsigned char *optr = (unsigned char *) output_items[0];
+
+  int ninputs = input_items.size ();
+
+  for (int i = 0; i < noutput_items; i++) {
+    unsigned char acc = ((unsigned char *) input_items[0])[i];
+    for (int j = 1; j < ninputs; j++)
+      acc = acc | ((unsigned char *) input_items[j])[i];
+
+    *optr++ = (unsigned char) acc;
+  }
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_or_bb.h b/gnuradio-core/src/lib/gengen/gr_or_bb.h
new file mode 100644 (file)
index 0000000..0f23f08
--- /dev/null
@@ -0,0 +1,54 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_OR_BB_H
+#define INCLUDED_GR_OR_BB_H
+
+#include <gr_sync_block.h>
+
+class gr_or_bb;
+typedef boost::shared_ptr<gr_or_bb> gr_or_bb_sptr;
+
+gr_or_bb_sptr gr_make_or_bb ();
+
+/*!
+ * \brief output = input_0 | input_1 | , ... | input_N)
+ * \ingroup math_blk
+ *
+ * bitwise boolean or across all input streams.
+ */
+class gr_or_bb : public gr_sync_block
+{
+  friend gr_or_bb_sptr gr_make_or_bb ();
+
+  gr_or_bb ();
+
+ public:
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_or_bb.i b/gnuradio-core/src/lib/gengen/gr_or_bb.i
new file mode 100644 (file)
index 0000000..05a0526
--- /dev/null
@@ -0,0 +1,33 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,or_bb)
+
+gr_or_bb_sptr gr_make_or_bb ();
+
+class gr_or_bb : public gr_sync_block
+{
+ private:
+  gr_or_bb ();
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_or_ii.cc b/gnuradio-core/src/lib/gengen/gr_or_ii.cc
new file mode 100644 (file)
index 0000000..f1c6640
--- /dev/null
@@ -0,0 +1,62 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_or_ii.h>
+#include <gr_io_signature.h>
+
+gr_or_ii_sptr
+gr_make_or_ii ()
+{
+  return gr_or_ii_sptr (new gr_or_ii ());
+}
+
+gr_or_ii::gr_or_ii ()
+  : gr_sync_block ("or_ii",
+                  gr_make_io_signature (1, -1, sizeof (int)),
+                  gr_make_io_signature (1,  1, sizeof (int)))
+{
+}
+
+int
+gr_or_ii::work (int noutput_items,
+             gr_vector_const_void_star &input_items,
+             gr_vector_void_star &output_items)
+{
+  int *optr = (int *) output_items[0];
+
+  int ninputs = input_items.size ();
+
+  for (int i = 0; i < noutput_items; i++) {
+    int acc = ((int *) input_items[0])[i];
+    for (int j = 1; j < ninputs; j++)
+      acc = acc | ((int *) input_items[j])[i];
+
+    *optr++ = (int) acc;
+  }
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_or_ii.h b/gnuradio-core/src/lib/gengen/gr_or_ii.h
new file mode 100644 (file)
index 0000000..1977c90
--- /dev/null
@@ -0,0 +1,54 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_OR_II_H
+#define INCLUDED_GR_OR_II_H
+
+#include <gr_sync_block.h>
+
+class gr_or_ii;
+typedef boost::shared_ptr<gr_or_ii> gr_or_ii_sptr;
+
+gr_or_ii_sptr gr_make_or_ii ();
+
+/*!
+ * \brief output = input_0 | input_1 | , ... | input_N)
+ * \ingroup math_blk
+ *
+ * bitwise boolean or across all input streams.
+ */
+class gr_or_ii : public gr_sync_block
+{
+  friend gr_or_ii_sptr gr_make_or_ii ();
+
+  gr_or_ii ();
+
+ public:
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_or_ii.i b/gnuradio-core/src/lib/gengen/gr_or_ii.i
new file mode 100644 (file)
index 0000000..8715bf9
--- /dev/null
@@ -0,0 +1,33 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,or_ii)
+
+gr_or_ii_sptr gr_make_or_ii ();
+
+class gr_or_ii : public gr_sync_block
+{
+ private:
+  gr_or_ii ();
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_or_ss.cc b/gnuradio-core/src/lib/gengen/gr_or_ss.cc
new file mode 100644 (file)
index 0000000..21b9821
--- /dev/null
@@ -0,0 +1,62 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_or_ss.h>
+#include <gr_io_signature.h>
+
+gr_or_ss_sptr
+gr_make_or_ss ()
+{
+  return gr_or_ss_sptr (new gr_or_ss ());
+}
+
+gr_or_ss::gr_or_ss ()
+  : gr_sync_block ("or_ss",
+                  gr_make_io_signature (1, -1, sizeof (short)),
+                  gr_make_io_signature (1,  1, sizeof (short)))
+{
+}
+
+int
+gr_or_ss::work (int noutput_items,
+             gr_vector_const_void_star &input_items,
+             gr_vector_void_star &output_items)
+{
+  short *optr = (short *) output_items[0];
+
+  int ninputs = input_items.size ();
+
+  for (int i = 0; i < noutput_items; i++) {
+    short acc = ((short *) input_items[0])[i];
+    for (int j = 1; j < ninputs; j++)
+      acc = acc | ((short *) input_items[j])[i];
+
+    *optr++ = (short) acc;
+  }
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_or_ss.h b/gnuradio-core/src/lib/gengen/gr_or_ss.h
new file mode 100644 (file)
index 0000000..4d2f5e2
--- /dev/null
@@ -0,0 +1,54 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_OR_SS_H
+#define INCLUDED_GR_OR_SS_H
+
+#include <gr_sync_block.h>
+
+class gr_or_ss;
+typedef boost::shared_ptr<gr_or_ss> gr_or_ss_sptr;
+
+gr_or_ss_sptr gr_make_or_ss ();
+
+/*!
+ * \brief output = input_0 | input_1 | , ... | input_N)
+ * \ingroup math_blk
+ *
+ * bitwise boolean or across all input streams.
+ */
+class gr_or_ss : public gr_sync_block
+{
+  friend gr_or_ss_sptr gr_make_or_ss ();
+
+  gr_or_ss ();
+
+ public:
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_or_ss.i b/gnuradio-core/src/lib/gengen/gr_or_ss.i
new file mode 100644 (file)
index 0000000..60aa183
--- /dev/null
@@ -0,0 +1,33 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,or_ss)
+
+gr_or_ss_sptr gr_make_or_ss ();
+
+class gr_or_ss : public gr_sync_block
+{
+ private:
+  gr_or_ss ();
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_packed_to_unpacked_bb.cc b/gnuradio-core/src/lib/gengen/gr_packed_to_unpacked_bb.cc
new file mode 100644 (file)
index 0000000..dced297
--- /dev/null
@@ -0,0 +1,137 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_packed_to_unpacked_bb.h>
+#include <gr_io_signature.h>
+#include <assert.h>
+#include <gr_log2_const.h>
+
+static const unsigned int BITS_PER_TYPE = sizeof(unsigned char) * 8;
+static const unsigned int LOG2_L_TYPE = gr_log2_const<sizeof(unsigned char) * 8>();
+
+
+gr_packed_to_unpacked_bb_sptr 
+gr_make_packed_to_unpacked_bb (unsigned int bits_per_chunk, gr_endianness_t endianness)
+{
+  return gr_packed_to_unpacked_bb_sptr 
+    (new gr_packed_to_unpacked_bb (bits_per_chunk,endianness));
+}
+
+gr_packed_to_unpacked_bb::gr_packed_to_unpacked_bb (unsigned int bits_per_chunk, 
+                                                   gr_endianness_t endianness)
+  : gr_block ("packed_to_unpacked_bb",
+             gr_make_io_signature (1, -1, sizeof (unsigned char)),
+             gr_make_io_signature (1, -1, sizeof (unsigned char))),
+    d_bits_per_chunk(bits_per_chunk),d_endianness(endianness),d_index(0)
+{
+  assert (bits_per_chunk <= BITS_PER_TYPE);
+  assert (bits_per_chunk > 0);
+
+  set_relative_rate ((1.0 * BITS_PER_TYPE) / bits_per_chunk);
+}
+
+void
+gr_packed_to_unpacked_bb::forecast(int noutput_items, gr_vector_int &ninput_items_required)
+{
+
+  int input_required = (int) ceil((d_index + noutput_items * d_bits_per_chunk) / (1.0 * BITS_PER_TYPE));
+  unsigned ninputs = ninput_items_required.size();
+  for (unsigned int i = 0; i < ninputs; i++) {
+    ninput_items_required[i] = input_required;
+    //printf("Forecast wants %d needs %d\n",noutput_items,ninput_items_required[i]);
+  }
+}
+
+unsigned int
+get_bit_le (const unsigned char *in_vector,unsigned int bit_addr)
+{
+  unsigned char x = in_vector[bit_addr>>LOG2_L_TYPE];
+  return (x>>(bit_addr&(BITS_PER_TYPE-1)))&1;
+}
+
+unsigned int
+get_bit_be (const unsigned char *in_vector,unsigned int bit_addr)
+{
+  unsigned char x = in_vector[bit_addr>>LOG2_L_TYPE];
+  return (x>>((BITS_PER_TYPE-1)-(bit_addr&(BITS_PER_TYPE-1))))&1;
+}
+
+int
+gr_packed_to_unpacked_bb::general_work (int noutput_items,
+                                       gr_vector_int &ninput_items,
+                                       gr_vector_const_void_star &input_items,
+                                       gr_vector_void_star &output_items)
+{
+  unsigned int index_tmp = d_index;
+
+  assert (input_items.size() == output_items.size());
+  int nstreams = input_items.size();
+
+  for (int m=0; m < nstreams; m++){
+    const unsigned char *in = (unsigned char *) input_items[m];
+    unsigned char *out = (unsigned char *) output_items[m];
+    index_tmp = d_index;
+
+    // per stream processing
+
+    switch (d_endianness){
+
+    case GR_MSB_FIRST:
+      for (int i = 0; i < noutput_items; i++){
+       //printf("here msb %d\n",i);
+       unsigned char x = 0;
+       for(unsigned int j=0; j<d_bits_per_chunk; j++, index_tmp++)
+         x = (x<<1) | get_bit_be(in, index_tmp);
+       out[i] = x;
+      }
+      break;
+
+    case GR_LSB_FIRST:
+      for (int i = 0; i < noutput_items; i++){
+       //printf("here lsb %d\n",i);
+       unsigned char x = 0;
+       for(unsigned int j=0; j<d_bits_per_chunk; j++, index_tmp++)
+         x = (x<<1) | get_bit_le(in, index_tmp);
+       out[i] = x;
+      }
+      break;
+
+    default:
+      assert(0);
+    }
+
+    //printf("almost got to end\n");
+    assert(ninput_items[m] >= (int) ((d_index+(BITS_PER_TYPE-1))>>LOG2_L_TYPE));
+  }
+
+  d_index = index_tmp;
+  consume_each (d_index >> LOG2_L_TYPE);
+  d_index = d_index & (BITS_PER_TYPE-1);
+  //printf("got to end\n");
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_packed_to_unpacked_bb.h b/gnuradio-core/src/lib/gengen/gr_packed_to_unpacked_bb.h
new file mode 100644 (file)
index 0000000..7a15761
--- /dev/null
@@ -0,0 +1,84 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_PACKED_TO_UNPACKED_BB_H
+#define INCLUDED_GR_PACKED_TO_UNPACKED_BB_H
+
+#include <gr_block.h>
+#include <gr_endianness.h>
+
+class gr_packed_to_unpacked_bb;
+typedef boost::shared_ptr<gr_packed_to_unpacked_bb> gr_packed_to_unpacked_bb_sptr;
+
+gr_packed_to_unpacked_bb_sptr 
+gr_make_packed_to_unpacked_bb (unsigned int bits_per_chunk, gr_endianness_t endianness);
+
+/*!
+ * \brief Convert a stream of packed bytes or shorts to stream of unpacked bytes or shorts.
+ * \ingroup converter_blk
+ *
+ * input: stream of unsigned char; output: stream of unsigned char
+ *
+ * This is the inverse of gr_unpacked_to_packed_XX.
+ *
+ * The bits in the bytes or shorts input stream are grouped into chunks of 
+ * \p bits_per_chunk bits and each resulting chunk is written right-
+ * justified to the output stream of bytes or shorts.  
+ * All b or 16 bits of the each input bytes or short are processed.  
+ * The right thing is done if bits_per_chunk is not a power of two.
+ *
+ * The combination of gr_packed_to_unpacked_XX_ followed by
+ * gr_chunks_to_symbols_Xf or gr_chunks_to_symbols_Xc handles the
+ * general case of mapping from a stream of bytes or shorts into 
+ * arbitrary float or complex symbols.
+ *
+ * \sa gr_packed_to_unpacked_bb, gr_unpacked_to_packed_bb,
+ * \sa gr_packed_to_unpacked_ss, gr_unpacked_to_packed_ss,
+ * \sa gr_chunks_to_symbols_bf, gr_chunks_to_symbols_bc.
+ * \sa gr_chunks_to_symbols_sf, gr_chunks_to_symbols_sc.
+ */
+
+class gr_packed_to_unpacked_bb : public gr_block
+{
+  friend gr_packed_to_unpacked_bb_sptr 
+  gr_make_packed_to_unpacked_bb (unsigned int bits_per_chunk, gr_endianness_t endianness);
+
+  gr_packed_to_unpacked_bb (unsigned int bits_per_chunk, gr_endianness_t endianness);
+
+  unsigned int    d_bits_per_chunk;
+  gr_endianness_t d_endianness;
+  unsigned int    d_index;
+
+ public:
+  void forecast(int noutput_items, gr_vector_int &ninput_items_required);
+  int general_work (int noutput_items,
+                   gr_vector_int &ninput_items,
+                   gr_vector_const_void_star &input_items,
+                   gr_vector_void_star &output_items);
+
+  bool check_topology(int ninputs, int noutputs) { return ninputs == noutputs; }
+
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_packed_to_unpacked_bb.i b/gnuradio-core/src/lib/gengen/gr_packed_to_unpacked_bb.i
new file mode 100644 (file)
index 0000000..c4eeb44
--- /dev/null
@@ -0,0 +1,33 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,packed_to_unpacked_bb);
+
+gr_packed_to_unpacked_bb_sptr 
+gr_make_packed_to_unpacked_bb (unsigned int bits_per_chunk, gr_endianness_t endianness);
+
+class gr_packed_to_unpacked_bb : public gr_block
+{
+  gr_packed_to_unpacked_bb (unsigned int bits_per_chunk, gr_endianness_t endianness);
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_packed_to_unpacked_ii.cc b/gnuradio-core/src/lib/gengen/gr_packed_to_unpacked_ii.cc
new file mode 100644 (file)
index 0000000..f3c6ece
--- /dev/null
@@ -0,0 +1,137 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_packed_to_unpacked_ii.h>
+#include <gr_io_signature.h>
+#include <assert.h>
+#include <gr_log2_const.h>
+
+static const unsigned int BITS_PER_TYPE = sizeof(int) * 8;
+static const unsigned int LOG2_L_TYPE = gr_log2_const<sizeof(int) * 8>();
+
+
+gr_packed_to_unpacked_ii_sptr 
+gr_make_packed_to_unpacked_ii (unsigned int bits_per_chunk, gr_endianness_t endianness)
+{
+  return gr_packed_to_unpacked_ii_sptr 
+    (new gr_packed_to_unpacked_ii (bits_per_chunk,endianness));
+}
+
+gr_packed_to_unpacked_ii::gr_packed_to_unpacked_ii (unsigned int bits_per_chunk, 
+                                                   gr_endianness_t endianness)
+  : gr_block ("packed_to_unpacked_ii",
+             gr_make_io_signature (1, -1, sizeof (int)),
+             gr_make_io_signature (1, -1, sizeof (int))),
+    d_bits_per_chunk(bits_per_chunk),d_endianness(endianness),d_index(0)
+{
+  assert (bits_per_chunk <= BITS_PER_TYPE);
+  assert (bits_per_chunk > 0);
+
+  set_relative_rate ((1.0 * BITS_PER_TYPE) / bits_per_chunk);
+}
+
+void
+gr_packed_to_unpacked_ii::forecast(int noutput_items, gr_vector_int &ninput_items_required)
+{
+
+  int input_required = (int) ceil((d_index + noutput_items * d_bits_per_chunk) / (1.0 * BITS_PER_TYPE));
+  unsigned ninputs = ninput_items_required.size();
+  for (unsigned int i = 0; i < ninputs; i++) {
+    ninput_items_required[i] = input_required;
+    //printf("Forecast wants %d needs %d\n",noutput_items,ninput_items_required[i]);
+  }
+}
+
+unsigned int
+get_bit_le (const int *in_vector,unsigned int bit_addr)
+{
+  int x = in_vector[bit_addr>>LOG2_L_TYPE];
+  return (x>>(bit_addr&(BITS_PER_TYPE-1)))&1;
+}
+
+unsigned int
+get_bit_be (const int *in_vector,unsigned int bit_addr)
+{
+  int x = in_vector[bit_addr>>LOG2_L_TYPE];
+  return (x>>((BITS_PER_TYPE-1)-(bit_addr&(BITS_PER_TYPE-1))))&1;
+}
+
+int
+gr_packed_to_unpacked_ii::general_work (int noutput_items,
+                                       gr_vector_int &ninput_items,
+                                       gr_vector_const_void_star &input_items,
+                                       gr_vector_void_star &output_items)
+{
+  unsigned int index_tmp = d_index;
+
+  assert (input_items.size() == output_items.size());
+  int nstreams = input_items.size();
+
+  for (int m=0; m < nstreams; m++){
+    const int *in = (int *) input_items[m];
+    int *out = (int *) output_items[m];
+    index_tmp = d_index;
+
+    // per stream processing
+
+    switch (d_endianness){
+
+    case GR_MSB_FIRST:
+      for (int i = 0; i < noutput_items; i++){
+       //printf("here msb %d\n",i);
+       int x = 0;
+       for(unsigned int j=0; j<d_bits_per_chunk; j++, index_tmp++)
+         x = (x<<1) | get_bit_be(in, index_tmp);
+       out[i] = x;
+      }
+      break;
+
+    case GR_LSB_FIRST:
+      for (int i = 0; i < noutput_items; i++){
+       //printf("here lsb %d\n",i);
+       int x = 0;
+       for(unsigned int j=0; j<d_bits_per_chunk; j++, index_tmp++)
+         x = (x<<1) | get_bit_le(in, index_tmp);
+       out[i] = x;
+      }
+      break;
+
+    default:
+      assert(0);
+    }
+
+    //printf("almost got to end\n");
+    assert(ninput_items[m] >= (int) ((d_index+(BITS_PER_TYPE-1))>>LOG2_L_TYPE));
+  }
+
+  d_index = index_tmp;
+  consume_each (d_index >> LOG2_L_TYPE);
+  d_index = d_index & (BITS_PER_TYPE-1);
+  //printf("got to end\n");
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_packed_to_unpacked_ii.h b/gnuradio-core/src/lib/gengen/gr_packed_to_unpacked_ii.h
new file mode 100644 (file)
index 0000000..cfbc6c8
--- /dev/null
@@ -0,0 +1,84 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_PACKED_TO_UNPACKED_II_H
+#define INCLUDED_GR_PACKED_TO_UNPACKED_II_H
+
+#include <gr_block.h>
+#include <gr_endianness.h>
+
+class gr_packed_to_unpacked_ii;
+typedef boost::shared_ptr<gr_packed_to_unpacked_ii> gr_packed_to_unpacked_ii_sptr;
+
+gr_packed_to_unpacked_ii_sptr 
+gr_make_packed_to_unpacked_ii (unsigned int bits_per_chunk, gr_endianness_t endianness);
+
+/*!
+ * \brief Convert a stream of packed bytes or shorts to stream of unpacked bytes or shorts.
+ * \ingroup converter_blk
+ *
+ * input: stream of int; output: stream of int
+ *
+ * This is the inverse of gr_unpacked_to_packed_XX.
+ *
+ * The bits in the bytes or shorts input stream are grouped into chunks of 
+ * \p bits_per_chunk bits and each resulting chunk is written right-
+ * justified to the output stream of bytes or shorts.  
+ * All b or 16 bits of the each input bytes or short are processed.  
+ * The right thing is done if bits_per_chunk is not a power of two.
+ *
+ * The combination of gr_packed_to_unpacked_XX_ followed by
+ * gr_chunks_to_symbols_Xf or gr_chunks_to_symbols_Xc handles the
+ * general case of mapping from a stream of bytes or shorts into 
+ * arbitrary float or complex symbols.
+ *
+ * \sa gr_packed_to_unpacked_bb, gr_unpacked_to_packed_bb,
+ * \sa gr_packed_to_unpacked_ss, gr_unpacked_to_packed_ss,
+ * \sa gr_chunks_to_symbols_bf, gr_chunks_to_symbols_bc.
+ * \sa gr_chunks_to_symbols_sf, gr_chunks_to_symbols_sc.
+ */
+
+class gr_packed_to_unpacked_ii : public gr_block
+{
+  friend gr_packed_to_unpacked_ii_sptr 
+  gr_make_packed_to_unpacked_ii (unsigned int bits_per_chunk, gr_endianness_t endianness);
+
+  gr_packed_to_unpacked_ii (unsigned int bits_per_chunk, gr_endianness_t endianness);
+
+  unsigned int    d_bits_per_chunk;
+  gr_endianness_t d_endianness;
+  unsigned int    d_index;
+
+ public:
+  void forecast(int noutput_items, gr_vector_int &ninput_items_required);
+  int general_work (int noutput_items,
+                   gr_vector_int &ninput_items,
+                   gr_vector_const_void_star &input_items,
+                   gr_vector_void_star &output_items);
+
+  bool check_topology(int ninputs, int noutputs) { return ninputs == noutputs; }
+
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_packed_to_unpacked_ii.i b/gnuradio-core/src/lib/gengen/gr_packed_to_unpacked_ii.i
new file mode 100644 (file)
index 0000000..a3bd8e6
--- /dev/null
@@ -0,0 +1,33 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,packed_to_unpacked_ii);
+
+gr_packed_to_unpacked_ii_sptr 
+gr_make_packed_to_unpacked_ii (unsigned int bits_per_chunk, gr_endianness_t endianness);
+
+class gr_packed_to_unpacked_ii : public gr_block
+{
+  gr_packed_to_unpacked_ii (unsigned int bits_per_chunk, gr_endianness_t endianness);
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_packed_to_unpacked_ss.cc b/gnuradio-core/src/lib/gengen/gr_packed_to_unpacked_ss.cc
new file mode 100644 (file)
index 0000000..9d46b04
--- /dev/null
@@ -0,0 +1,137 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_packed_to_unpacked_ss.h>
+#include <gr_io_signature.h>
+#include <assert.h>
+#include <gr_log2_const.h>
+
+static const unsigned int BITS_PER_TYPE = sizeof(short) * 8;
+static const unsigned int LOG2_L_TYPE = gr_log2_const<sizeof(short) * 8>();
+
+
+gr_packed_to_unpacked_ss_sptr 
+gr_make_packed_to_unpacked_ss (unsigned int bits_per_chunk, gr_endianness_t endianness)
+{
+  return gr_packed_to_unpacked_ss_sptr 
+    (new gr_packed_to_unpacked_ss (bits_per_chunk,endianness));
+}
+
+gr_packed_to_unpacked_ss::gr_packed_to_unpacked_ss (unsigned int bits_per_chunk, 
+                                                   gr_endianness_t endianness)
+  : gr_block ("packed_to_unpacked_ss",
+             gr_make_io_signature (1, -1, sizeof (short)),
+             gr_make_io_signature (1, -1, sizeof (short))),
+    d_bits_per_chunk(bits_per_chunk),d_endianness(endianness),d_index(0)
+{
+  assert (bits_per_chunk <= BITS_PER_TYPE);
+  assert (bits_per_chunk > 0);
+
+  set_relative_rate ((1.0 * BITS_PER_TYPE) / bits_per_chunk);
+}
+
+void
+gr_packed_to_unpacked_ss::forecast(int noutput_items, gr_vector_int &ninput_items_required)
+{
+
+  int input_required = (int) ceil((d_index + noutput_items * d_bits_per_chunk) / (1.0 * BITS_PER_TYPE));
+  unsigned ninputs = ninput_items_required.size();
+  for (unsigned int i = 0; i < ninputs; i++) {
+    ninput_items_required[i] = input_required;
+    //printf("Forecast wants %d needs %d\n",noutput_items,ninput_items_required[i]);
+  }
+}
+
+unsigned int
+get_bit_le (const short *in_vector,unsigned int bit_addr)
+{
+  short x = in_vector[bit_addr>>LOG2_L_TYPE];
+  return (x>>(bit_addr&(BITS_PER_TYPE-1)))&1;
+}
+
+unsigned int
+get_bit_be (const short *in_vector,unsigned int bit_addr)
+{
+  short x = in_vector[bit_addr>>LOG2_L_TYPE];
+  return (x>>((BITS_PER_TYPE-1)-(bit_addr&(BITS_PER_TYPE-1))))&1;
+}
+
+int
+gr_packed_to_unpacked_ss::general_work (int noutput_items,
+                                       gr_vector_int &ninput_items,
+                                       gr_vector_const_void_star &input_items,
+                                       gr_vector_void_star &output_items)
+{
+  unsigned int index_tmp = d_index;
+
+  assert (input_items.size() == output_items.size());
+  int nstreams = input_items.size();
+
+  for (int m=0; m < nstreams; m++){
+    const short *in = (short *) input_items[m];
+    short *out = (short *) output_items[m];
+    index_tmp = d_index;
+
+    // per stream processing
+
+    switch (d_endianness){
+
+    case GR_MSB_FIRST:
+      for (int i = 0; i < noutput_items; i++){
+       //printf("here msb %d\n",i);
+       short x = 0;
+       for(unsigned int j=0; j<d_bits_per_chunk; j++, index_tmp++)
+         x = (x<<1) | get_bit_be(in, index_tmp);
+       out[i] = x;
+      }
+      break;
+
+    case GR_LSB_FIRST:
+      for (int i = 0; i < noutput_items; i++){
+       //printf("here lsb %d\n",i);
+       short x = 0;
+       for(unsigned int j=0; j<d_bits_per_chunk; j++, index_tmp++)
+         x = (x<<1) | get_bit_le(in, index_tmp);
+       out[i] = x;
+      }
+      break;
+
+    default:
+      assert(0);
+    }
+
+    //printf("almost got to end\n");
+    assert(ninput_items[m] >= (int) ((d_index+(BITS_PER_TYPE-1))>>LOG2_L_TYPE));
+  }
+
+  d_index = index_tmp;
+  consume_each (d_index >> LOG2_L_TYPE);
+  d_index = d_index & (BITS_PER_TYPE-1);
+  //printf("got to end\n");
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_packed_to_unpacked_ss.h b/gnuradio-core/src/lib/gengen/gr_packed_to_unpacked_ss.h
new file mode 100644 (file)
index 0000000..aa4e71d
--- /dev/null
@@ -0,0 +1,84 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_PACKED_TO_UNPACKED_SS_H
+#define INCLUDED_GR_PACKED_TO_UNPACKED_SS_H
+
+#include <gr_block.h>
+#include <gr_endianness.h>
+
+class gr_packed_to_unpacked_ss;
+typedef boost::shared_ptr<gr_packed_to_unpacked_ss> gr_packed_to_unpacked_ss_sptr;
+
+gr_packed_to_unpacked_ss_sptr 
+gr_make_packed_to_unpacked_ss (unsigned int bits_per_chunk, gr_endianness_t endianness);
+
+/*!
+ * \brief Convert a stream of packed bytes or shorts to stream of unpacked bytes or shorts.
+ * \ingroup converter_blk
+ *
+ * input: stream of short; output: stream of short
+ *
+ * This is the inverse of gr_unpacked_to_packed_XX.
+ *
+ * The bits in the bytes or shorts input stream are grouped into chunks of 
+ * \p bits_per_chunk bits and each resulting chunk is written right-
+ * justified to the output stream of bytes or shorts.  
+ * All b or 16 bits of the each input bytes or short are processed.  
+ * The right thing is done if bits_per_chunk is not a power of two.
+ *
+ * The combination of gr_packed_to_unpacked_XX_ followed by
+ * gr_chunks_to_symbols_Xf or gr_chunks_to_symbols_Xc handles the
+ * general case of mapping from a stream of bytes or shorts into 
+ * arbitrary float or complex symbols.
+ *
+ * \sa gr_packed_to_unpacked_bb, gr_unpacked_to_packed_bb,
+ * \sa gr_packed_to_unpacked_ss, gr_unpacked_to_packed_ss,
+ * \sa gr_chunks_to_symbols_bf, gr_chunks_to_symbols_bc.
+ * \sa gr_chunks_to_symbols_sf, gr_chunks_to_symbols_sc.
+ */
+
+class gr_packed_to_unpacked_ss : public gr_block
+{
+  friend gr_packed_to_unpacked_ss_sptr 
+  gr_make_packed_to_unpacked_ss (unsigned int bits_per_chunk, gr_endianness_t endianness);
+
+  gr_packed_to_unpacked_ss (unsigned int bits_per_chunk, gr_endianness_t endianness);
+
+  unsigned int    d_bits_per_chunk;
+  gr_endianness_t d_endianness;
+  unsigned int    d_index;
+
+ public:
+  void forecast(int noutput_items, gr_vector_int &ninput_items_required);
+  int general_work (int noutput_items,
+                   gr_vector_int &ninput_items,
+                   gr_vector_const_void_star &input_items,
+                   gr_vector_void_star &output_items);
+
+  bool check_topology(int ninputs, int noutputs) { return ninputs == noutputs; }
+
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_packed_to_unpacked_ss.i b/gnuradio-core/src/lib/gengen/gr_packed_to_unpacked_ss.i
new file mode 100644 (file)
index 0000000..e6018f0
--- /dev/null
@@ -0,0 +1,33 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,packed_to_unpacked_ss);
+
+gr_packed_to_unpacked_ss_sptr 
+gr_make_packed_to_unpacked_ss (unsigned int bits_per_chunk, gr_endianness_t endianness);
+
+class gr_packed_to_unpacked_ss : public gr_block
+{
+  gr_packed_to_unpacked_ss (unsigned int bits_per_chunk, gr_endianness_t endianness);
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_peak_detector_fb.cc b/gnuradio-core/src/lib/gengen/gr_peak_detector_fb.cc
new file mode 100644 (file)
index 0000000..2c7b0d4
--- /dev/null
@@ -0,0 +1,111 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_peak_detector_fb.h>
+#include <gr_io_signature.h>
+#include <string.h>
+
+gr_peak_detector_fb_sptr
+gr_make_peak_detector_fb (float threshold_factor_rise,
+                    float threshold_factor_fall,
+                    int look_ahead, float alpha)
+{
+  return gr_peak_detector_fb_sptr (new gr_peak_detector_fb (threshold_factor_rise, 
+                                 threshold_factor_fall,
+                                 look_ahead, alpha));
+}
+
+gr_peak_detector_fb::gr_peak_detector_fb (float threshold_factor_rise, 
+               float threshold_factor_fall,
+               int look_ahead, float alpha)
+  : gr_sync_block ("peak_detector_fb",
+                  gr_make_io_signature (1, 1, sizeof (float)),
+                  gr_make_io_signature (1, 1, sizeof (char))),
+    d_threshold_factor_rise(threshold_factor_rise), 
+    d_threshold_factor_fall(threshold_factor_fall),
+    d_look_ahead(look_ahead), d_avg_alpha(alpha), d_avg(0), d_found(0)
+{
+}
+
+int
+gr_peak_detector_fb::work (int noutput_items,
+             gr_vector_const_void_star &input_items,
+             gr_vector_void_star &output_items)
+{
+  float *iptr = (float *) input_items[0];
+  char *optr = (char *) output_items[0];
+
+  memset(optr, 0, noutput_items*sizeof(char));
+
+  float peak_val = -(float)INFINITY;
+  int peak_ind = 0;
+  unsigned char state = 0;
+  int i = 0;
+
+  //printf("noutput_items %d\n",noutput_items);
+  while(i < noutput_items) {
+    if(state == 0) {  // below threshold
+      if(iptr[i] > d_avg*d_threshold_factor_rise) {
+       state = 1;
+      }
+      else {
+       d_avg = (d_avg_alpha)*iptr[i] + (1-d_avg_alpha)*d_avg;
+       i++;
+      }
+    }
+    else if(state == 1) {  // above threshold, have not found peak
+      //printf("Entered State 1: %f  i: %d  noutput_items: %d\n", iptr[i], i, noutput_items);
+      if(iptr[i] > peak_val) {
+       peak_val = iptr[i];
+       peak_ind = i;
+       d_avg = (d_avg_alpha)*iptr[i] + (1-d_avg_alpha)*d_avg;
+       i++;
+      }
+      else if (iptr[i] > d_avg*d_threshold_factor_fall) {
+       d_avg = (d_avg_alpha)*iptr[i] + (1-d_avg_alpha)*d_avg;
+       i++;
+      }
+      else {
+       optr[peak_ind] = 1;
+       state = 0;
+       peak_val = -(float)INFINITY;
+       //printf("Leaving  State 1: Peak: %f  Peak Ind: %d   i: %d  noutput_items: %d\n", 
+       //peak_val, peak_ind, i, noutput_items);
+      }
+    }
+  }
+
+  if(state == 0) {
+    //printf("Leave in State 0, produced %d\n",noutput_items);
+    return noutput_items;
+  }
+  else {   // only return up to passing the threshold
+    //printf("Leave in State 1, only produced %d of %d\n",peak_ind,noutput_items);
+    return peak_ind+1;
+  }
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_peak_detector_fb.h b/gnuradio-core/src/lib/gengen/gr_peak_detector_fb.h
new file mode 100644 (file)
index 0000000..2b5ba46
--- /dev/null
@@ -0,0 +1,125 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_PEAK_DETECTOR_FB_H
+#define INCLUDED_GR_PEAK_DETECTOR_FB_H
+
+#include <gr_sync_block.h>
+
+class gr_peak_detector_fb;
+typedef boost::shared_ptr<gr_peak_detector_fb> gr_peak_detector_fb_sptr;
+
+gr_peak_detector_fb_sptr gr_make_peak_detector_fb (float threshold_factor_rise = 0.25,
+                                float threshold_factor_fall = 0.40,
+                                int look_ahead = 10,
+                                float alpha = 0.001);
+
+/*!
+ * \brief Detect the peak of a signal
+ * \ingroup level_blk
+ *
+ * If a peak is detected, this block outputs a 1, 
+ * or it outputs 0's.
+ *
+ * \param threshold_factor_rise The threshold factor determins when a peak
+ *        has started. An average of the signal is calculated and when the 
+ *        value of the signal goes over threshold_factor_rise*average, we
+ *        start looking for a peak.
+ * \param threshold_factor_fall The threshold factor determins when a peak
+ *        has ended. An average of the signal is calculated and when the 
+ *        value of the signal goes bellow threshold_factor_fall*average, we 
+ *        stop looking for a peak.
+ * \param look_ahead The look-ahead value is used when the threshold is
+ *        found to look if there another peak within this step range.
+ *        If there is a larger value, we set that as the peak and look ahead
+ *        again. This is continued until the highest point is found with
+ *        This look-ahead range.
+ * \param alpha The gain value of a moving average filter
+ */
+class gr_peak_detector_fb : public gr_sync_block
+{
+  friend gr_peak_detector_fb_sptr gr_make_peak_detector_fb (float threshold_factor_rise,
+                                         float threshold_factor_fall,
+                                         int look_ahead, float alpha);
+
+  gr_peak_detector_fb (float threshold_factor_rise, 
+         float threshold_factor_fall,
+         int look_ahead, float alpha);
+
+ private:
+  float d_threshold_factor_rise;
+  float d_threshold_factor_fall;
+  int d_look_ahead;
+  float d_avg_alpha;
+  float d_avg;
+  unsigned char d_found;
+
+ public:
+
+  /*! \brief Set the threshold factor value for the rise time
+   *  \param thr new threshold factor
+   */
+  void set_threshold_factor_rise(float thr) { d_threshold_factor_rise = thr; }
+
+  /*! \brief Set the threshold factor value for the fall time
+   *  \param thr new threshold factor
+   */
+  void set_threshold_factor_fall(float thr) { d_threshold_factor_fall = thr; }
+
+  /*! \brief Set the look-ahead factor
+   *  \param look new look-ahead factor
+   */
+  void set_look_ahead(int look) { d_look_ahead = look; }
+
+  /*! \brief Set the running average alpha
+   *  \param alpha new alpha for running average
+   */
+  void set_alpha(int alpha) { d_avg_alpha = alpha; }
+
+  /*! \brief Get the threshold factor value for the rise time
+   *  \return threshold factor
+   */
+  float threshold_factor_rise() { return d_threshold_factor_rise; }
+
+  /*! \brief Get the threshold factor value for the fall time
+   *  \return threshold factor
+   */
+  float threshold_factor_fall() { return d_threshold_factor_fall; }
+
+  /*! \brief Get the look-ahead factor value
+   *  \return look-ahead factor
+   */
+  int look_ahead() { return d_look_ahead; }
+
+  /*! \brief Get the alpha value of the running average
+   *  \return alpha
+   */
+  float alpha() { return d_avg_alpha; }
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_peak_detector_fb.i b/gnuradio-core/src/lib/gengen/gr_peak_detector_fb.i
new file mode 100644 (file)
index 0000000..5bee441
--- /dev/null
@@ -0,0 +1,49 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,peak_detector_fb)
+
+gr_peak_detector_fb_sptr gr_make_peak_detector_fb (float threshold_factor_rise = 0.25,
+                                float threshold_factor_fall = 0.40, 
+                                int look_ahead = 10,
+                                float alpha=0.001);
+
+class gr_peak_detector_fb : public gr_sync_block
+{
+ private:
+  gr_peak_detector_fb (float threshold_factor_rise, 
+         float threshold_factor_fall,
+         int look_ahead, float alpha);
+
+ public:
+  void set_threshold_factor_rise(float thr) { d_threshold_factor_rise = thr; }
+  void set_threshold_factor_fall(float thr) { d_threshold_factor_fall = thr; }
+  void set_look_ahead(int look) { d_look_ahead = look; }
+  void set_alpha(int alpha) { d_avg_alpha = alpha; }
+
+  float threshold_factor_rise() { return d_threshold_factor_rise; } 
+  float threshold_factor_fall() { return d_threshold_factor_fall; }
+  int look_ahead() { return d_look_ahead; }
+  float alpha() { return d_avg_alpha; }
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_peak_detector_ib.cc b/gnuradio-core/src/lib/gengen/gr_peak_detector_ib.cc
new file mode 100644 (file)
index 0000000..19c1def
--- /dev/null
@@ -0,0 +1,111 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_peak_detector_ib.h>
+#include <gr_io_signature.h>
+#include <string.h>
+
+gr_peak_detector_ib_sptr
+gr_make_peak_detector_ib (float threshold_factor_rise,
+                    float threshold_factor_fall,
+                    int look_ahead, float alpha)
+{
+  return gr_peak_detector_ib_sptr (new gr_peak_detector_ib (threshold_factor_rise, 
+                                 threshold_factor_fall,
+                                 look_ahead, alpha));
+}
+
+gr_peak_detector_ib::gr_peak_detector_ib (float threshold_factor_rise, 
+               float threshold_factor_fall,
+               int look_ahead, float alpha)
+  : gr_sync_block ("peak_detector_ib",
+                  gr_make_io_signature (1, 1, sizeof (int)),
+                  gr_make_io_signature (1, 1, sizeof (char))),
+    d_threshold_factor_rise(threshold_factor_rise), 
+    d_threshold_factor_fall(threshold_factor_fall),
+    d_look_ahead(look_ahead), d_avg_alpha(alpha), d_avg(0), d_found(0)
+{
+}
+
+int
+gr_peak_detector_ib::work (int noutput_items,
+             gr_vector_const_void_star &input_items,
+             gr_vector_void_star &output_items)
+{
+  int *iptr = (int *) input_items[0];
+  char *optr = (char *) output_items[0];
+
+  memset(optr, 0, noutput_items*sizeof(char));
+
+  int peak_val = -(int)INFINITY;
+  int peak_ind = 0;
+  unsigned char state = 0;
+  int i = 0;
+
+  //printf("noutput_items %d\n",noutput_items);
+  while(i < noutput_items) {
+    if(state == 0) {  // below threshold
+      if(iptr[i] > d_avg*d_threshold_factor_rise) {
+       state = 1;
+      }
+      else {
+       d_avg = (d_avg_alpha)*iptr[i] + (1-d_avg_alpha)*d_avg;
+       i++;
+      }
+    }
+    else if(state == 1) {  // above threshold, have not found peak
+      //printf("Entered State 1: %f  i: %d  noutput_items: %d\n", iptr[i], i, noutput_items);
+      if(iptr[i] > peak_val) {
+       peak_val = iptr[i];
+       peak_ind = i;
+       d_avg = (d_avg_alpha)*iptr[i] + (1-d_avg_alpha)*d_avg;
+       i++;
+      }
+      else if (iptr[i] > d_avg*d_threshold_factor_fall) {
+       d_avg = (d_avg_alpha)*iptr[i] + (1-d_avg_alpha)*d_avg;
+       i++;
+      }
+      else {
+       optr[peak_ind] = 1;
+       state = 0;
+       peak_val = -(int)INFINITY;
+       //printf("Leaving  State 1: Peak: %f  Peak Ind: %d   i: %d  noutput_items: %d\n", 
+       //peak_val, peak_ind, i, noutput_items);
+      }
+    }
+  }
+
+  if(state == 0) {
+    //printf("Leave in State 0, produced %d\n",noutput_items);
+    return noutput_items;
+  }
+  else {   // only return up to passing the threshold
+    //printf("Leave in State 1, only produced %d of %d\n",peak_ind,noutput_items);
+    return peak_ind+1;
+  }
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_peak_detector_ib.h b/gnuradio-core/src/lib/gengen/gr_peak_detector_ib.h
new file mode 100644 (file)
index 0000000..97fcc4f
--- /dev/null
@@ -0,0 +1,125 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_PEAK_DETECTOR_IB_H
+#define INCLUDED_GR_PEAK_DETECTOR_IB_H
+
+#include <gr_sync_block.h>
+
+class gr_peak_detector_ib;
+typedef boost::shared_ptr<gr_peak_detector_ib> gr_peak_detector_ib_sptr;
+
+gr_peak_detector_ib_sptr gr_make_peak_detector_ib (float threshold_factor_rise = 0.25,
+                                float threshold_factor_fall = 0.40,
+                                int look_ahead = 10,
+                                float alpha = 0.001);
+
+/*!
+ * \brief Detect the peak of a signal
+ * \ingroup level_blk
+ *
+ * If a peak is detected, this block outputs a 1, 
+ * or it outputs 0's.
+ *
+ * \param threshold_factor_rise The threshold factor determins when a peak
+ *        has started. An average of the signal is calculated and when the 
+ *        value of the signal goes over threshold_factor_rise*average, we
+ *        start looking for a peak.
+ * \param threshold_factor_fall The threshold factor determins when a peak
+ *        has ended. An average of the signal is calculated and when the 
+ *        value of the signal goes bellow threshold_factor_fall*average, we 
+ *        stop looking for a peak.
+ * \param look_ahead The look-ahead value is used when the threshold is
+ *        found to look if there another peak within this step range.
+ *        If there is a larger value, we set that as the peak and look ahead
+ *        again. This is continued until the highest point is found with
+ *        This look-ahead range.
+ * \param alpha The gain value of a moving average filter
+ */
+class gr_peak_detector_ib : public gr_sync_block
+{
+  friend gr_peak_detector_ib_sptr gr_make_peak_detector_ib (float threshold_factor_rise,
+                                         float threshold_factor_fall,
+                                         int look_ahead, float alpha);
+
+  gr_peak_detector_ib (float threshold_factor_rise, 
+         float threshold_factor_fall,
+         int look_ahead, float alpha);
+
+ private:
+  float d_threshold_factor_rise;
+  float d_threshold_factor_fall;
+  int d_look_ahead;
+  float d_avg_alpha;
+  float d_avg;
+  unsigned char d_found;
+
+ public:
+
+  /*! \brief Set the threshold factor value for the rise time
+   *  \param thr new threshold factor
+   */
+  void set_threshold_factor_rise(float thr) { d_threshold_factor_rise = thr; }
+
+  /*! \brief Set the threshold factor value for the fall time
+   *  \param thr new threshold factor
+   */
+  void set_threshold_factor_fall(float thr) { d_threshold_factor_fall = thr; }
+
+  /*! \brief Set the look-ahead factor
+   *  \param look new look-ahead factor
+   */
+  void set_look_ahead(int look) { d_look_ahead = look; }
+
+  /*! \brief Set the running average alpha
+   *  \param alpha new alpha for running average
+   */
+  void set_alpha(int alpha) { d_avg_alpha = alpha; }
+
+  /*! \brief Get the threshold factor value for the rise time
+   *  \return threshold factor
+   */
+  float threshold_factor_rise() { return d_threshold_factor_rise; }
+
+  /*! \brief Get the threshold factor value for the fall time
+   *  \return threshold factor
+   */
+  float threshold_factor_fall() { return d_threshold_factor_fall; }
+
+  /*! \brief Get the look-ahead factor value
+   *  \return look-ahead factor
+   */
+  int look_ahead() { return d_look_ahead; }
+
+  /*! \brief Get the alpha value of the running average
+   *  \return alpha
+   */
+  float alpha() { return d_avg_alpha; }
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_peak_detector_ib.i b/gnuradio-core/src/lib/gengen/gr_peak_detector_ib.i
new file mode 100644 (file)
index 0000000..c21c43d
--- /dev/null
@@ -0,0 +1,49 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,peak_detector_ib)
+
+gr_peak_detector_ib_sptr gr_make_peak_detector_ib (float threshold_factor_rise = 0.25,
+                                float threshold_factor_fall = 0.40, 
+                                int look_ahead = 10,
+                                float alpha=0.001);
+
+class gr_peak_detector_ib : public gr_sync_block
+{
+ private:
+  gr_peak_detector_ib (float threshold_factor_rise, 
+         float threshold_factor_fall,
+         int look_ahead, float alpha);
+
+ public:
+  void set_threshold_factor_rise(float thr) { d_threshold_factor_rise = thr; }
+  void set_threshold_factor_fall(float thr) { d_threshold_factor_fall = thr; }
+  void set_look_ahead(int look) { d_look_ahead = look; }
+  void set_alpha(int alpha) { d_avg_alpha = alpha; }
+
+  float threshold_factor_rise() { return d_threshold_factor_rise; } 
+  float threshold_factor_fall() { return d_threshold_factor_fall; }
+  int look_ahead() { return d_look_ahead; }
+  float alpha() { return d_avg_alpha; }
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_peak_detector_sb.cc b/gnuradio-core/src/lib/gengen/gr_peak_detector_sb.cc
new file mode 100644 (file)
index 0000000..63abb84
--- /dev/null
@@ -0,0 +1,111 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_peak_detector_sb.h>
+#include <gr_io_signature.h>
+#include <string.h>
+
+gr_peak_detector_sb_sptr
+gr_make_peak_detector_sb (float threshold_factor_rise,
+                    float threshold_factor_fall,
+                    int look_ahead, float alpha)
+{
+  return gr_peak_detector_sb_sptr (new gr_peak_detector_sb (threshold_factor_rise, 
+                                 threshold_factor_fall,
+                                 look_ahead, alpha));
+}
+
+gr_peak_detector_sb::gr_peak_detector_sb (float threshold_factor_rise, 
+               float threshold_factor_fall,
+               int look_ahead, float alpha)
+  : gr_sync_block ("peak_detector_sb",
+                  gr_make_io_signature (1, 1, sizeof (short)),
+                  gr_make_io_signature (1, 1, sizeof (char))),
+    d_threshold_factor_rise(threshold_factor_rise), 
+    d_threshold_factor_fall(threshold_factor_fall),
+    d_look_ahead(look_ahead), d_avg_alpha(alpha), d_avg(0), d_found(0)
+{
+}
+
+int
+gr_peak_detector_sb::work (int noutput_items,
+             gr_vector_const_void_star &input_items,
+             gr_vector_void_star &output_items)
+{
+  short *iptr = (short *) input_items[0];
+  char *optr = (char *) output_items[0];
+
+  memset(optr, 0, noutput_items*sizeof(char));
+
+  short peak_val = -(short)INFINITY;
+  int peak_ind = 0;
+  unsigned char state = 0;
+  int i = 0;
+
+  //printf("noutput_items %d\n",noutput_items);
+  while(i < noutput_items) {
+    if(state == 0) {  // below threshold
+      if(iptr[i] > d_avg*d_threshold_factor_rise) {
+       state = 1;
+      }
+      else {
+       d_avg = (d_avg_alpha)*iptr[i] + (1-d_avg_alpha)*d_avg;
+       i++;
+      }
+    }
+    else if(state == 1) {  // above threshold, have not found peak
+      //printf("Entered State 1: %f  i: %d  noutput_items: %d\n", iptr[i], i, noutput_items);
+      if(iptr[i] > peak_val) {
+       peak_val = iptr[i];
+       peak_ind = i;
+       d_avg = (d_avg_alpha)*iptr[i] + (1-d_avg_alpha)*d_avg;
+       i++;
+      }
+      else if (iptr[i] > d_avg*d_threshold_factor_fall) {
+       d_avg = (d_avg_alpha)*iptr[i] + (1-d_avg_alpha)*d_avg;
+       i++;
+      }
+      else {
+       optr[peak_ind] = 1;
+       state = 0;
+       peak_val = -(short)INFINITY;
+       //printf("Leaving  State 1: Peak: %f  Peak Ind: %d   i: %d  noutput_items: %d\n", 
+       //peak_val, peak_ind, i, noutput_items);
+      }
+    }
+  }
+
+  if(state == 0) {
+    //printf("Leave in State 0, produced %d\n",noutput_items);
+    return noutput_items;
+  }
+  else {   // only return up to passing the threshold
+    //printf("Leave in State 1, only produced %d of %d\n",peak_ind,noutput_items);
+    return peak_ind+1;
+  }
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_peak_detector_sb.h b/gnuradio-core/src/lib/gengen/gr_peak_detector_sb.h
new file mode 100644 (file)
index 0000000..6ceabb9
--- /dev/null
@@ -0,0 +1,125 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_PEAK_DETECTOR_SB_H
+#define INCLUDED_GR_PEAK_DETECTOR_SB_H
+
+#include <gr_sync_block.h>
+
+class gr_peak_detector_sb;
+typedef boost::shared_ptr<gr_peak_detector_sb> gr_peak_detector_sb_sptr;
+
+gr_peak_detector_sb_sptr gr_make_peak_detector_sb (float threshold_factor_rise = 0.25,
+                                float threshold_factor_fall = 0.40,
+                                int look_ahead = 10,
+                                float alpha = 0.001);
+
+/*!
+ * \brief Detect the peak of a signal
+ * \ingroup level_blk
+ *
+ * If a peak is detected, this block outputs a 1, 
+ * or it outputs 0's.
+ *
+ * \param threshold_factor_rise The threshold factor determins when a peak
+ *        has started. An average of the signal is calculated and when the 
+ *        value of the signal goes over threshold_factor_rise*average, we
+ *        start looking for a peak.
+ * \param threshold_factor_fall The threshold factor determins when a peak
+ *        has ended. An average of the signal is calculated and when the 
+ *        value of the signal goes bellow threshold_factor_fall*average, we 
+ *        stop looking for a peak.
+ * \param look_ahead The look-ahead value is used when the threshold is
+ *        found to look if there another peak within this step range.
+ *        If there is a larger value, we set that as the peak and look ahead
+ *        again. This is continued until the highest point is found with
+ *        This look-ahead range.
+ * \param alpha The gain value of a moving average filter
+ */
+class gr_peak_detector_sb : public gr_sync_block
+{
+  friend gr_peak_detector_sb_sptr gr_make_peak_detector_sb (float threshold_factor_rise,
+                                         float threshold_factor_fall,
+                                         int look_ahead, float alpha);
+
+  gr_peak_detector_sb (float threshold_factor_rise, 
+         float threshold_factor_fall,
+         int look_ahead, float alpha);
+
+ private:
+  float d_threshold_factor_rise;
+  float d_threshold_factor_fall;
+  int d_look_ahead;
+  float d_avg_alpha;
+  float d_avg;
+  unsigned char d_found;
+
+ public:
+
+  /*! \brief Set the threshold factor value for the rise time
+   *  \param thr new threshold factor
+   */
+  void set_threshold_factor_rise(float thr) { d_threshold_factor_rise = thr; }
+
+  /*! \brief Set the threshold factor value for the fall time
+   *  \param thr new threshold factor
+   */
+  void set_threshold_factor_fall(float thr) { d_threshold_factor_fall = thr; }
+
+  /*! \brief Set the look-ahead factor
+   *  \param look new look-ahead factor
+   */
+  void set_look_ahead(int look) { d_look_ahead = look; }
+
+  /*! \brief Set the running average alpha
+   *  \param alpha new alpha for running average
+   */
+  void set_alpha(int alpha) { d_avg_alpha = alpha; }
+
+  /*! \brief Get the threshold factor value for the rise time
+   *  \return threshold factor
+   */
+  float threshold_factor_rise() { return d_threshold_factor_rise; }
+
+  /*! \brief Get the threshold factor value for the fall time
+   *  \return threshold factor
+   */
+  float threshold_factor_fall() { return d_threshold_factor_fall; }
+
+  /*! \brief Get the look-ahead factor value
+   *  \return look-ahead factor
+   */
+  int look_ahead() { return d_look_ahead; }
+
+  /*! \brief Get the alpha value of the running average
+   *  \return alpha
+   */
+  float alpha() { return d_avg_alpha; }
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_peak_detector_sb.i b/gnuradio-core/src/lib/gengen/gr_peak_detector_sb.i
new file mode 100644 (file)
index 0000000..83dfc0c
--- /dev/null
@@ -0,0 +1,49 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,peak_detector_sb)
+
+gr_peak_detector_sb_sptr gr_make_peak_detector_sb (float threshold_factor_rise = 0.25,
+                                float threshold_factor_fall = 0.40, 
+                                int look_ahead = 10,
+                                float alpha=0.001);
+
+class gr_peak_detector_sb : public gr_sync_block
+{
+ private:
+  gr_peak_detector_sb (float threshold_factor_rise, 
+         float threshold_factor_fall,
+         int look_ahead, float alpha);
+
+ public:
+  void set_threshold_factor_rise(float thr) { d_threshold_factor_rise = thr; }
+  void set_threshold_factor_fall(float thr) { d_threshold_factor_fall = thr; }
+  void set_look_ahead(int look) { d_look_ahead = look; }
+  void set_alpha(int alpha) { d_avg_alpha = alpha; }
+
+  float threshold_factor_rise() { return d_threshold_factor_rise; } 
+  float threshold_factor_fall() { return d_threshold_factor_fall; }
+  int look_ahead() { return d_look_ahead; }
+  float alpha() { return d_avg_alpha; }
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_sample_and_hold_bb.cc b/gnuradio-core/src/lib/gengen/gr_sample_and_hold_bb.cc
new file mode 100644 (file)
index 0000000..2d7d6e2
--- /dev/null
@@ -0,0 +1,62 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_sample_and_hold_bb.h>
+#include <gr_io_signature.h>
+
+gr_sample_and_hold_bb_sptr
+gr_make_sample_and_hold_bb ()
+{
+  return gr_sample_and_hold_bb_sptr (new gr_sample_and_hold_bb ());
+}
+
+gr_sample_and_hold_bb::gr_sample_and_hold_bb ()
+  : gr_sync_block ("sample_and_hold_bb",
+                  gr_make_io_signature2 (2, 2, sizeof (unsigned char), sizeof(char)),
+                  gr_make_io_signature (1, 1, sizeof (unsigned char))),
+    d_data(0)
+{
+}
+
+int
+gr_sample_and_hold_bb::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  unsigned char *iptr = (unsigned char *) input_items[0];
+  const char *ctrl = (const char *) input_items[1];
+  unsigned char *optr = (unsigned char *) output_items[0];
+
+  for (int i = 0; i < noutput_items; i++){
+    if(ctrl[i]) {
+      d_data = iptr[i];
+    }
+    optr[i] = d_data;
+  }
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_sample_and_hold_bb.h b/gnuradio-core/src/lib/gengen/gr_sample_and_hold_bb.h
new file mode 100644 (file)
index 0000000..278eef0
--- /dev/null
@@ -0,0 +1,57 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_SAMPLE_AND_HOLD_BB_H
+#define INCLUDED_GR_SAMPLE_AND_HOLD_BB_H
+
+#include <gr_sync_block.h>
+
+class gr_sample_and_hold_bb;
+typedef boost::shared_ptr<gr_sample_and_hold_bb> gr_sample_and_hold_bb_sptr;
+
+gr_sample_and_hold_bb_sptr gr_make_sample_and_hold_bb ();
+
+/*!
+ * \brief sample and hold circuit
+ * \ingroup level_blk
+ *
+ * Samples the data stream (input stream 0) and holds the value
+ * if the control signal is 1 (intput stream 1).
+ */
+class gr_sample_and_hold_bb : public gr_sync_block
+{
+  friend gr_sample_and_hold_bb_sptr gr_make_sample_and_hold_bb ();
+
+  gr_sample_and_hold_bb ();
+
+ private:
+  unsigned char d_data;
+
+ public:
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_sample_and_hold_bb.i b/gnuradio-core/src/lib/gengen/gr_sample_and_hold_bb.i
new file mode 100644 (file)
index 0000000..3f33e37
--- /dev/null
@@ -0,0 +1,33 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,sample_and_hold_bb)
+
+gr_sample_and_hold_bb_sptr gr_make_sample_and_hold_bb ();
+
+class gr_sample_and_hold_bb : public gr_sync_block
+{
+ private:
+  gr_sample_and_hold_bb ();
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_sample_and_hold_ff.cc b/gnuradio-core/src/lib/gengen/gr_sample_and_hold_ff.cc
new file mode 100644 (file)
index 0000000..a3042e7
--- /dev/null
@@ -0,0 +1,62 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_sample_and_hold_ff.h>
+#include <gr_io_signature.h>
+
+gr_sample_and_hold_ff_sptr
+gr_make_sample_and_hold_ff ()
+{
+  return gr_sample_and_hold_ff_sptr (new gr_sample_and_hold_ff ());
+}
+
+gr_sample_and_hold_ff::gr_sample_and_hold_ff ()
+  : gr_sync_block ("sample_and_hold_ff",
+                  gr_make_io_signature2 (2, 2, sizeof (float), sizeof(char)),
+                  gr_make_io_signature (1, 1, sizeof (float))),
+    d_data(0)
+{
+}
+
+int
+gr_sample_and_hold_ff::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  float *iptr = (float *) input_items[0];
+  const char *ctrl = (const char *) input_items[1];
+  float *optr = (float *) output_items[0];
+
+  for (int i = 0; i < noutput_items; i++){
+    if(ctrl[i]) {
+      d_data = iptr[i];
+    }
+    optr[i] = d_data;
+  }
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_sample_and_hold_ff.h b/gnuradio-core/src/lib/gengen/gr_sample_and_hold_ff.h
new file mode 100644 (file)
index 0000000..c91ed8c
--- /dev/null
@@ -0,0 +1,57 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_SAMPLE_AND_HOLD_FF_H
+#define INCLUDED_GR_SAMPLE_AND_HOLD_FF_H
+
+#include <gr_sync_block.h>
+
+class gr_sample_and_hold_ff;
+typedef boost::shared_ptr<gr_sample_and_hold_ff> gr_sample_and_hold_ff_sptr;
+
+gr_sample_and_hold_ff_sptr gr_make_sample_and_hold_ff ();
+
+/*!
+ * \brief sample and hold circuit
+ * \ingroup level_blk
+ *
+ * Samples the data stream (input stream 0) and holds the value
+ * if the control signal is 1 (intput stream 1).
+ */
+class gr_sample_and_hold_ff : public gr_sync_block
+{
+  friend gr_sample_and_hold_ff_sptr gr_make_sample_and_hold_ff ();
+
+  gr_sample_and_hold_ff ();
+
+ private:
+  float d_data;
+
+ public:
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_sample_and_hold_ff.i b/gnuradio-core/src/lib/gengen/gr_sample_and_hold_ff.i
new file mode 100644 (file)
index 0000000..d54c314
--- /dev/null
@@ -0,0 +1,33 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,sample_and_hold_ff)
+
+gr_sample_and_hold_ff_sptr gr_make_sample_and_hold_ff ();
+
+class gr_sample_and_hold_ff : public gr_sync_block
+{
+ private:
+  gr_sample_and_hold_ff ();
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_sample_and_hold_ii.cc b/gnuradio-core/src/lib/gengen/gr_sample_and_hold_ii.cc
new file mode 100644 (file)
index 0000000..149d98d
--- /dev/null
@@ -0,0 +1,62 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_sample_and_hold_ii.h>
+#include <gr_io_signature.h>
+
+gr_sample_and_hold_ii_sptr
+gr_make_sample_and_hold_ii ()
+{
+  return gr_sample_and_hold_ii_sptr (new gr_sample_and_hold_ii ());
+}
+
+gr_sample_and_hold_ii::gr_sample_and_hold_ii ()
+  : gr_sync_block ("sample_and_hold_ii",
+                  gr_make_io_signature2 (2, 2, sizeof (int), sizeof(char)),
+                  gr_make_io_signature (1, 1, sizeof (int))),
+    d_data(0)
+{
+}
+
+int
+gr_sample_and_hold_ii::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  int *iptr = (int *) input_items[0];
+  const char *ctrl = (const char *) input_items[1];
+  int *optr = (int *) output_items[0];
+
+  for (int i = 0; i < noutput_items; i++){
+    if(ctrl[i]) {
+      d_data = iptr[i];
+    }
+    optr[i] = d_data;
+  }
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_sample_and_hold_ii.h b/gnuradio-core/src/lib/gengen/gr_sample_and_hold_ii.h
new file mode 100644 (file)
index 0000000..a3e79b7
--- /dev/null
@@ -0,0 +1,57 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_SAMPLE_AND_HOLD_II_H
+#define INCLUDED_GR_SAMPLE_AND_HOLD_II_H
+
+#include <gr_sync_block.h>
+
+class gr_sample_and_hold_ii;
+typedef boost::shared_ptr<gr_sample_and_hold_ii> gr_sample_and_hold_ii_sptr;
+
+gr_sample_and_hold_ii_sptr gr_make_sample_and_hold_ii ();
+
+/*!
+ * \brief sample and hold circuit
+ * \ingroup level_blk
+ *
+ * Samples the data stream (input stream 0) and holds the value
+ * if the control signal is 1 (intput stream 1).
+ */
+class gr_sample_and_hold_ii : public gr_sync_block
+{
+  friend gr_sample_and_hold_ii_sptr gr_make_sample_and_hold_ii ();
+
+  gr_sample_and_hold_ii ();
+
+ private:
+  int d_data;
+
+ public:
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_sample_and_hold_ii.i b/gnuradio-core/src/lib/gengen/gr_sample_and_hold_ii.i
new file mode 100644 (file)
index 0000000..bee2b93
--- /dev/null
@@ -0,0 +1,33 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,sample_and_hold_ii)
+
+gr_sample_and_hold_ii_sptr gr_make_sample_and_hold_ii ();
+
+class gr_sample_and_hold_ii : public gr_sync_block
+{
+ private:
+  gr_sample_and_hold_ii ();
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_sample_and_hold_ss.cc b/gnuradio-core/src/lib/gengen/gr_sample_and_hold_ss.cc
new file mode 100644 (file)
index 0000000..9f23d10
--- /dev/null
@@ -0,0 +1,62 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_sample_and_hold_ss.h>
+#include <gr_io_signature.h>
+
+gr_sample_and_hold_ss_sptr
+gr_make_sample_and_hold_ss ()
+{
+  return gr_sample_and_hold_ss_sptr (new gr_sample_and_hold_ss ());
+}
+
+gr_sample_and_hold_ss::gr_sample_and_hold_ss ()
+  : gr_sync_block ("sample_and_hold_ss",
+                  gr_make_io_signature2 (2, 2, sizeof (short), sizeof(char)),
+                  gr_make_io_signature (1, 1, sizeof (short))),
+    d_data(0)
+{
+}
+
+int
+gr_sample_and_hold_ss::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  short *iptr = (short *) input_items[0];
+  const char *ctrl = (const char *) input_items[1];
+  short *optr = (short *) output_items[0];
+
+  for (int i = 0; i < noutput_items; i++){
+    if(ctrl[i]) {
+      d_data = iptr[i];
+    }
+    optr[i] = d_data;
+  }
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_sample_and_hold_ss.h b/gnuradio-core/src/lib/gengen/gr_sample_and_hold_ss.h
new file mode 100644 (file)
index 0000000..2053c62
--- /dev/null
@@ -0,0 +1,57 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_SAMPLE_AND_HOLD_SS_H
+#define INCLUDED_GR_SAMPLE_AND_HOLD_SS_H
+
+#include <gr_sync_block.h>
+
+class gr_sample_and_hold_ss;
+typedef boost::shared_ptr<gr_sample_and_hold_ss> gr_sample_and_hold_ss_sptr;
+
+gr_sample_and_hold_ss_sptr gr_make_sample_and_hold_ss ();
+
+/*!
+ * \brief sample and hold circuit
+ * \ingroup level_blk
+ *
+ * Samples the data stream (input stream 0) and holds the value
+ * if the control signal is 1 (intput stream 1).
+ */
+class gr_sample_and_hold_ss : public gr_sync_block
+{
+  friend gr_sample_and_hold_ss_sptr gr_make_sample_and_hold_ss ();
+
+  gr_sample_and_hold_ss ();
+
+ private:
+  short d_data;
+
+ public:
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_sample_and_hold_ss.i b/gnuradio-core/src/lib/gengen/gr_sample_and_hold_ss.i
new file mode 100644 (file)
index 0000000..f3c04a4
--- /dev/null
@@ -0,0 +1,33 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,sample_and_hold_ss)
+
+gr_sample_and_hold_ss_sptr gr_make_sample_and_hold_ss ();
+
+class gr_sample_and_hold_ss : public gr_sync_block
+{
+ private:
+  gr_sample_and_hold_ss ();
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_sig_source_c.cc b/gnuradio-core/src/lib/gengen/gr_sig_source_c.cc
new file mode 100644 (file)
index 0000000..154e1d4
--- /dev/null
@@ -0,0 +1,242 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <gr_sig_source_c.h>
+#include <algorithm>
+#include <gr_io_signature.h>
+#include <stdexcept>
+#include <gr_complex.h>
+
+
+gr_sig_source_c::gr_sig_source_c (double sampling_freq, gr_waveform_t waveform,
+               double frequency, double ampl, gr_complex offset)
+  : gr_sync_block ("sig_source_c",
+                  gr_make_io_signature (0, 0, 0),
+                  gr_make_io_signature (1, 1, sizeof (gr_complex))),
+    d_sampling_freq (sampling_freq), d_waveform (waveform), d_frequency (frequency),
+    d_ampl (ampl), d_offset (offset)
+{
+  d_nco.set_freq (2 * M_PI * d_frequency / d_sampling_freq);
+}
+
+gr_sig_source_c_sptr
+gr_make_sig_source_c (double sampling_freq, gr_waveform_t waveform,
+                    double frequency, double ampl, gr_complex offset)
+{
+  return gr_sig_source_c_sptr (new gr_sig_source_c (sampling_freq, waveform, frequency, ampl, offset));
+}
+
+int
+gr_sig_source_c::work (int noutput_items,
+                   gr_vector_const_void_star &input_items,
+                   gr_vector_void_star &output_items)
+{
+  gr_complex *optr = (gr_complex *) output_items[0];
+  gr_complex t;
+
+  switch (d_waveform){
+
+#if 1  // complex?
+
+  case GR_CONST_WAVE:
+    t = (gr_complex) d_ampl + d_offset;
+    for (int i = 0; i < noutput_items; i++)    // FIXME unroll
+      optr[i] = t;
+    break;
+    
+  case GR_SIN_WAVE:
+  case GR_COS_WAVE:
+    d_nco.sincos (optr, noutput_items, d_ampl);
+    if (d_offset == gr_complex(0,0))
+      break;
+
+    for (int i = 0; i < noutput_items; i++){
+      optr[i] += d_offset;
+    }
+    break;
+    
+  /* Implements a real square wave high from -PI to 0.  
+  * The imaginary square wave leads by 90 deg.
+  */
+  case GR_SQR_WAVE:    
+    for (int i = 0; i < noutput_items; i++){
+      if (d_nco.get_phase() < -1*M_PI/2)
+        optr[i] = gr_complex(d_ampl, 0)+d_offset;
+      else if (d_nco.get_phase() < 0)
+        optr[i] = gr_complex(d_ampl, d_ampl)+d_offset;
+      else if (d_nco.get_phase() < M_PI/2)
+        optr[i] = gr_complex(0, d_ampl)+d_offset;
+      else
+        optr[i] = d_offset;            
+      d_nco.step();
+    }
+    break;
+       
+  /* Implements a real triangle wave rising from -PI to 0 and  
+  * falling from 0 to PI. The imaginary triangle wave leads by 90 deg.
+  */
+  case GR_TRI_WAVE:    
+    for (int i = 0; i < noutput_items; i++){
+      if (d_nco.get_phase() < -1*M_PI/2){
+        optr[i] = gr_complex(d_ampl*d_nco.get_phase()/M_PI + d_ampl, 
+          -1*d_ampl*d_nco.get_phase()/M_PI - d_ampl/2)+d_offset;
+      }
+      else if (d_nco.get_phase() < 0){
+        optr[i] = gr_complex(d_ampl*d_nco.get_phase()/M_PI + d_ampl,
+          d_ampl*d_nco.get_phase()/M_PI + d_ampl/2)+d_offset;
+      }
+      else if (d_nco.get_phase() < M_PI/2){
+        optr[i] = gr_complex(-1*d_ampl*d_nco.get_phase()/M_PI + d_ampl, 
+          d_ampl*d_nco.get_phase()/M_PI + d_ampl/2)+d_offset;
+      }
+      else{
+        optr[i] = gr_complex(-1*d_ampl*d_nco.get_phase()/M_PI + d_ampl, 
+          -1*d_ampl*d_nco.get_phase()/M_PI + 3*d_ampl/2)+d_offset;
+      }
+      d_nco.step();
+    }
+    break;
+       
+  /* Implements a real saw tooth wave rising from -PI to PI. 
+  * The imaginary saw tooth wave leads by 90 deg.
+  */
+  case GR_SAW_WAVE:    
+    for (int i = 0; i < noutput_items; i++){
+      if (d_nco.get_phase() < -1*M_PI/2){
+        optr[i] = gr_complex(d_ampl*d_nco.get_phase()/(2*M_PI) + d_ampl/2, 
+          d_ampl*d_nco.get_phase()/(2*M_PI) + 5*d_ampl/4)+d_offset;
+      }
+      else{
+        optr[i] = gr_complex(d_ampl*d_nco.get_phase()/(2*M_PI) + d_ampl/2,
+          d_ampl*d_nco.get_phase()/(2*M_PI) + d_ampl/4)+d_offset;
+      }
+      d_nco.step();
+    }  
+    break;
+
+#else                  // nope...
+
+  case GR_CONST_WAVE:
+    t = (gr_complex) d_ampl + d_offset;
+    for (int i = 0; i < noutput_items; i++)    // FIXME unroll
+      optr[i] = t;
+    break;
+    
+  case GR_SIN_WAVE:
+    d_nco.sin (optr, noutput_items, d_ampl);
+    if (d_offset == 0)
+      break;
+
+    for (int i = 0; i < noutput_items; i++){
+      optr[i] += d_offset;
+    }
+    break;
+
+  case GR_COS_WAVE:
+    d_nco.cos (optr, noutput_items, d_ampl);
+    if (d_offset == 0)
+      break;
+
+    for (int i = 0; i < noutput_items; i++){
+      optr[i] += d_offset;
+    }
+    break;
+   
+  /* The square wave is high from -PI to 0.    */ 
+  case GR_SQR_WAVE:            
+    t = (gr_complex) d_ampl + d_offset;
+    for (int i = 0; i < noutput_items; i++){
+      if (d_nco.get_phase() < 0)
+        optr[i] = t;
+      else
+        optr[i] = d_offset;
+      d_nco.step();
+    }
+    break;
+       
+  /* The triangle wave rises from -PI to 0 and falls from 0 to PI.     */ 
+  case GR_TRI_WAVE:    
+    for (int i = 0; i < noutput_items; i++){
+      double t = d_ampl*d_nco.get_phase()/M_PI;
+      if (d_nco.get_phase() < 0)
+       optr[i] = static_cast<gr_complex>(t + d_ampl + d_offset);
+      else
+       optr[i] = static_cast<gr_complex>(-1*t + d_ampl + d_offset);
+      d_nco.step();
+    }
+    break;
+       
+  /* The saw tooth wave rises from -PI to PI.  */
+  case GR_SAW_WAVE:    
+    for (int i = 0; i < noutput_items; i++){
+      t = static_cast<gr_complex>(d_ampl*d_nco.get_phase()/(2*M_PI) + d_ampl/2 + d_offset);
+      optr[i] = t;             
+      d_nco.step();
+    }
+    break;
+
+#endif
+
+  default:
+    throw std::runtime_error ("gr_sig_source: invalid waveform");
+  }
+
+  return noutput_items;
+}
+
+void
+gr_sig_source_c::set_sampling_freq (double sampling_freq)
+{
+  d_sampling_freq = sampling_freq;
+  d_nco.set_freq (2 * M_PI * d_frequency / d_sampling_freq);
+}
+
+void
+gr_sig_source_c::set_waveform (gr_waveform_t waveform)
+{
+  d_waveform = waveform;
+}
+
+void
+gr_sig_source_c::set_frequency (double frequency)
+{
+  d_frequency = frequency;
+  d_nco.set_freq (2 * M_PI * d_frequency / d_sampling_freq);
+}
+
+void
+gr_sig_source_c::set_amplitude (double ampl)
+{
+  d_ampl = ampl;
+}
+
+void
+gr_sig_source_c::set_offset (gr_complex offset)
+{
+  d_offset = offset;
+}
+
diff --git a/gnuradio-core/src/lib/gengen/gr_sig_source_c.h b/gnuradio-core/src/lib/gengen/gr_sig_source_c.h
new file mode 100644 (file)
index 0000000..49c43d7
--- /dev/null
@@ -0,0 +1,81 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_SIG_SOURCE_C_H
+#define INCLUDED_GR_SIG_SOURCE_C_H
+
+#include <gr_sync_block.h>
+#include <gr_sig_source_waveform.h>
+#include <gr_fxpt_nco.h>
+
+class gr_sig_source_c;
+typedef boost::shared_ptr<gr_sig_source_c> gr_sig_source_c_sptr;
+
+/*!
+ * \brief signal generator with gr_complex output.
+ * \ingroup source_blk
+ */
+
+class gr_sig_source_c : public gr_sync_block {
+  friend gr_sig_source_c_sptr 
+  gr_make_sig_source_c (double sampling_freq, gr_waveform_t waveform,
+                      double frequency, double ampl, gr_complex offset);
+
+  double               d_sampling_freq;
+  gr_waveform_t                d_waveform;
+  double               d_frequency;
+  double               d_ampl;
+  gr_complex           d_offset;
+  gr_fxpt_nco          d_nco;
+
+
+  gr_sig_source_c (double sampling_freq, gr_waveform_t waveform,
+         double wave_freq, double ampl, gr_complex offset);
+
+ public:
+  virtual int work (int noutput_items,
+                   gr_vector_const_void_star &input_items,
+                   gr_vector_void_star &output_items);
+
+  // ACCESSORS
+  double sampling_freq () const { return d_sampling_freq; }
+  gr_waveform_t waveform () const { return d_waveform; }
+  double frequency () const { return d_frequency; }
+  double amplitude () const { return d_ampl; }
+  gr_complex offset () const { return d_offset; }
+
+  // MANIPULATORS
+  void set_sampling_freq (double sampling_freq);
+  void set_waveform (gr_waveform_t waveform);
+  void set_frequency (double frequency);
+  void set_amplitude (double ampl);
+  void set_offset (gr_complex offset);
+};
+
+gr_sig_source_c_sptr
+gr_make_sig_source_c (double sampling_freq, gr_waveform_t waveform,
+                    double wave_freq, double ampl, gr_complex offset = 0);
+
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_sig_source_c.i b/gnuradio-core/src/lib/gengen/gr_sig_source_c.i
new file mode 100644 (file)
index 0000000..e02b1c8
--- /dev/null
@@ -0,0 +1,52 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,sig_source_c);
+
+gr_sig_source_c_sptr
+gr_make_sig_source_c (double sampling_freq, gr_waveform_t waveform,
+                    double wave_freq, double ampl, gr_complex offset = 0);
+
+
+class gr_sig_source_c : public gr_sync_block {
+ private:
+  gr_sig_source_c (double sampling_freq, gr_waveform_t waveform,
+         double wave_freq, double ampl, gr_complex offset);
+
+ public:
+
+  // ACCESSORS
+  double sampling_freq () const { return d_sampling_freq; }
+  gr_waveform_t waveform () const { return d_waveform; }
+  double frequency () const { return d_frequency; }
+  double amplitude () const { return d_ampl; }
+  gr_complex offset () const { return d_offset; }
+
+  // MANIPULATORS
+  void set_sampling_freq (double sampling_freq);
+  void set_waveform (gr_waveform_t waveform);
+  void set_frequency (double frequency);
+  void set_amplitude (double ampl);
+  void set_offset (gr_complex offset);
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_sig_source_f.cc b/gnuradio-core/src/lib/gengen/gr_sig_source_f.cc
new file mode 100644 (file)
index 0000000..33f7140
--- /dev/null
@@ -0,0 +1,242 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <gr_sig_source_f.h>
+#include <algorithm>
+#include <gr_io_signature.h>
+#include <stdexcept>
+#include <gr_complex.h>
+
+
+gr_sig_source_f::gr_sig_source_f (double sampling_freq, gr_waveform_t waveform,
+               double frequency, double ampl, float offset)
+  : gr_sync_block ("sig_source_f",
+                  gr_make_io_signature (0, 0, 0),
+                  gr_make_io_signature (1, 1, sizeof (float))),
+    d_sampling_freq (sampling_freq), d_waveform (waveform), d_frequency (frequency),
+    d_ampl (ampl), d_offset (offset)
+{
+  d_nco.set_freq (2 * M_PI * d_frequency / d_sampling_freq);
+}
+
+gr_sig_source_f_sptr
+gr_make_sig_source_f (double sampling_freq, gr_waveform_t waveform,
+                    double frequency, double ampl, float offset)
+{
+  return gr_sig_source_f_sptr (new gr_sig_source_f (sampling_freq, waveform, frequency, ampl, offset));
+}
+
+int
+gr_sig_source_f::work (int noutput_items,
+                   gr_vector_const_void_star &input_items,
+                   gr_vector_void_star &output_items)
+{
+  float *optr = (float *) output_items[0];
+  float t;
+
+  switch (d_waveform){
+
+#if 0  // complex?
+
+  case GR_CONST_WAVE:
+    t = (gr_complex) d_ampl + d_offset;
+    for (int i = 0; i < noutput_items; i++)    // FIXME unroll
+      optr[i] = t;
+    break;
+    
+  case GR_SIN_WAVE:
+  case GR_COS_WAVE:
+    d_nco.sincos (optr, noutput_items, d_ampl);
+    if (d_offset == gr_complex(0,0))
+      break;
+
+    for (int i = 0; i < noutput_items; i++){
+      optr[i] += d_offset;
+    }
+    break;
+    
+  /* Implements a real square wave high from -PI to 0.  
+  * The imaginary square wave leads by 90 deg.
+  */
+  case GR_SQR_WAVE:    
+    for (int i = 0; i < noutput_items; i++){
+      if (d_nco.get_phase() < -1*M_PI/2)
+        optr[i] = gr_complex(d_ampl, 0)+d_offset;
+      else if (d_nco.get_phase() < 0)
+        optr[i] = gr_complex(d_ampl, d_ampl)+d_offset;
+      else if (d_nco.get_phase() < M_PI/2)
+        optr[i] = gr_complex(0, d_ampl)+d_offset;
+      else
+        optr[i] = d_offset;            
+      d_nco.step();
+    }
+    break;
+       
+  /* Implements a real triangle wave rising from -PI to 0 and  
+  * falling from 0 to PI. The imaginary triangle wave leads by 90 deg.
+  */
+  case GR_TRI_WAVE:    
+    for (int i = 0; i < noutput_items; i++){
+      if (d_nco.get_phase() < -1*M_PI/2){
+        optr[i] = gr_complex(d_ampl*d_nco.get_phase()/M_PI + d_ampl, 
+          -1*d_ampl*d_nco.get_phase()/M_PI - d_ampl/2)+d_offset;
+      }
+      else if (d_nco.get_phase() < 0){
+        optr[i] = gr_complex(d_ampl*d_nco.get_phase()/M_PI + d_ampl,
+          d_ampl*d_nco.get_phase()/M_PI + d_ampl/2)+d_offset;
+      }
+      else if (d_nco.get_phase() < M_PI/2){
+        optr[i] = gr_complex(-1*d_ampl*d_nco.get_phase()/M_PI + d_ampl, 
+          d_ampl*d_nco.get_phase()/M_PI + d_ampl/2)+d_offset;
+      }
+      else{
+        optr[i] = gr_complex(-1*d_ampl*d_nco.get_phase()/M_PI + d_ampl, 
+          -1*d_ampl*d_nco.get_phase()/M_PI + 3*d_ampl/2)+d_offset;
+      }
+      d_nco.step();
+    }
+    break;
+       
+  /* Implements a real saw tooth wave rising from -PI to PI. 
+  * The imaginary saw tooth wave leads by 90 deg.
+  */
+  case GR_SAW_WAVE:    
+    for (int i = 0; i < noutput_items; i++){
+      if (d_nco.get_phase() < -1*M_PI/2){
+        optr[i] = gr_complex(d_ampl*d_nco.get_phase()/(2*M_PI) + d_ampl/2, 
+          d_ampl*d_nco.get_phase()/(2*M_PI) + 5*d_ampl/4)+d_offset;
+      }
+      else{
+        optr[i] = gr_complex(d_ampl*d_nco.get_phase()/(2*M_PI) + d_ampl/2,
+          d_ampl*d_nco.get_phase()/(2*M_PI) + d_ampl/4)+d_offset;
+      }
+      d_nco.step();
+    }  
+    break;
+
+#else                  // nope...
+
+  case GR_CONST_WAVE:
+    t = (float) d_ampl + d_offset;
+    for (int i = 0; i < noutput_items; i++)    // FIXME unroll
+      optr[i] = t;
+    break;
+    
+  case GR_SIN_WAVE:
+    d_nco.sin (optr, noutput_items, d_ampl);
+    if (d_offset == 0)
+      break;
+
+    for (int i = 0; i < noutput_items; i++){
+      optr[i] += d_offset;
+    }
+    break;
+
+  case GR_COS_WAVE:
+    d_nco.cos (optr, noutput_items, d_ampl);
+    if (d_offset == 0)
+      break;
+
+    for (int i = 0; i < noutput_items; i++){
+      optr[i] += d_offset;
+    }
+    break;
+   
+  /* The square wave is high from -PI to 0.    */ 
+  case GR_SQR_WAVE:            
+    t = (float) d_ampl + d_offset;
+    for (int i = 0; i < noutput_items; i++){
+      if (d_nco.get_phase() < 0)
+        optr[i] = t;
+      else
+        optr[i] = d_offset;
+      d_nco.step();
+    }
+    break;
+       
+  /* The triangle wave rises from -PI to 0 and falls from 0 to PI.     */ 
+  case GR_TRI_WAVE:    
+    for (int i = 0; i < noutput_items; i++){
+      double t = d_ampl*d_nco.get_phase()/M_PI;
+      if (d_nco.get_phase() < 0)
+       optr[i] = static_cast<float>(t + d_ampl + d_offset);
+      else
+       optr[i] = static_cast<float>(-1*t + d_ampl + d_offset);
+      d_nco.step();
+    }
+    break;
+       
+  /* The saw tooth wave rises from -PI to PI.  */
+  case GR_SAW_WAVE:    
+    for (int i = 0; i < noutput_items; i++){
+      t = static_cast<float>(d_ampl*d_nco.get_phase()/(2*M_PI) + d_ampl/2 + d_offset);
+      optr[i] = t;             
+      d_nco.step();
+    }
+    break;
+
+#endif
+
+  default:
+    throw std::runtime_error ("gr_sig_source: invalid waveform");
+  }
+
+  return noutput_items;
+}
+
+void
+gr_sig_source_f::set_sampling_freq (double sampling_freq)
+{
+  d_sampling_freq = sampling_freq;
+  d_nco.set_freq (2 * M_PI * d_frequency / d_sampling_freq);
+}
+
+void
+gr_sig_source_f::set_waveform (gr_waveform_t waveform)
+{
+  d_waveform = waveform;
+}
+
+void
+gr_sig_source_f::set_frequency (double frequency)
+{
+  d_frequency = frequency;
+  d_nco.set_freq (2 * M_PI * d_frequency / d_sampling_freq);
+}
+
+void
+gr_sig_source_f::set_amplitude (double ampl)
+{
+  d_ampl = ampl;
+}
+
+void
+gr_sig_source_f::set_offset (float offset)
+{
+  d_offset = offset;
+}
+
diff --git a/gnuradio-core/src/lib/gengen/gr_sig_source_f.h b/gnuradio-core/src/lib/gengen/gr_sig_source_f.h
new file mode 100644 (file)
index 0000000..d90ca6d
--- /dev/null
@@ -0,0 +1,81 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_SIG_SOURCE_F_H
+#define INCLUDED_GR_SIG_SOURCE_F_H
+
+#include <gr_sync_block.h>
+#include <gr_sig_source_waveform.h>
+#include <gr_fxpt_nco.h>
+
+class gr_sig_source_f;
+typedef boost::shared_ptr<gr_sig_source_f> gr_sig_source_f_sptr;
+
+/*!
+ * \brief signal generator with float output.
+ * \ingroup source_blk
+ */
+
+class gr_sig_source_f : public gr_sync_block {
+  friend gr_sig_source_f_sptr 
+  gr_make_sig_source_f (double sampling_freq, gr_waveform_t waveform,
+                      double frequency, double ampl, float offset);
+
+  double               d_sampling_freq;
+  gr_waveform_t                d_waveform;
+  double               d_frequency;
+  double               d_ampl;
+  float                d_offset;
+  gr_fxpt_nco          d_nco;
+
+
+  gr_sig_source_f (double sampling_freq, gr_waveform_t waveform,
+         double wave_freq, double ampl, float offset);
+
+ public:
+  virtual int work (int noutput_items,
+                   gr_vector_const_void_star &input_items,
+                   gr_vector_void_star &output_items);
+
+  // ACCESSORS
+  double sampling_freq () const { return d_sampling_freq; }
+  gr_waveform_t waveform () const { return d_waveform; }
+  double frequency () const { return d_frequency; }
+  double amplitude () const { return d_ampl; }
+  float offset () const { return d_offset; }
+
+  // MANIPULATORS
+  void set_sampling_freq (double sampling_freq);
+  void set_waveform (gr_waveform_t waveform);
+  void set_frequency (double frequency);
+  void set_amplitude (double ampl);
+  void set_offset (float offset);
+};
+
+gr_sig_source_f_sptr
+gr_make_sig_source_f (double sampling_freq, gr_waveform_t waveform,
+                    double wave_freq, double ampl, float offset = 0);
+
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_sig_source_f.i b/gnuradio-core/src/lib/gengen/gr_sig_source_f.i
new file mode 100644 (file)
index 0000000..612e768
--- /dev/null
@@ -0,0 +1,52 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,sig_source_f);
+
+gr_sig_source_f_sptr
+gr_make_sig_source_f (double sampling_freq, gr_waveform_t waveform,
+                    double wave_freq, double ampl, float offset = 0);
+
+
+class gr_sig_source_f : public gr_sync_block {
+ private:
+  gr_sig_source_f (double sampling_freq, gr_waveform_t waveform,
+         double wave_freq, double ampl, float offset);
+
+ public:
+
+  // ACCESSORS
+  double sampling_freq () const { return d_sampling_freq; }
+  gr_waveform_t waveform () const { return d_waveform; }
+  double frequency () const { return d_frequency; }
+  double amplitude () const { return d_ampl; }
+  float offset () const { return d_offset; }
+
+  // MANIPULATORS
+  void set_sampling_freq (double sampling_freq);
+  void set_waveform (gr_waveform_t waveform);
+  void set_frequency (double frequency);
+  void set_amplitude (double ampl);
+  void set_offset (float offset);
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_sig_source_i.cc b/gnuradio-core/src/lib/gengen/gr_sig_source_i.cc
new file mode 100644 (file)
index 0000000..b4b0469
--- /dev/null
@@ -0,0 +1,242 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <gr_sig_source_i.h>
+#include <algorithm>
+#include <gr_io_signature.h>
+#include <stdexcept>
+#include <gr_complex.h>
+
+
+gr_sig_source_i::gr_sig_source_i (double sampling_freq, gr_waveform_t waveform,
+               double frequency, double ampl, int offset)
+  : gr_sync_block ("sig_source_i",
+                  gr_make_io_signature (0, 0, 0),
+                  gr_make_io_signature (1, 1, sizeof (int))),
+    d_sampling_freq (sampling_freq), d_waveform (waveform), d_frequency (frequency),
+    d_ampl (ampl), d_offset (offset)
+{
+  d_nco.set_freq (2 * M_PI * d_frequency / d_sampling_freq);
+}
+
+gr_sig_source_i_sptr
+gr_make_sig_source_i (double sampling_freq, gr_waveform_t waveform,
+                    double frequency, double ampl, int offset)
+{
+  return gr_sig_source_i_sptr (new gr_sig_source_i (sampling_freq, waveform, frequency, ampl, offset));
+}
+
+int
+gr_sig_source_i::work (int noutput_items,
+                   gr_vector_const_void_star &input_items,
+                   gr_vector_void_star &output_items)
+{
+  int *optr = (int *) output_items[0];
+  int t;
+
+  switch (d_waveform){
+
+#if 0  // complex?
+
+  case GR_CONST_WAVE:
+    t = (gr_complex) d_ampl + d_offset;
+    for (int i = 0; i < noutput_items; i++)    // FIXME unroll
+      optr[i] = t;
+    break;
+    
+  case GR_SIN_WAVE:
+  case GR_COS_WAVE:
+    d_nco.sincos (optr, noutput_items, d_ampl);
+    if (d_offset == gr_complex(0,0))
+      break;
+
+    for (int i = 0; i < noutput_items; i++){
+      optr[i] += d_offset;
+    }
+    break;
+    
+  /* Implements a real square wave high from -PI to 0.  
+  * The imaginary square wave leads by 90 deg.
+  */
+  case GR_SQR_WAVE:    
+    for (int i = 0; i < noutput_items; i++){
+      if (d_nco.get_phase() < -1*M_PI/2)
+        optr[i] = gr_complex(d_ampl, 0)+d_offset;
+      else if (d_nco.get_phase() < 0)
+        optr[i] = gr_complex(d_ampl, d_ampl)+d_offset;
+      else if (d_nco.get_phase() < M_PI/2)
+        optr[i] = gr_complex(0, d_ampl)+d_offset;
+      else
+        optr[i] = d_offset;            
+      d_nco.step();
+    }
+    break;
+       
+  /* Implements a real triangle wave rising from -PI to 0 and  
+  * falling from 0 to PI. The imaginary triangle wave leads by 90 deg.
+  */
+  case GR_TRI_WAVE:    
+    for (int i = 0; i < noutput_items; i++){
+      if (d_nco.get_phase() < -1*M_PI/2){
+        optr[i] = gr_complex(d_ampl*d_nco.get_phase()/M_PI + d_ampl, 
+          -1*d_ampl*d_nco.get_phase()/M_PI - d_ampl/2)+d_offset;
+      }
+      else if (d_nco.get_phase() < 0){
+        optr[i] = gr_complex(d_ampl*d_nco.get_phase()/M_PI + d_ampl,
+          d_ampl*d_nco.get_phase()/M_PI + d_ampl/2)+d_offset;
+      }
+      else if (d_nco.get_phase() < M_PI/2){
+        optr[i] = gr_complex(-1*d_ampl*d_nco.get_phase()/M_PI + d_ampl, 
+          d_ampl*d_nco.get_phase()/M_PI + d_ampl/2)+d_offset;
+      }
+      else{
+        optr[i] = gr_complex(-1*d_ampl*d_nco.get_phase()/M_PI + d_ampl, 
+          -1*d_ampl*d_nco.get_phase()/M_PI + 3*d_ampl/2)+d_offset;
+      }
+      d_nco.step();
+    }
+    break;
+       
+  /* Implements a real saw tooth wave rising from -PI to PI. 
+  * The imaginary saw tooth wave leads by 90 deg.
+  */
+  case GR_SAW_WAVE:    
+    for (int i = 0; i < noutput_items; i++){
+      if (d_nco.get_phase() < -1*M_PI/2){
+        optr[i] = gr_complex(d_ampl*d_nco.get_phase()/(2*M_PI) + d_ampl/2, 
+          d_ampl*d_nco.get_phase()/(2*M_PI) + 5*d_ampl/4)+d_offset;
+      }
+      else{
+        optr[i] = gr_complex(d_ampl*d_nco.get_phase()/(2*M_PI) + d_ampl/2,
+          d_ampl*d_nco.get_phase()/(2*M_PI) + d_ampl/4)+d_offset;
+      }
+      d_nco.step();
+    }  
+    break;
+
+#else                  // nope...
+
+  case GR_CONST_WAVE:
+    t = (int) d_ampl + d_offset;
+    for (int i = 0; i < noutput_items; i++)    // FIXME unroll
+      optr[i] = t;
+    break;
+    
+  case GR_SIN_WAVE:
+    d_nco.sin (optr, noutput_items, d_ampl);
+    if (d_offset == 0)
+      break;
+
+    for (int i = 0; i < noutput_items; i++){
+      optr[i] += d_offset;
+    }
+    break;
+
+  case GR_COS_WAVE:
+    d_nco.cos (optr, noutput_items, d_ampl);
+    if (d_offset == 0)
+      break;
+
+    for (int i = 0; i < noutput_items; i++){
+      optr[i] += d_offset;
+    }
+    break;
+   
+  /* The square wave is high from -PI to 0.    */ 
+  case GR_SQR_WAVE:            
+    t = (int) d_ampl + d_offset;
+    for (int i = 0; i < noutput_items; i++){
+      if (d_nco.get_phase() < 0)
+        optr[i] = t;
+      else
+        optr[i] = d_offset;
+      d_nco.step();
+    }
+    break;
+       
+  /* The triangle wave rises from -PI to 0 and falls from 0 to PI.     */ 
+  case GR_TRI_WAVE:    
+    for (int i = 0; i < noutput_items; i++){
+      double t = d_ampl*d_nco.get_phase()/M_PI;
+      if (d_nco.get_phase() < 0)
+       optr[i] = static_cast<int>(t + d_ampl + d_offset);
+      else
+       optr[i] = static_cast<int>(-1*t + d_ampl + d_offset);
+      d_nco.step();
+    }
+    break;
+       
+  /* The saw tooth wave rises from -PI to PI.  */
+  case GR_SAW_WAVE:    
+    for (int i = 0; i < noutput_items; i++){
+      t = static_cast<int>(d_ampl*d_nco.get_phase()/(2*M_PI) + d_ampl/2 + d_offset);
+      optr[i] = t;             
+      d_nco.step();
+    }
+    break;
+
+#endif
+
+  default:
+    throw std::runtime_error ("gr_sig_source: invalid waveform");
+  }
+
+  return noutput_items;
+}
+
+void
+gr_sig_source_i::set_sampling_freq (double sampling_freq)
+{
+  d_sampling_freq = sampling_freq;
+  d_nco.set_freq (2 * M_PI * d_frequency / d_sampling_freq);
+}
+
+void
+gr_sig_source_i::set_waveform (gr_waveform_t waveform)
+{
+  d_waveform = waveform;
+}
+
+void
+gr_sig_source_i::set_frequency (double frequency)
+{
+  d_frequency = frequency;
+  d_nco.set_freq (2 * M_PI * d_frequency / d_sampling_freq);
+}
+
+void
+gr_sig_source_i::set_amplitude (double ampl)
+{
+  d_ampl = ampl;
+}
+
+void
+gr_sig_source_i::set_offset (int offset)
+{
+  d_offset = offset;
+}
+
diff --git a/gnuradio-core/src/lib/gengen/gr_sig_source_i.h b/gnuradio-core/src/lib/gengen/gr_sig_source_i.h
new file mode 100644 (file)
index 0000000..ca83c5a
--- /dev/null
@@ -0,0 +1,81 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_SIG_SOURCE_I_H
+#define INCLUDED_GR_SIG_SOURCE_I_H
+
+#include <gr_sync_block.h>
+#include <gr_sig_source_waveform.h>
+#include <gr_fxpt_nco.h>
+
+class gr_sig_source_i;
+typedef boost::shared_ptr<gr_sig_source_i> gr_sig_source_i_sptr;
+
+/*!
+ * \brief signal generator with int output.
+ * \ingroup source_blk
+ */
+
+class gr_sig_source_i : public gr_sync_block {
+  friend gr_sig_source_i_sptr 
+  gr_make_sig_source_i (double sampling_freq, gr_waveform_t waveform,
+                      double frequency, double ampl, int offset);
+
+  double               d_sampling_freq;
+  gr_waveform_t                d_waveform;
+  double               d_frequency;
+  double               d_ampl;
+  int          d_offset;
+  gr_fxpt_nco          d_nco;
+
+
+  gr_sig_source_i (double sampling_freq, gr_waveform_t waveform,
+         double wave_freq, double ampl, int offset);
+
+ public:
+  virtual int work (int noutput_items,
+                   gr_vector_const_void_star &input_items,
+                   gr_vector_void_star &output_items);
+
+  // ACCESSORS
+  double sampling_freq () const { return d_sampling_freq; }
+  gr_waveform_t waveform () const { return d_waveform; }
+  double frequency () const { return d_frequency; }
+  double amplitude () const { return d_ampl; }
+  int offset () const { return d_offset; }
+
+  // MANIPULATORS
+  void set_sampling_freq (double sampling_freq);
+  void set_waveform (gr_waveform_t waveform);
+  void set_frequency (double frequency);
+  void set_amplitude (double ampl);
+  void set_offset (int offset);
+};
+
+gr_sig_source_i_sptr
+gr_make_sig_source_i (double sampling_freq, gr_waveform_t waveform,
+                    double wave_freq, double ampl, int offset = 0);
+
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_sig_source_i.i b/gnuradio-core/src/lib/gengen/gr_sig_source_i.i
new file mode 100644 (file)
index 0000000..b4afdc3
--- /dev/null
@@ -0,0 +1,52 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,sig_source_i);
+
+gr_sig_source_i_sptr
+gr_make_sig_source_i (double sampling_freq, gr_waveform_t waveform,
+                    double wave_freq, double ampl, int offset = 0);
+
+
+class gr_sig_source_i : public gr_sync_block {
+ private:
+  gr_sig_source_i (double sampling_freq, gr_waveform_t waveform,
+         double wave_freq, double ampl, int offset);
+
+ public:
+
+  // ACCESSORS
+  double sampling_freq () const { return d_sampling_freq; }
+  gr_waveform_t waveform () const { return d_waveform; }
+  double frequency () const { return d_frequency; }
+  double amplitude () const { return d_ampl; }
+  int offset () const { return d_offset; }
+
+  // MANIPULATORS
+  void set_sampling_freq (double sampling_freq);
+  void set_waveform (gr_waveform_t waveform);
+  void set_frequency (double frequency);
+  void set_amplitude (double ampl);
+  void set_offset (int offset);
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_sig_source_s.cc b/gnuradio-core/src/lib/gengen/gr_sig_source_s.cc
new file mode 100644 (file)
index 0000000..08a0dcf
--- /dev/null
@@ -0,0 +1,242 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <gr_sig_source_s.h>
+#include <algorithm>
+#include <gr_io_signature.h>
+#include <stdexcept>
+#include <gr_complex.h>
+
+
+gr_sig_source_s::gr_sig_source_s (double sampling_freq, gr_waveform_t waveform,
+               double frequency, double ampl, short offset)
+  : gr_sync_block ("sig_source_s",
+                  gr_make_io_signature (0, 0, 0),
+                  gr_make_io_signature (1, 1, sizeof (short))),
+    d_sampling_freq (sampling_freq), d_waveform (waveform), d_frequency (frequency),
+    d_ampl (ampl), d_offset (offset)
+{
+  d_nco.set_freq (2 * M_PI * d_frequency / d_sampling_freq);
+}
+
+gr_sig_source_s_sptr
+gr_make_sig_source_s (double sampling_freq, gr_waveform_t waveform,
+                    double frequency, double ampl, short offset)
+{
+  return gr_sig_source_s_sptr (new gr_sig_source_s (sampling_freq, waveform, frequency, ampl, offset));
+}
+
+int
+gr_sig_source_s::work (int noutput_items,
+                   gr_vector_const_void_star &input_items,
+                   gr_vector_void_star &output_items)
+{
+  short *optr = (short *) output_items[0];
+  short t;
+
+  switch (d_waveform){
+
+#if 0  // complex?
+
+  case GR_CONST_WAVE:
+    t = (gr_complex) d_ampl + d_offset;
+    for (int i = 0; i < noutput_items; i++)    // FIXME unroll
+      optr[i] = t;
+    break;
+    
+  case GR_SIN_WAVE:
+  case GR_COS_WAVE:
+    d_nco.sincos (optr, noutput_items, d_ampl);
+    if (d_offset == gr_complex(0,0))
+      break;
+
+    for (int i = 0; i < noutput_items; i++){
+      optr[i] += d_offset;
+    }
+    break;
+    
+  /* Implements a real square wave high from -PI to 0.  
+  * The imaginary square wave leads by 90 deg.
+  */
+  case GR_SQR_WAVE:    
+    for (int i = 0; i < noutput_items; i++){
+      if (d_nco.get_phase() < -1*M_PI/2)
+        optr[i] = gr_complex(d_ampl, 0)+d_offset;
+      else if (d_nco.get_phase() < 0)
+        optr[i] = gr_complex(d_ampl, d_ampl)+d_offset;
+      else if (d_nco.get_phase() < M_PI/2)
+        optr[i] = gr_complex(0, d_ampl)+d_offset;
+      else
+        optr[i] = d_offset;            
+      d_nco.step();
+    }
+    break;
+       
+  /* Implements a real triangle wave rising from -PI to 0 and  
+  * falling from 0 to PI. The imaginary triangle wave leads by 90 deg.
+  */
+  case GR_TRI_WAVE:    
+    for (int i = 0; i < noutput_items; i++){
+      if (d_nco.get_phase() < -1*M_PI/2){
+        optr[i] = gr_complex(d_ampl*d_nco.get_phase()/M_PI + d_ampl, 
+          -1*d_ampl*d_nco.get_phase()/M_PI - d_ampl/2)+d_offset;
+      }
+      else if (d_nco.get_phase() < 0){
+        optr[i] = gr_complex(d_ampl*d_nco.get_phase()/M_PI + d_ampl,
+          d_ampl*d_nco.get_phase()/M_PI + d_ampl/2)+d_offset;
+      }
+      else if (d_nco.get_phase() < M_PI/2){
+        optr[i] = gr_complex(-1*d_ampl*d_nco.get_phase()/M_PI + d_ampl, 
+          d_ampl*d_nco.get_phase()/M_PI + d_ampl/2)+d_offset;
+      }
+      else{
+        optr[i] = gr_complex(-1*d_ampl*d_nco.get_phase()/M_PI + d_ampl, 
+          -1*d_ampl*d_nco.get_phase()/M_PI + 3*d_ampl/2)+d_offset;
+      }
+      d_nco.step();
+    }
+    break;
+       
+  /* Implements a real saw tooth wave rising from -PI to PI. 
+  * The imaginary saw tooth wave leads by 90 deg.
+  */
+  case GR_SAW_WAVE:    
+    for (int i = 0; i < noutput_items; i++){
+      if (d_nco.get_phase() < -1*M_PI/2){
+        optr[i] = gr_complex(d_ampl*d_nco.get_phase()/(2*M_PI) + d_ampl/2, 
+          d_ampl*d_nco.get_phase()/(2*M_PI) + 5*d_ampl/4)+d_offset;
+      }
+      else{
+        optr[i] = gr_complex(d_ampl*d_nco.get_phase()/(2*M_PI) + d_ampl/2,
+          d_ampl*d_nco.get_phase()/(2*M_PI) + d_ampl/4)+d_offset;
+      }
+      d_nco.step();
+    }  
+    break;
+
+#else                  // nope...
+
+  case GR_CONST_WAVE:
+    t = (short) d_ampl + d_offset;
+    for (int i = 0; i < noutput_items; i++)    // FIXME unroll
+      optr[i] = t;
+    break;
+    
+  case GR_SIN_WAVE:
+    d_nco.sin (optr, noutput_items, d_ampl);
+    if (d_offset == 0)
+      break;
+
+    for (int i = 0; i < noutput_items; i++){
+      optr[i] += d_offset;
+    }
+    break;
+
+  case GR_COS_WAVE:
+    d_nco.cos (optr, noutput_items, d_ampl);
+    if (d_offset == 0)
+      break;
+
+    for (int i = 0; i < noutput_items; i++){
+      optr[i] += d_offset;
+    }
+    break;
+   
+  /* The square wave is high from -PI to 0.    */ 
+  case GR_SQR_WAVE:            
+    t = (short) d_ampl + d_offset;
+    for (int i = 0; i < noutput_items; i++){
+      if (d_nco.get_phase() < 0)
+        optr[i] = t;
+      else
+        optr[i] = d_offset;
+      d_nco.step();
+    }
+    break;
+       
+  /* The triangle wave rises from -PI to 0 and falls from 0 to PI.     */ 
+  case GR_TRI_WAVE:    
+    for (int i = 0; i < noutput_items; i++){
+      double t = d_ampl*d_nco.get_phase()/M_PI;
+      if (d_nco.get_phase() < 0)
+       optr[i] = static_cast<short>(t + d_ampl + d_offset);
+      else
+       optr[i] = static_cast<short>(-1*t + d_ampl + d_offset);
+      d_nco.step();
+    }
+    break;
+       
+  /* The saw tooth wave rises from -PI to PI.  */
+  case GR_SAW_WAVE:    
+    for (int i = 0; i < noutput_items; i++){
+      t = static_cast<short>(d_ampl*d_nco.get_phase()/(2*M_PI) + d_ampl/2 + d_offset);
+      optr[i] = t;             
+      d_nco.step();
+    }
+    break;
+
+#endif
+
+  default:
+    throw std::runtime_error ("gr_sig_source: invalid waveform");
+  }
+
+  return noutput_items;
+}
+
+void
+gr_sig_source_s::set_sampling_freq (double sampling_freq)
+{
+  d_sampling_freq = sampling_freq;
+  d_nco.set_freq (2 * M_PI * d_frequency / d_sampling_freq);
+}
+
+void
+gr_sig_source_s::set_waveform (gr_waveform_t waveform)
+{
+  d_waveform = waveform;
+}
+
+void
+gr_sig_source_s::set_frequency (double frequency)
+{
+  d_frequency = frequency;
+  d_nco.set_freq (2 * M_PI * d_frequency / d_sampling_freq);
+}
+
+void
+gr_sig_source_s::set_amplitude (double ampl)
+{
+  d_ampl = ampl;
+}
+
+void
+gr_sig_source_s::set_offset (short offset)
+{
+  d_offset = offset;
+}
+
diff --git a/gnuradio-core/src/lib/gengen/gr_sig_source_s.h b/gnuradio-core/src/lib/gengen/gr_sig_source_s.h
new file mode 100644 (file)
index 0000000..6a6cab1
--- /dev/null
@@ -0,0 +1,81 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_SIG_SOURCE_S_H
+#define INCLUDED_GR_SIG_SOURCE_S_H
+
+#include <gr_sync_block.h>
+#include <gr_sig_source_waveform.h>
+#include <gr_fxpt_nco.h>
+
+class gr_sig_source_s;
+typedef boost::shared_ptr<gr_sig_source_s> gr_sig_source_s_sptr;
+
+/*!
+ * \brief signal generator with short output.
+ * \ingroup source_blk
+ */
+
+class gr_sig_source_s : public gr_sync_block {
+  friend gr_sig_source_s_sptr 
+  gr_make_sig_source_s (double sampling_freq, gr_waveform_t waveform,
+                      double frequency, double ampl, short offset);
+
+  double               d_sampling_freq;
+  gr_waveform_t                d_waveform;
+  double               d_frequency;
+  double               d_ampl;
+  short                d_offset;
+  gr_fxpt_nco          d_nco;
+
+
+  gr_sig_source_s (double sampling_freq, gr_waveform_t waveform,
+         double wave_freq, double ampl, short offset);
+
+ public:
+  virtual int work (int noutput_items,
+                   gr_vector_const_void_star &input_items,
+                   gr_vector_void_star &output_items);
+
+  // ACCESSORS
+  double sampling_freq () const { return d_sampling_freq; }
+  gr_waveform_t waveform () const { return d_waveform; }
+  double frequency () const { return d_frequency; }
+  double amplitude () const { return d_ampl; }
+  short offset () const { return d_offset; }
+
+  // MANIPULATORS
+  void set_sampling_freq (double sampling_freq);
+  void set_waveform (gr_waveform_t waveform);
+  void set_frequency (double frequency);
+  void set_amplitude (double ampl);
+  void set_offset (short offset);
+};
+
+gr_sig_source_s_sptr
+gr_make_sig_source_s (double sampling_freq, gr_waveform_t waveform,
+                    double wave_freq, double ampl, short offset = 0);
+
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_sig_source_s.i b/gnuradio-core/src/lib/gengen/gr_sig_source_s.i
new file mode 100644 (file)
index 0000000..2fbdbe3
--- /dev/null
@@ -0,0 +1,52 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,sig_source_s);
+
+gr_sig_source_s_sptr
+gr_make_sig_source_s (double sampling_freq, gr_waveform_t waveform,
+                    double wave_freq, double ampl, short offset = 0);
+
+
+class gr_sig_source_s : public gr_sync_block {
+ private:
+  gr_sig_source_s (double sampling_freq, gr_waveform_t waveform,
+         double wave_freq, double ampl, short offset);
+
+ public:
+
+  // ACCESSORS
+  double sampling_freq () const { return d_sampling_freq; }
+  gr_waveform_t waveform () const { return d_waveform; }
+  double frequency () const { return d_frequency; }
+  double amplitude () const { return d_ampl; }
+  short offset () const { return d_offset; }
+
+  // MANIPULATORS
+  void set_sampling_freq (double sampling_freq);
+  void set_waveform (gr_waveform_t waveform);
+  void set_frequency (double frequency);
+  void set_amplitude (double ampl);
+  void set_offset (short offset);
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_sub_cc.cc b/gnuradio-core/src/lib/gengen/gr_sub_cc.cc
new file mode 100644 (file)
index 0000000..764ab38
--- /dev/null
@@ -0,0 +1,71 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004, 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_sub_cc.h>
+#include <gr_io_signature.h>
+
+gr_sub_cc_sptr
+gr_make_sub_cc (size_t vlen)
+{
+  return gr_sub_cc_sptr (new gr_sub_cc (vlen));
+}
+
+gr_sub_cc::gr_sub_cc (size_t vlen)
+  : gr_sync_block ("sub_cc",
+                  gr_make_io_signature (1, -1, sizeof (gr_complex)*vlen),
+                  gr_make_io_signature (1,  1, sizeof (gr_complex)*vlen)),
+    d_vlen (vlen)
+{
+}
+
+int
+gr_sub_cc::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  gr_complex *optr = (gr_complex *) output_items[0];
+
+  int ninputs = input_items.size ();
+
+  if (ninputs == 1){           // negate
+    for (int i = 0; i < noutput_items*d_vlen; i++)
+      *optr++ = (gr_complex) -((gr_complex *) input_items[0])[i];
+  }
+
+  else {
+    for (int i = 0; i < noutput_items*d_vlen; i++){
+      gr_complex acc = ((gr_complex *) input_items[0])[i];
+      for (int j = 1; j < ninputs; j++)
+       acc -= ((gr_complex *) input_items[j])[i];
+
+      *optr++ = (gr_complex) acc;
+    }
+  }
+
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_sub_cc.h b/gnuradio-core/src/lib/gengen/gr_sub_cc.h
new file mode 100644 (file)
index 0000000..a1ac0a4
--- /dev/null
@@ -0,0 +1,56 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004, 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_SUB_CC_H
+#define INCLUDED_GR_SUB_CC_H
+
+#include <gr_sync_block.h>
+
+class gr_sub_cc;
+typedef boost::shared_ptr<gr_sub_cc> gr_sub_cc_sptr;
+
+gr_sub_cc_sptr gr_make_sub_cc (size_t vlen = 1);
+
+/*!
+ * \brief output = input_0 -  input_1 - ...)
+ * \ingroup math_blk
+ *
+ * Subtract across all input streams.
+ */
+class gr_sub_cc : public gr_sync_block
+{
+  friend gr_sub_cc_sptr gr_make_sub_cc (size_t vlen);
+
+  gr_sub_cc (size_t vlen);
+
+  size_t d_vlen;
+
+ public:
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_sub_cc.i b/gnuradio-core/src/lib/gengen/gr_sub_cc.i
new file mode 100644 (file)
index 0000000..f5f1adb
--- /dev/null
@@ -0,0 +1,33 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004, 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,sub_cc)
+
+gr_sub_cc_sptr gr_make_sub_cc (size_t vlen = 1);
+
+class gr_sub_cc : public gr_sync_block
+{
+ private:
+  gr_sub_cc (size_t vlen);
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_sub_ff.cc b/gnuradio-core/src/lib/gengen/gr_sub_ff.cc
new file mode 100644 (file)
index 0000000..cc275ec
--- /dev/null
@@ -0,0 +1,71 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004, 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_sub_ff.h>
+#include <gr_io_signature.h>
+
+gr_sub_ff_sptr
+gr_make_sub_ff (size_t vlen)
+{
+  return gr_sub_ff_sptr (new gr_sub_ff (vlen));
+}
+
+gr_sub_ff::gr_sub_ff (size_t vlen)
+  : gr_sync_block ("sub_ff",
+                  gr_make_io_signature (1, -1, sizeof (float)*vlen),
+                  gr_make_io_signature (1,  1, sizeof (float)*vlen)),
+    d_vlen (vlen)
+{
+}
+
+int
+gr_sub_ff::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  float *optr = (float *) output_items[0];
+
+  int ninputs = input_items.size ();
+
+  if (ninputs == 1){           // negate
+    for (int i = 0; i < noutput_items*d_vlen; i++)
+      *optr++ = (float) -((float *) input_items[0])[i];
+  }
+
+  else {
+    for (int i = 0; i < noutput_items*d_vlen; i++){
+      float acc = ((float *) input_items[0])[i];
+      for (int j = 1; j < ninputs; j++)
+       acc -= ((float *) input_items[j])[i];
+
+      *optr++ = (float) acc;
+    }
+  }
+
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_sub_ff.h b/gnuradio-core/src/lib/gengen/gr_sub_ff.h
new file mode 100644 (file)
index 0000000..eb66a84
--- /dev/null
@@ -0,0 +1,56 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004, 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_SUB_FF_H
+#define INCLUDED_GR_SUB_FF_H
+
+#include <gr_sync_block.h>
+
+class gr_sub_ff;
+typedef boost::shared_ptr<gr_sub_ff> gr_sub_ff_sptr;
+
+gr_sub_ff_sptr gr_make_sub_ff (size_t vlen = 1);
+
+/*!
+ * \brief output = input_0 -  input_1 - ...)
+ * \ingroup math_blk
+ *
+ * Subtract across all input streams.
+ */
+class gr_sub_ff : public gr_sync_block
+{
+  friend gr_sub_ff_sptr gr_make_sub_ff (size_t vlen);
+
+  gr_sub_ff (size_t vlen);
+
+  size_t d_vlen;
+
+ public:
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_sub_ff.i b/gnuradio-core/src/lib/gengen/gr_sub_ff.i
new file mode 100644 (file)
index 0000000..354d135
--- /dev/null
@@ -0,0 +1,33 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004, 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,sub_ff)
+
+gr_sub_ff_sptr gr_make_sub_ff (size_t vlen = 1);
+
+class gr_sub_ff : public gr_sync_block
+{
+ private:
+  gr_sub_ff (size_t vlen);
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_sub_ii.cc b/gnuradio-core/src/lib/gengen/gr_sub_ii.cc
new file mode 100644 (file)
index 0000000..c095d49
--- /dev/null
@@ -0,0 +1,71 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004, 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_sub_ii.h>
+#include <gr_io_signature.h>
+
+gr_sub_ii_sptr
+gr_make_sub_ii (size_t vlen)
+{
+  return gr_sub_ii_sptr (new gr_sub_ii (vlen));
+}
+
+gr_sub_ii::gr_sub_ii (size_t vlen)
+  : gr_sync_block ("sub_ii",
+                  gr_make_io_signature (1, -1, sizeof (int)*vlen),
+                  gr_make_io_signature (1,  1, sizeof (int)*vlen)),
+    d_vlen (vlen)
+{
+}
+
+int
+gr_sub_ii::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  int *optr = (int *) output_items[0];
+
+  int ninputs = input_items.size ();
+
+  if (ninputs == 1){           // negate
+    for (int i = 0; i < noutput_items*d_vlen; i++)
+      *optr++ = (int) -((int *) input_items[0])[i];
+  }
+
+  else {
+    for (int i = 0; i < noutput_items*d_vlen; i++){
+      int acc = ((int *) input_items[0])[i];
+      for (int j = 1; j < ninputs; j++)
+       acc -= ((int *) input_items[j])[i];
+
+      *optr++ = (int) acc;
+    }
+  }
+
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_sub_ii.h b/gnuradio-core/src/lib/gengen/gr_sub_ii.h
new file mode 100644 (file)
index 0000000..ef2032f
--- /dev/null
@@ -0,0 +1,56 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004, 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_SUB_II_H
+#define INCLUDED_GR_SUB_II_H
+
+#include <gr_sync_block.h>
+
+class gr_sub_ii;
+typedef boost::shared_ptr<gr_sub_ii> gr_sub_ii_sptr;
+
+gr_sub_ii_sptr gr_make_sub_ii (size_t vlen = 1);
+
+/*!
+ * \brief output = input_0 -  input_1 - ...)
+ * \ingroup math_blk
+ *
+ * Subtract across all input streams.
+ */
+class gr_sub_ii : public gr_sync_block
+{
+  friend gr_sub_ii_sptr gr_make_sub_ii (size_t vlen);
+
+  gr_sub_ii (size_t vlen);
+
+  size_t d_vlen;
+
+ public:
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_sub_ii.i b/gnuradio-core/src/lib/gengen/gr_sub_ii.i
new file mode 100644 (file)
index 0000000..82382c8
--- /dev/null
@@ -0,0 +1,33 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004, 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,sub_ii)
+
+gr_sub_ii_sptr gr_make_sub_ii (size_t vlen = 1);
+
+class gr_sub_ii : public gr_sync_block
+{
+ private:
+  gr_sub_ii (size_t vlen);
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_sub_ss.cc b/gnuradio-core/src/lib/gengen/gr_sub_ss.cc
new file mode 100644 (file)
index 0000000..d3837c2
--- /dev/null
@@ -0,0 +1,71 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004, 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_sub_ss.h>
+#include <gr_io_signature.h>
+
+gr_sub_ss_sptr
+gr_make_sub_ss (size_t vlen)
+{
+  return gr_sub_ss_sptr (new gr_sub_ss (vlen));
+}
+
+gr_sub_ss::gr_sub_ss (size_t vlen)
+  : gr_sync_block ("sub_ss",
+                  gr_make_io_signature (1, -1, sizeof (short)*vlen),
+                  gr_make_io_signature (1,  1, sizeof (short)*vlen)),
+    d_vlen (vlen)
+{
+}
+
+int
+gr_sub_ss::work (int noutput_items,
+                  gr_vector_const_void_star &input_items,
+                  gr_vector_void_star &output_items)
+{
+  short *optr = (short *) output_items[0];
+
+  int ninputs = input_items.size ();
+
+  if (ninputs == 1){           // negate
+    for (int i = 0; i < noutput_items*d_vlen; i++)
+      *optr++ = (short) -((short *) input_items[0])[i];
+  }
+
+  else {
+    for (int i = 0; i < noutput_items*d_vlen; i++){
+      short acc = ((short *) input_items[0])[i];
+      for (int j = 1; j < ninputs; j++)
+       acc -= ((short *) input_items[j])[i];
+
+      *optr++ = (short) acc;
+    }
+  }
+
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_sub_ss.h b/gnuradio-core/src/lib/gengen/gr_sub_ss.h
new file mode 100644 (file)
index 0000000..e48ddc0
--- /dev/null
@@ -0,0 +1,56 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004, 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_SUB_SS_H
+#define INCLUDED_GR_SUB_SS_H
+
+#include <gr_sync_block.h>
+
+class gr_sub_ss;
+typedef boost::shared_ptr<gr_sub_ss> gr_sub_ss_sptr;
+
+gr_sub_ss_sptr gr_make_sub_ss (size_t vlen = 1);
+
+/*!
+ * \brief output = input_0 -  input_1 - ...)
+ * \ingroup math_blk
+ *
+ * Subtract across all input streams.
+ */
+class gr_sub_ss : public gr_sync_block
+{
+  friend gr_sub_ss_sptr gr_make_sub_ss (size_t vlen);
+
+  gr_sub_ss (size_t vlen);
+
+  size_t d_vlen;
+
+ public:
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_sub_ss.i b/gnuradio-core/src/lib/gengen/gr_sub_ss.i
new file mode 100644 (file)
index 0000000..45b1f32
--- /dev/null
@@ -0,0 +1,33 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004, 2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,sub_ss)
+
+gr_sub_ss_sptr gr_make_sub_ss (size_t vlen = 1);
+
+class gr_sub_ss : public gr_sync_block
+{
+ private:
+  gr_sub_ss (size_t vlen);
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_unpacked_to_packed_bb.cc b/gnuradio-core/src/lib/gengen/gr_unpacked_to_packed_bb.cc
new file mode 100644 (file)
index 0000000..bcf5496
--- /dev/null
@@ -0,0 +1,129 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_unpacked_to_packed_bb.h>
+#include <gr_io_signature.h>
+#include <assert.h>
+
+static const unsigned int BITS_PER_TYPE = sizeof(unsigned char) * 8;
+
+
+gr_unpacked_to_packed_bb_sptr 
+gr_make_unpacked_to_packed_bb (unsigned int bits_per_chunk, gr_endianness_t endianness)
+{
+  return gr_unpacked_to_packed_bb_sptr 
+    (new gr_unpacked_to_packed_bb (bits_per_chunk,endianness));
+}
+
+gr_unpacked_to_packed_bb::gr_unpacked_to_packed_bb (unsigned int bits_per_chunk, 
+                                                   gr_endianness_t endianness)
+  : gr_block ("unpacked_to_packed_bb",
+             gr_make_io_signature (1, -1, sizeof (unsigned char)),
+             gr_make_io_signature (1, -1, sizeof (unsigned char))),
+    d_bits_per_chunk(bits_per_chunk),d_endianness(endianness),d_index(0)
+{
+  assert (bits_per_chunk <= BITS_PER_TYPE);
+  assert (bits_per_chunk > 0);
+
+  set_relative_rate (bits_per_chunk/(1.0 * BITS_PER_TYPE));
+}
+
+void
+gr_unpacked_to_packed_bb::forecast(int noutput_items, gr_vector_int &ninput_items_required)
+{
+  int input_required = (int) ceil( (d_index+noutput_items * 1.0 * BITS_PER_TYPE)/d_bits_per_chunk);
+  unsigned ninputs = ninput_items_required.size();
+  for (unsigned int i = 0; i < ninputs; i++) {
+    ninput_items_required[i] = input_required;
+  }
+}
+
+unsigned int
+get_bit_be1 (const unsigned char *in_vector,unsigned int bit_addr, unsigned int bits_per_chunk) {
+  unsigned int byte_addr = (int)bit_addr/bits_per_chunk;
+  unsigned char x = in_vector[byte_addr];
+  unsigned int residue = bit_addr - byte_addr * bits_per_chunk;
+  //printf("Bit addr %d  byte addr %d  residue %d  val  %d\n",bit_addr,byte_addr,residue,(x>>(bits_per_chunk-1-residue))&1);
+  return (x >> (bits_per_chunk-1-residue))&1;
+}
+
+int
+gr_unpacked_to_packed_bb::general_work (int noutput_items,
+                                       gr_vector_int &ninput_items,
+                                       gr_vector_const_void_star &input_items,
+                                       gr_vector_void_star &output_items)
+{
+  unsigned int index_tmp = d_index;
+
+  assert (input_items.size() == output_items.size());
+  int nstreams = input_items.size();
+
+  for (int m=0; m< nstreams; m++) {
+    const unsigned char *in = (unsigned char *) input_items[m];
+    unsigned char *out = (unsigned char *) output_items[m];
+    index_tmp=d_index;
+
+    // per stream processing
+
+    //assert((ninput_items[m]-d_index)*d_bits_per_chunk >= noutput_items*BITS_PER_TYPE);
+  
+    switch(d_endianness){
+
+    case GR_MSB_FIRST:
+      for(int i=0;i<noutput_items;i++) {
+       unsigned char tmp=0;
+       for(unsigned int j=0; j<BITS_PER_TYPE; j++) {
+         tmp = (tmp<<1) | get_bit_be1(in,index_tmp,d_bits_per_chunk);
+         index_tmp++;
+       }
+       out[i] = tmp;
+      }
+      break;
+
+    case GR_LSB_FIRST:
+      for(int i=0;i<noutput_items;i++) {
+       unsigned long tmp=0;
+       for(unsigned int j=0; j<BITS_PER_TYPE; j++) {
+         tmp = (tmp>>1)| (get_bit_be1(in,index_tmp,d_bits_per_chunk)<<(BITS_PER_TYPE-1));
+         index_tmp++;
+       }
+       out[i] = tmp;
+      }
+      break;
+      
+    default:
+      assert(0);
+    }
+  }
+
+  d_index = index_tmp;
+  consume_each ((int)(d_index/d_bits_per_chunk));
+  d_index = d_index%d_bits_per_chunk;
+
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_unpacked_to_packed_bb.h b/gnuradio-core/src/lib/gengen/gr_unpacked_to_packed_bb.h
new file mode 100644 (file)
index 0000000..c628a55
--- /dev/null
@@ -0,0 +1,81 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_UNPACKED_TO_PACKED_BB_H
+#define INCLUDED_GR_UNPACKED_TO_PACKED_BB_H
+
+#include <gr_block.h>
+#include <gr_endianness.h>
+
+class gr_unpacked_to_packed_bb;
+typedef boost::shared_ptr<gr_unpacked_to_packed_bb> gr_unpacked_to_packed_bb_sptr;
+
+gr_unpacked_to_packed_bb_sptr 
+gr_make_unpacked_to_packed_bb (unsigned int bits_per_chunk, gr_endianness_t endianness);
+
+/*!
+ * \brief Convert a stream of unpacked bytes or shorts into a stream of packed bytes or shorts.
+ * \ingroup converter_blk
+ *
+ * input: stream of unsigned char; output: stream of unsigned char
+ *
+ * This is the inverse of gr_packed_to_unpacked_XX.
+ *
+ * The low \p bits_per_chunk bits are extracted from each input byte or short.
+ * These bits are then packed densely into the output bytes or shorts, such that
+ * all 8 or 16 bits of the output bytes or shorts are filled with valid input bits.
+ * The right thing is done if bits_per_chunk is not a power of two.
+ *
+ * The combination of gr_packed_to_unpacked_XX followed by
+ * gr_chunks_to_symbols_Xf or gr_chunks_to_symbols_Xc handles the
+ * general case of mapping from a stream of bytes or shorts into arbitrary float
+ * or complex symbols.
+ *
+ * \sa gr_packed_to_unpacked_bb, gr_unpacked_to_packed_bb,
+ * \sa gr_packed_to_unpacked_ss, gr_unpacked_to_packed_ss,
+ * \sa gr_chunks_to_symbols_bf, gr_chunks_to_symbols_bc.
+ * \sa gr_chunks_to_symbols_sf, gr_chunks_to_symbols_sc.
+ */
+class gr_unpacked_to_packed_bb : public gr_block
+{
+  friend gr_unpacked_to_packed_bb_sptr
+  gr_make_unpacked_to_packed_bb (unsigned int bits_per_chunk, gr_endianness_t endianness);
+
+  gr_unpacked_to_packed_bb (unsigned int bits_per_chunk, gr_endianness_t endianness);
+
+  unsigned int    d_bits_per_chunk;
+  gr_endianness_t d_endianness;
+  unsigned int    d_index;
+
+ public:
+  void forecast(int noutput_items, gr_vector_int &ninput_items_required);
+  int general_work (int noutput_items,
+                   gr_vector_int &ninput_items,
+                   gr_vector_const_void_star &input_items,
+                   gr_vector_void_star &output_items);
+
+  bool check_topology(int ninputs, int noutputs) { return ninputs == noutputs; }
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_unpacked_to_packed_bb.i b/gnuradio-core/src/lib/gengen/gr_unpacked_to_packed_bb.i
new file mode 100644 (file)
index 0000000..50b3a07
--- /dev/null
@@ -0,0 +1,33 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,unpacked_to_packed_bb);
+
+gr_unpacked_to_packed_bb_sptr
+gr_make_unpacked_to_packed_bb (unsigned int bits_per_chunk, gr_endianness_t endianness);
+
+class gr_unpacked_to_packed_bb : public gr_block
+{
+  gr_unpacked_to_packed_bb (unsigned int bits_per_chunk, gr_endianness_t endianness);
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_unpacked_to_packed_ii.cc b/gnuradio-core/src/lib/gengen/gr_unpacked_to_packed_ii.cc
new file mode 100644 (file)
index 0000000..f23dfc3
--- /dev/null
@@ -0,0 +1,129 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_unpacked_to_packed_ii.h>
+#include <gr_io_signature.h>
+#include <assert.h>
+
+static const unsigned int BITS_PER_TYPE = sizeof(int) * 8;
+
+
+gr_unpacked_to_packed_ii_sptr 
+gr_make_unpacked_to_packed_ii (unsigned int bits_per_chunk, gr_endianness_t endianness)
+{
+  return gr_unpacked_to_packed_ii_sptr 
+    (new gr_unpacked_to_packed_ii (bits_per_chunk,endianness));
+}
+
+gr_unpacked_to_packed_ii::gr_unpacked_to_packed_ii (unsigned int bits_per_chunk, 
+                                                   gr_endianness_t endianness)
+  : gr_block ("unpacked_to_packed_ii",
+             gr_make_io_signature (1, -1, sizeof (int)),
+             gr_make_io_signature (1, -1, sizeof (int))),
+    d_bits_per_chunk(bits_per_chunk),d_endianness(endianness),d_index(0)
+{
+  assert (bits_per_chunk <= BITS_PER_TYPE);
+  assert (bits_per_chunk > 0);
+
+  set_relative_rate (bits_per_chunk/(1.0 * BITS_PER_TYPE));
+}
+
+void
+gr_unpacked_to_packed_ii::forecast(int noutput_items, gr_vector_int &ninput_items_required)
+{
+  int input_required = (int) ceil( (d_index+noutput_items * 1.0 * BITS_PER_TYPE)/d_bits_per_chunk);
+  unsigned ninputs = ninput_items_required.size();
+  for (unsigned int i = 0; i < ninputs; i++) {
+    ninput_items_required[i] = input_required;
+  }
+}
+
+unsigned int
+get_bit_be1 (const int *in_vector,unsigned int bit_addr, unsigned int bits_per_chunk) {
+  unsigned int byte_addr = (int)bit_addr/bits_per_chunk;
+  int x = in_vector[byte_addr];
+  unsigned int residue = bit_addr - byte_addr * bits_per_chunk;
+  //printf("Bit addr %d  byte addr %d  residue %d  val  %d\n",bit_addr,byte_addr,residue,(x>>(bits_per_chunk-1-residue))&1);
+  return (x >> (bits_per_chunk-1-residue))&1;
+}
+
+int
+gr_unpacked_to_packed_ii::general_work (int noutput_items,
+                                       gr_vector_int &ninput_items,
+                                       gr_vector_const_void_star &input_items,
+                                       gr_vector_void_star &output_items)
+{
+  unsigned int index_tmp = d_index;
+
+  assert (input_items.size() == output_items.size());
+  int nstreams = input_items.size();
+
+  for (int m=0; m< nstreams; m++) {
+    const int *in = (int *) input_items[m];
+    int *out = (int *) output_items[m];
+    index_tmp=d_index;
+
+    // per stream processing
+
+    //assert((ninput_items[m]-d_index)*d_bits_per_chunk >= noutput_items*BITS_PER_TYPE);
+  
+    switch(d_endianness){
+
+    case GR_MSB_FIRST:
+      for(int i=0;i<noutput_items;i++) {
+       int tmp=0;
+       for(unsigned int j=0; j<BITS_PER_TYPE; j++) {
+         tmp = (tmp<<1) | get_bit_be1(in,index_tmp,d_bits_per_chunk);
+         index_tmp++;
+       }
+       out[i] = tmp;
+      }
+      break;
+
+    case GR_LSB_FIRST:
+      for(int i=0;i<noutput_items;i++) {
+       unsigned long tmp=0;
+       for(unsigned int j=0; j<BITS_PER_TYPE; j++) {
+         tmp = (tmp>>1)| (get_bit_be1(in,index_tmp,d_bits_per_chunk)<<(BITS_PER_TYPE-1));
+         index_tmp++;
+       }
+       out[i] = tmp;
+      }
+      break;
+      
+    default:
+      assert(0);
+    }
+  }
+
+  d_index = index_tmp;
+  consume_each ((int)(d_index/d_bits_per_chunk));
+  d_index = d_index%d_bits_per_chunk;
+
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_unpacked_to_packed_ii.h b/gnuradio-core/src/lib/gengen/gr_unpacked_to_packed_ii.h
new file mode 100644 (file)
index 0000000..b299bf1
--- /dev/null
@@ -0,0 +1,81 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_UNPACKED_TO_PACKED_II_H
+#define INCLUDED_GR_UNPACKED_TO_PACKED_II_H
+
+#include <gr_block.h>
+#include <gr_endianness.h>
+
+class gr_unpacked_to_packed_ii;
+typedef boost::shared_ptr<gr_unpacked_to_packed_ii> gr_unpacked_to_packed_ii_sptr;
+
+gr_unpacked_to_packed_ii_sptr 
+gr_make_unpacked_to_packed_ii (unsigned int bits_per_chunk, gr_endianness_t endianness);
+
+/*!
+ * \brief Convert a stream of unpacked bytes or shorts into a stream of packed bytes or shorts.
+ * \ingroup converter_blk
+ *
+ * input: stream of int; output: stream of int
+ *
+ * This is the inverse of gr_packed_to_unpacked_XX.
+ *
+ * The low \p bits_per_chunk bits are extracted from each input byte or short.
+ * These bits are then packed densely into the output bytes or shorts, such that
+ * all 8 or 16 bits of the output bytes or shorts are filled with valid input bits.
+ * The right thing is done if bits_per_chunk is not a power of two.
+ *
+ * The combination of gr_packed_to_unpacked_XX followed by
+ * gr_chunks_to_symbols_Xf or gr_chunks_to_symbols_Xc handles the
+ * general case of mapping from a stream of bytes or shorts into arbitrary float
+ * or complex symbols.
+ *
+ * \sa gr_packed_to_unpacked_bb, gr_unpacked_to_packed_bb,
+ * \sa gr_packed_to_unpacked_ss, gr_unpacked_to_packed_ss,
+ * \sa gr_chunks_to_symbols_bf, gr_chunks_to_symbols_bc.
+ * \sa gr_chunks_to_symbols_sf, gr_chunks_to_symbols_sc.
+ */
+class gr_unpacked_to_packed_ii : public gr_block
+{
+  friend gr_unpacked_to_packed_ii_sptr
+  gr_make_unpacked_to_packed_ii (unsigned int bits_per_chunk, gr_endianness_t endianness);
+
+  gr_unpacked_to_packed_ii (unsigned int bits_per_chunk, gr_endianness_t endianness);
+
+  unsigned int    d_bits_per_chunk;
+  gr_endianness_t d_endianness;
+  unsigned int    d_index;
+
+ public:
+  void forecast(int noutput_items, gr_vector_int &ninput_items_required);
+  int general_work (int noutput_items,
+                   gr_vector_int &ninput_items,
+                   gr_vector_const_void_star &input_items,
+                   gr_vector_void_star &output_items);
+
+  bool check_topology(int ninputs, int noutputs) { return ninputs == noutputs; }
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_unpacked_to_packed_ii.i b/gnuradio-core/src/lib/gengen/gr_unpacked_to_packed_ii.i
new file mode 100644 (file)
index 0000000..c2f76d2
--- /dev/null
@@ -0,0 +1,33 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,unpacked_to_packed_ii);
+
+gr_unpacked_to_packed_ii_sptr
+gr_make_unpacked_to_packed_ii (unsigned int bits_per_chunk, gr_endianness_t endianness);
+
+class gr_unpacked_to_packed_ii : public gr_block
+{
+  gr_unpacked_to_packed_ii (unsigned int bits_per_chunk, gr_endianness_t endianness);
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_unpacked_to_packed_ss.cc b/gnuradio-core/src/lib/gengen/gr_unpacked_to_packed_ss.cc
new file mode 100644 (file)
index 0000000..9527e82
--- /dev/null
@@ -0,0 +1,129 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_unpacked_to_packed_ss.h>
+#include <gr_io_signature.h>
+#include <assert.h>
+
+static const unsigned int BITS_PER_TYPE = sizeof(short) * 8;
+
+
+gr_unpacked_to_packed_ss_sptr 
+gr_make_unpacked_to_packed_ss (unsigned int bits_per_chunk, gr_endianness_t endianness)
+{
+  return gr_unpacked_to_packed_ss_sptr 
+    (new gr_unpacked_to_packed_ss (bits_per_chunk,endianness));
+}
+
+gr_unpacked_to_packed_ss::gr_unpacked_to_packed_ss (unsigned int bits_per_chunk, 
+                                                   gr_endianness_t endianness)
+  : gr_block ("unpacked_to_packed_ss",
+             gr_make_io_signature (1, -1, sizeof (short)),
+             gr_make_io_signature (1, -1, sizeof (short))),
+    d_bits_per_chunk(bits_per_chunk),d_endianness(endianness),d_index(0)
+{
+  assert (bits_per_chunk <= BITS_PER_TYPE);
+  assert (bits_per_chunk > 0);
+
+  set_relative_rate (bits_per_chunk/(1.0 * BITS_PER_TYPE));
+}
+
+void
+gr_unpacked_to_packed_ss::forecast(int noutput_items, gr_vector_int &ninput_items_required)
+{
+  int input_required = (int) ceil( (d_index+noutput_items * 1.0 * BITS_PER_TYPE)/d_bits_per_chunk);
+  unsigned ninputs = ninput_items_required.size();
+  for (unsigned int i = 0; i < ninputs; i++) {
+    ninput_items_required[i] = input_required;
+  }
+}
+
+unsigned int
+get_bit_be1 (const short *in_vector,unsigned int bit_addr, unsigned int bits_per_chunk) {
+  unsigned int byte_addr = (int)bit_addr/bits_per_chunk;
+  short x = in_vector[byte_addr];
+  unsigned int residue = bit_addr - byte_addr * bits_per_chunk;
+  //printf("Bit addr %d  byte addr %d  residue %d  val  %d\n",bit_addr,byte_addr,residue,(x>>(bits_per_chunk-1-residue))&1);
+  return (x >> (bits_per_chunk-1-residue))&1;
+}
+
+int
+gr_unpacked_to_packed_ss::general_work (int noutput_items,
+                                       gr_vector_int &ninput_items,
+                                       gr_vector_const_void_star &input_items,
+                                       gr_vector_void_star &output_items)
+{
+  unsigned int index_tmp = d_index;
+
+  assert (input_items.size() == output_items.size());
+  int nstreams = input_items.size();
+
+  for (int m=0; m< nstreams; m++) {
+    const short *in = (short *) input_items[m];
+    short *out = (short *) output_items[m];
+    index_tmp=d_index;
+
+    // per stream processing
+
+    //assert((ninput_items[m]-d_index)*d_bits_per_chunk >= noutput_items*BITS_PER_TYPE);
+  
+    switch(d_endianness){
+
+    case GR_MSB_FIRST:
+      for(int i=0;i<noutput_items;i++) {
+       short tmp=0;
+       for(unsigned int j=0; j<BITS_PER_TYPE; j++) {
+         tmp = (tmp<<1) | get_bit_be1(in,index_tmp,d_bits_per_chunk);
+         index_tmp++;
+       }
+       out[i] = tmp;
+      }
+      break;
+
+    case GR_LSB_FIRST:
+      for(int i=0;i<noutput_items;i++) {
+       unsigned long tmp=0;
+       for(unsigned int j=0; j<BITS_PER_TYPE; j++) {
+         tmp = (tmp>>1)| (get_bit_be1(in,index_tmp,d_bits_per_chunk)<<(BITS_PER_TYPE-1));
+         index_tmp++;
+       }
+       out[i] = tmp;
+      }
+      break;
+      
+    default:
+      assert(0);
+    }
+  }
+
+  d_index = index_tmp;
+  consume_each ((int)(d_index/d_bits_per_chunk));
+  d_index = d_index%d_bits_per_chunk;
+
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_unpacked_to_packed_ss.h b/gnuradio-core/src/lib/gengen/gr_unpacked_to_packed_ss.h
new file mode 100644 (file)
index 0000000..797ef71
--- /dev/null
@@ -0,0 +1,81 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_UNPACKED_TO_PACKED_SS_H
+#define INCLUDED_GR_UNPACKED_TO_PACKED_SS_H
+
+#include <gr_block.h>
+#include <gr_endianness.h>
+
+class gr_unpacked_to_packed_ss;
+typedef boost::shared_ptr<gr_unpacked_to_packed_ss> gr_unpacked_to_packed_ss_sptr;
+
+gr_unpacked_to_packed_ss_sptr 
+gr_make_unpacked_to_packed_ss (unsigned int bits_per_chunk, gr_endianness_t endianness);
+
+/*!
+ * \brief Convert a stream of unpacked bytes or shorts into a stream of packed bytes or shorts.
+ * \ingroup converter_blk
+ *
+ * input: stream of short; output: stream of short
+ *
+ * This is the inverse of gr_packed_to_unpacked_XX.
+ *
+ * The low \p bits_per_chunk bits are extracted from each input byte or short.
+ * These bits are then packed densely into the output bytes or shorts, such that
+ * all 8 or 16 bits of the output bytes or shorts are filled with valid input bits.
+ * The right thing is done if bits_per_chunk is not a power of two.
+ *
+ * The combination of gr_packed_to_unpacked_XX followed by
+ * gr_chunks_to_symbols_Xf or gr_chunks_to_symbols_Xc handles the
+ * general case of mapping from a stream of bytes or shorts into arbitrary float
+ * or complex symbols.
+ *
+ * \sa gr_packed_to_unpacked_bb, gr_unpacked_to_packed_bb,
+ * \sa gr_packed_to_unpacked_ss, gr_unpacked_to_packed_ss,
+ * \sa gr_chunks_to_symbols_bf, gr_chunks_to_symbols_bc.
+ * \sa gr_chunks_to_symbols_sf, gr_chunks_to_symbols_sc.
+ */
+class gr_unpacked_to_packed_ss : public gr_block
+{
+  friend gr_unpacked_to_packed_ss_sptr
+  gr_make_unpacked_to_packed_ss (unsigned int bits_per_chunk, gr_endianness_t endianness);
+
+  gr_unpacked_to_packed_ss (unsigned int bits_per_chunk, gr_endianness_t endianness);
+
+  unsigned int    d_bits_per_chunk;
+  gr_endianness_t d_endianness;
+  unsigned int    d_index;
+
+ public:
+  void forecast(int noutput_items, gr_vector_int &ninput_items_required);
+  int general_work (int noutput_items,
+                   gr_vector_int &ninput_items,
+                   gr_vector_const_void_star &input_items,
+                   gr_vector_void_star &output_items);
+
+  bool check_topology(int ninputs, int noutputs) { return ninputs == noutputs; }
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_unpacked_to_packed_ss.i b/gnuradio-core/src/lib/gengen/gr_unpacked_to_packed_ss.i
new file mode 100644 (file)
index 0000000..bf3b211
--- /dev/null
@@ -0,0 +1,33 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,unpacked_to_packed_ss);
+
+gr_unpacked_to_packed_ss_sptr
+gr_make_unpacked_to_packed_ss (unsigned int bits_per_chunk, gr_endianness_t endianness);
+
+class gr_unpacked_to_packed_ss : public gr_block
+{
+  gr_unpacked_to_packed_ss (unsigned int bits_per_chunk, gr_endianness_t endianness);
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_vector_sink_b.cc b/gnuradio-core/src/lib/gengen/gr_vector_sink_b.cc
new file mode 100644 (file)
index 0000000..8c53b57
--- /dev/null
@@ -0,0 +1,64 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <gr_vector_sink_b.h>
+#include <algorithm>
+#include <gr_io_signature.h>
+
+
+gr_vector_sink_b::gr_vector_sink_b (int vlen)
+  : gr_sync_block ("vector_sink_b",
+                  gr_make_io_signature (1, 1, sizeof (unsigned char) * vlen),
+                  gr_make_io_signature (0, 0, 0)),
+    d_vlen(vlen)
+{
+}
+
+int
+gr_vector_sink_b::work (int noutput_items,
+                   gr_vector_const_void_star &input_items,
+                   gr_vector_void_star &output_items)
+{
+  unsigned char *iptr = (unsigned char *) input_items[0];
+  for (int i = 0; i < noutput_items * d_vlen; i++)
+    d_data.push_back (iptr[i]);
+
+  return noutput_items;
+}
+
+
+gr_vector_sink_b_sptr
+gr_make_vector_sink_b (int vlen)
+{
+  return gr_vector_sink_b_sptr (new gr_vector_sink_b (vlen));
+}
+
+std::vector<unsigned char>
+gr_vector_sink_b::data () const
+{
+  return d_data;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_vector_sink_b.h b/gnuradio-core/src/lib/gengen/gr_vector_sink_b.h
new file mode 100644 (file)
index 0000000..f989a5d
--- /dev/null
@@ -0,0 +1,57 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_VECTOR_SINK_B_H
+#define INCLUDED_GR_VECTOR_SINK_B_H
+
+#include <gr_sync_block.h>
+
+class gr_vector_sink_b;
+typedef boost::shared_ptr<gr_vector_sink_b> gr_vector_sink_b_sptr;
+
+gr_vector_sink_b_sptr
+gr_make_vector_sink_b (int vlen = 1);
+
+
+/*!
+ * \brief unsigned char sink that writes to a vector
+ * \ingroup sink_blk
+ */
+
+class gr_vector_sink_b : public gr_sync_block {
+  friend gr_vector_sink_b_sptr gr_make_vector_sink_b (int vlen);
+  std::vector<unsigned char>   d_data;
+  int                  d_vlen;
+  gr_vector_sink_b (int vlen);
+
+ public:
+  virtual int work (int noutput_items,
+                   gr_vector_const_void_star &input_items,
+                   gr_vector_void_star &output_items);
+
+  void clear() {d_data.clear();}
+  std::vector<unsigned char> data () const;
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_vector_sink_b.i b/gnuradio-core/src/lib/gengen/gr_vector_sink_b.i
new file mode 100644 (file)
index 0000000..56de8f6
--- /dev/null
@@ -0,0 +1,38 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+
+GR_SWIG_BLOCK_MAGIC(gr,vector_sink_b);
+
+gr_vector_sink_b_sptr gr_make_vector_sink_b (int vlen = 1);
+
+class gr_vector_sink_b : public gr_sync_block {
+ private:
+  gr_vector_sink_b (int vlen);
+
+ public:
+  void clear() {d_data.clear();}
+  std::vector<unsigned char> data () const;
+};
+
diff --git a/gnuradio-core/src/lib/gengen/gr_vector_sink_c.cc b/gnuradio-core/src/lib/gengen/gr_vector_sink_c.cc
new file mode 100644 (file)
index 0000000..7c99c18
--- /dev/null
@@ -0,0 +1,64 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <gr_vector_sink_c.h>
+#include <algorithm>
+#include <gr_io_signature.h>
+
+
+gr_vector_sink_c::gr_vector_sink_c (int vlen)
+  : gr_sync_block ("vector_sink_c",
+                  gr_make_io_signature (1, 1, sizeof (gr_complex) * vlen),
+                  gr_make_io_signature (0, 0, 0)),
+    d_vlen(vlen)
+{
+}
+
+int
+gr_vector_sink_c::work (int noutput_items,
+                   gr_vector_const_void_star &input_items,
+                   gr_vector_void_star &output_items)
+{
+  gr_complex *iptr = (gr_complex *) input_items[0];
+  for (int i = 0; i < noutput_items * d_vlen; i++)
+    d_data.push_back (iptr[i]);
+
+  return noutput_items;
+}
+
+
+gr_vector_sink_c_sptr
+gr_make_vector_sink_c (int vlen)
+{
+  return gr_vector_sink_c_sptr (new gr_vector_sink_c (vlen));
+}
+
+std::vector<gr_complex>
+gr_vector_sink_c::data () const
+{
+  return d_data;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_vector_sink_c.h b/gnuradio-core/src/lib/gengen/gr_vector_sink_c.h
new file mode 100644 (file)
index 0000000..e1aae56
--- /dev/null
@@ -0,0 +1,57 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_VECTOR_SINK_C_H
+#define INCLUDED_GR_VECTOR_SINK_C_H
+
+#include <gr_sync_block.h>
+
+class gr_vector_sink_c;
+typedef boost::shared_ptr<gr_vector_sink_c> gr_vector_sink_c_sptr;
+
+gr_vector_sink_c_sptr
+gr_make_vector_sink_c (int vlen = 1);
+
+
+/*!
+ * \brief gr_complex sink that writes to a vector
+ * \ingroup sink_blk
+ */
+
+class gr_vector_sink_c : public gr_sync_block {
+  friend gr_vector_sink_c_sptr gr_make_vector_sink_c (int vlen);
+  std::vector<gr_complex>      d_data;
+  int                  d_vlen;
+  gr_vector_sink_c (int vlen);
+
+ public:
+  virtual int work (int noutput_items,
+                   gr_vector_const_void_star &input_items,
+                   gr_vector_void_star &output_items);
+
+  void clear() {d_data.clear();}
+  std::vector<gr_complex> data () const;
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_vector_sink_c.i b/gnuradio-core/src/lib/gengen/gr_vector_sink_c.i
new file mode 100644 (file)
index 0000000..c7bf5c2
--- /dev/null
@@ -0,0 +1,38 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+
+GR_SWIG_BLOCK_MAGIC(gr,vector_sink_c);
+
+gr_vector_sink_c_sptr gr_make_vector_sink_c (int vlen = 1);
+
+class gr_vector_sink_c : public gr_sync_block {
+ private:
+  gr_vector_sink_c (int vlen);
+
+ public:
+  void clear() {d_data.clear();}
+  std::vector<gr_complex> data () const;
+};
+
diff --git a/gnuradio-core/src/lib/gengen/gr_vector_sink_f.cc b/gnuradio-core/src/lib/gengen/gr_vector_sink_f.cc
new file mode 100644 (file)
index 0000000..c27bb99
--- /dev/null
@@ -0,0 +1,64 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <gr_vector_sink_f.h>
+#include <algorithm>
+#include <gr_io_signature.h>
+
+
+gr_vector_sink_f::gr_vector_sink_f (int vlen)
+  : gr_sync_block ("vector_sink_f",
+                  gr_make_io_signature (1, 1, sizeof (float) * vlen),
+                  gr_make_io_signature (0, 0, 0)),
+    d_vlen(vlen)
+{
+}
+
+int
+gr_vector_sink_f::work (int noutput_items,
+                   gr_vector_const_void_star &input_items,
+                   gr_vector_void_star &output_items)
+{
+  float *iptr = (float *) input_items[0];
+  for (int i = 0; i < noutput_items * d_vlen; i++)
+    d_data.push_back (iptr[i]);
+
+  return noutput_items;
+}
+
+
+gr_vector_sink_f_sptr
+gr_make_vector_sink_f (int vlen)
+{
+  return gr_vector_sink_f_sptr (new gr_vector_sink_f (vlen));
+}
+
+std::vector<float>
+gr_vector_sink_f::data () const
+{
+  return d_data;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_vector_sink_f.h b/gnuradio-core/src/lib/gengen/gr_vector_sink_f.h
new file mode 100644 (file)
index 0000000..66885ee
--- /dev/null
@@ -0,0 +1,57 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_VECTOR_SINK_F_H
+#define INCLUDED_GR_VECTOR_SINK_F_H
+
+#include <gr_sync_block.h>
+
+class gr_vector_sink_f;
+typedef boost::shared_ptr<gr_vector_sink_f> gr_vector_sink_f_sptr;
+
+gr_vector_sink_f_sptr
+gr_make_vector_sink_f (int vlen = 1);
+
+
+/*!
+ * \brief float sink that writes to a vector
+ * \ingroup sink_blk
+ */
+
+class gr_vector_sink_f : public gr_sync_block {
+  friend gr_vector_sink_f_sptr gr_make_vector_sink_f (int vlen);
+  std::vector<float>   d_data;
+  int                  d_vlen;
+  gr_vector_sink_f (int vlen);
+
+ public:
+  virtual int work (int noutput_items,
+                   gr_vector_const_void_star &input_items,
+                   gr_vector_void_star &output_items);
+
+  void clear() {d_data.clear();}
+  std::vector<float> data () const;
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_vector_sink_f.i b/gnuradio-core/src/lib/gengen/gr_vector_sink_f.i
new file mode 100644 (file)
index 0000000..dd868e4
--- /dev/null
@@ -0,0 +1,38 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+
+GR_SWIG_BLOCK_MAGIC(gr,vector_sink_f);
+
+gr_vector_sink_f_sptr gr_make_vector_sink_f (int vlen = 1);
+
+class gr_vector_sink_f : public gr_sync_block {
+ private:
+  gr_vector_sink_f (int vlen);
+
+ public:
+  void clear() {d_data.clear();}
+  std::vector<float> data () const;
+};
+
diff --git a/gnuradio-core/src/lib/gengen/gr_vector_sink_i.cc b/gnuradio-core/src/lib/gengen/gr_vector_sink_i.cc
new file mode 100644 (file)
index 0000000..731bc2f
--- /dev/null
@@ -0,0 +1,64 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <gr_vector_sink_i.h>
+#include <algorithm>
+#include <gr_io_signature.h>
+
+
+gr_vector_sink_i::gr_vector_sink_i (int vlen)
+  : gr_sync_block ("vector_sink_i",
+                  gr_make_io_signature (1, 1, sizeof (int) * vlen),
+                  gr_make_io_signature (0, 0, 0)),
+    d_vlen(vlen)
+{
+}
+
+int
+gr_vector_sink_i::work (int noutput_items,
+                   gr_vector_const_void_star &input_items,
+                   gr_vector_void_star &output_items)
+{
+  int *iptr = (int *) input_items[0];
+  for (int i = 0; i < noutput_items * d_vlen; i++)
+    d_data.push_back (iptr[i]);
+
+  return noutput_items;
+}
+
+
+gr_vector_sink_i_sptr
+gr_make_vector_sink_i (int vlen)
+{
+  return gr_vector_sink_i_sptr (new gr_vector_sink_i (vlen));
+}
+
+std::vector<int>
+gr_vector_sink_i::data () const
+{
+  return d_data;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_vector_sink_i.h b/gnuradio-core/src/lib/gengen/gr_vector_sink_i.h
new file mode 100644 (file)
index 0000000..ec6156c
--- /dev/null
@@ -0,0 +1,57 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_VECTOR_SINK_I_H
+#define INCLUDED_GR_VECTOR_SINK_I_H
+
+#include <gr_sync_block.h>
+
+class gr_vector_sink_i;
+typedef boost::shared_ptr<gr_vector_sink_i> gr_vector_sink_i_sptr;
+
+gr_vector_sink_i_sptr
+gr_make_vector_sink_i (int vlen = 1);
+
+
+/*!
+ * \brief int sink that writes to a vector
+ * \ingroup sink_blk
+ */
+
+class gr_vector_sink_i : public gr_sync_block {
+  friend gr_vector_sink_i_sptr gr_make_vector_sink_i (int vlen);
+  std::vector<int>     d_data;
+  int                  d_vlen;
+  gr_vector_sink_i (int vlen);
+
+ public:
+  virtual int work (int noutput_items,
+                   gr_vector_const_void_star &input_items,
+                   gr_vector_void_star &output_items);
+
+  void clear() {d_data.clear();}
+  std::vector<int> data () const;
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_vector_sink_i.i b/gnuradio-core/src/lib/gengen/gr_vector_sink_i.i
new file mode 100644 (file)
index 0000000..24de561
--- /dev/null
@@ -0,0 +1,38 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+
+GR_SWIG_BLOCK_MAGIC(gr,vector_sink_i);
+
+gr_vector_sink_i_sptr gr_make_vector_sink_i (int vlen = 1);
+
+class gr_vector_sink_i : public gr_sync_block {
+ private:
+  gr_vector_sink_i (int vlen);
+
+ public:
+  void clear() {d_data.clear();}
+  std::vector<int> data () const;
+};
+
diff --git a/gnuradio-core/src/lib/gengen/gr_vector_sink_s.cc b/gnuradio-core/src/lib/gengen/gr_vector_sink_s.cc
new file mode 100644 (file)
index 0000000..b5c6a5c
--- /dev/null
@@ -0,0 +1,64 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <gr_vector_sink_s.h>
+#include <algorithm>
+#include <gr_io_signature.h>
+
+
+gr_vector_sink_s::gr_vector_sink_s (int vlen)
+  : gr_sync_block ("vector_sink_s",
+                  gr_make_io_signature (1, 1, sizeof (short) * vlen),
+                  gr_make_io_signature (0, 0, 0)),
+    d_vlen(vlen)
+{
+}
+
+int
+gr_vector_sink_s::work (int noutput_items,
+                   gr_vector_const_void_star &input_items,
+                   gr_vector_void_star &output_items)
+{
+  short *iptr = (short *) input_items[0];
+  for (int i = 0; i < noutput_items * d_vlen; i++)
+    d_data.push_back (iptr[i]);
+
+  return noutput_items;
+}
+
+
+gr_vector_sink_s_sptr
+gr_make_vector_sink_s (int vlen)
+{
+  return gr_vector_sink_s_sptr (new gr_vector_sink_s (vlen));
+}
+
+std::vector<short>
+gr_vector_sink_s::data () const
+{
+  return d_data;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_vector_sink_s.h b/gnuradio-core/src/lib/gengen/gr_vector_sink_s.h
new file mode 100644 (file)
index 0000000..5b2168c
--- /dev/null
@@ -0,0 +1,57 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_VECTOR_SINK_S_H
+#define INCLUDED_GR_VECTOR_SINK_S_H
+
+#include <gr_sync_block.h>
+
+class gr_vector_sink_s;
+typedef boost::shared_ptr<gr_vector_sink_s> gr_vector_sink_s_sptr;
+
+gr_vector_sink_s_sptr
+gr_make_vector_sink_s (int vlen = 1);
+
+
+/*!
+ * \brief short sink that writes to a vector
+ * \ingroup sink_blk
+ */
+
+class gr_vector_sink_s : public gr_sync_block {
+  friend gr_vector_sink_s_sptr gr_make_vector_sink_s (int vlen);
+  std::vector<short>   d_data;
+  int                  d_vlen;
+  gr_vector_sink_s (int vlen);
+
+ public:
+  virtual int work (int noutput_items,
+                   gr_vector_const_void_star &input_items,
+                   gr_vector_void_star &output_items);
+
+  void clear() {d_data.clear();}
+  std::vector<short> data () const;
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_vector_sink_s.i b/gnuradio-core/src/lib/gengen/gr_vector_sink_s.i
new file mode 100644 (file)
index 0000000..c301245
--- /dev/null
@@ -0,0 +1,38 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+
+GR_SWIG_BLOCK_MAGIC(gr,vector_sink_s);
+
+gr_vector_sink_s_sptr gr_make_vector_sink_s (int vlen = 1);
+
+class gr_vector_sink_s : public gr_sync_block {
+ private:
+  gr_vector_sink_s (int vlen);
+
+ public:
+  void clear() {d_data.clear();}
+  std::vector<short> data () const;
+};
+
diff --git a/gnuradio-core/src/lib/gengen/gr_vector_source_b.cc b/gnuradio-core/src/lib/gengen/gr_vector_source_b.cc
new file mode 100644 (file)
index 0000000..3cff2fd
--- /dev/null
@@ -0,0 +1,89 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <gr_vector_source_b.h>
+#include <algorithm>
+#include <gr_io_signature.h>
+#include <stdexcept>
+
+
+gr_vector_source_b::gr_vector_source_b (const std::vector<unsigned char> &data, bool repeat, int vlen)
+  : gr_sync_block ("vector_source_b",
+              gr_make_io_signature (0, 0, 0),
+              gr_make_io_signature (1, 1, sizeof (unsigned char) * vlen)),
+    d_data (data),
+    d_repeat (repeat),
+    d_offset (0),
+    d_vlen (vlen)
+{
+  if ((data.size() % vlen) != 0)
+    throw std::invalid_argument("data length must be a multiple of vlen");
+}
+
+int
+gr_vector_source_b::work (int noutput_items,
+                   gr_vector_const_void_star &input_items,
+                   gr_vector_void_star &output_items)
+{
+  unsigned char *optr = (unsigned char *) output_items[0];
+
+  if (d_repeat){
+    unsigned int size = d_data.size ();
+    unsigned int offset = d_offset;
+    
+    if (size == 0)
+      return -1;
+    
+    for (int i = 0; i < noutput_items*d_vlen; i++){
+      optr[i] = d_data[offset++];
+      if (offset >= size)
+       offset = 0;
+    }
+    d_offset = offset;
+    return noutput_items;
+  }
+
+  else {
+    if (d_offset >= d_data.size ())
+      return -1;                       // Done!
+
+    unsigned n = std::min ((unsigned) d_data.size () - d_offset,
+                          (unsigned) noutput_items*d_vlen);
+    for (unsigned i = 0; i < n; i++)
+      optr[i] = d_data[d_offset + i];
+
+    d_offset += n;
+    return n/d_vlen;
+  }
+}
+
+gr_vector_source_b_sptr
+gr_make_vector_source_b (const std::vector<unsigned char> &data, bool repeat, int vlen)
+{
+  return gr_vector_source_b_sptr (new gr_vector_source_b (data, repeat, vlen));
+}
+
diff --git a/gnuradio-core/src/lib/gengen/gr_vector_source_b.h b/gnuradio-core/src/lib/gengen/gr_vector_source_b.h
new file mode 100644 (file)
index 0000000..d1544b7
--- /dev/null
@@ -0,0 +1,59 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_VECTOR_SOURCE_B_H
+#define INCLUDED_GR_VECTOR_SOURCE_B_H
+
+#include <gr_sync_block.h>
+
+class gr_vector_source_b;
+typedef boost::shared_ptr<gr_vector_source_b> gr_vector_source_b_sptr;
+
+/*!
+ * \brief source of unsigned char's that gets its data from a vector
+ * \ingroup source_blk
+ */
+
+class gr_vector_source_b : public gr_sync_block {
+  friend gr_vector_source_b_sptr 
+  gr_make_vector_source_b (const std::vector<unsigned char> &data, bool repeat, int vlen);
+
+  std::vector<unsigned char>   d_data;
+  bool                 d_repeat;
+  unsigned int         d_offset;
+  int                  d_vlen;
+
+  gr_vector_source_b (const std::vector<unsigned char> &data, bool repeat, int vlen);
+
+ public:
+  void rewind() {d_offset=0;}
+  virtual int work (int noutput_items,
+                   gr_vector_const_void_star &input_items,
+                   gr_vector_void_star &output_items);
+};
+
+gr_vector_source_b_sptr
+gr_make_vector_source_b (const std::vector<unsigned char> &data, bool repeat = false, int vlen = 1);
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_vector_source_b.i b/gnuradio-core/src/lib/gengen/gr_vector_source_b.i
new file mode 100644 (file)
index 0000000..f794917
--- /dev/null
@@ -0,0 +1,36 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,vector_source_b);
+
+gr_vector_source_b_sptr
+gr_make_vector_source_b (const std::vector<unsigned char> &data, bool repeat = false, int vlen = 1)
+  throw(std::invalid_argument);
+
+class gr_vector_source_b : public gr_sync_block {
+ public:
+  void rewind() {d_offset=0;}
+ private:
+  gr_vector_source_b (const std::vector<unsigned char> &data, int vlen);
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_vector_source_c.cc b/gnuradio-core/src/lib/gengen/gr_vector_source_c.cc
new file mode 100644 (file)
index 0000000..5eb12d7
--- /dev/null
@@ -0,0 +1,89 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <gr_vector_source_c.h>
+#include <algorithm>
+#include <gr_io_signature.h>
+#include <stdexcept>
+
+
+gr_vector_source_c::gr_vector_source_c (const std::vector<gr_complex> &data, bool repeat, int vlen)
+  : gr_sync_block ("vector_source_c",
+              gr_make_io_signature (0, 0, 0),
+              gr_make_io_signature (1, 1, sizeof (gr_complex) * vlen)),
+    d_data (data),
+    d_repeat (repeat),
+    d_offset (0),
+    d_vlen (vlen)
+{
+  if ((data.size() % vlen) != 0)
+    throw std::invalid_argument("data length must be a multiple of vlen");
+}
+
+int
+gr_vector_source_c::work (int noutput_items,
+                   gr_vector_const_void_star &input_items,
+                   gr_vector_void_star &output_items)
+{
+  gr_complex *optr = (gr_complex *) output_items[0];
+
+  if (d_repeat){
+    unsigned int size = d_data.size ();
+    unsigned int offset = d_offset;
+    
+    if (size == 0)
+      return -1;
+    
+    for (int i = 0; i < noutput_items*d_vlen; i++){
+      optr[i] = d_data[offset++];
+      if (offset >= size)
+       offset = 0;
+    }
+    d_offset = offset;
+    return noutput_items;
+  }
+
+  else {
+    if (d_offset >= d_data.size ())
+      return -1;                       // Done!
+
+    unsigned n = std::min ((unsigned) d_data.size () - d_offset,
+                          (unsigned) noutput_items*d_vlen);
+    for (unsigned i = 0; i < n; i++)
+      optr[i] = d_data[d_offset + i];
+
+    d_offset += n;
+    return n/d_vlen;
+  }
+}
+
+gr_vector_source_c_sptr
+gr_make_vector_source_c (const std::vector<gr_complex> &data, bool repeat, int vlen)
+{
+  return gr_vector_source_c_sptr (new gr_vector_source_c (data, repeat, vlen));
+}
+
diff --git a/gnuradio-core/src/lib/gengen/gr_vector_source_c.h b/gnuradio-core/src/lib/gengen/gr_vector_source_c.h
new file mode 100644 (file)
index 0000000..6911433
--- /dev/null
@@ -0,0 +1,59 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_VECTOR_SOURCE_C_H
+#define INCLUDED_GR_VECTOR_SOURCE_C_H
+
+#include <gr_sync_block.h>
+
+class gr_vector_source_c;
+typedef boost::shared_ptr<gr_vector_source_c> gr_vector_source_c_sptr;
+
+/*!
+ * \brief source of gr_complex's that gets its data from a vector
+ * \ingroup source_blk
+ */
+
+class gr_vector_source_c : public gr_sync_block {
+  friend gr_vector_source_c_sptr 
+  gr_make_vector_source_c (const std::vector<gr_complex> &data, bool repeat, int vlen);
+
+  std::vector<gr_complex>      d_data;
+  bool                 d_repeat;
+  unsigned int         d_offset;
+  int                  d_vlen;
+
+  gr_vector_source_c (const std::vector<gr_complex> &data, bool repeat, int vlen);
+
+ public:
+  void rewind() {d_offset=0;}
+  virtual int work (int noutput_items,
+                   gr_vector_const_void_star &input_items,
+                   gr_vector_void_star &output_items);
+};
+
+gr_vector_source_c_sptr
+gr_make_vector_source_c (const std::vector<gr_complex> &data, bool repeat = false, int vlen = 1);
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_vector_source_c.i b/gnuradio-core/src/lib/gengen/gr_vector_source_c.i
new file mode 100644 (file)
index 0000000..7fa5f19
--- /dev/null
@@ -0,0 +1,36 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,vector_source_c);
+
+gr_vector_source_c_sptr
+gr_make_vector_source_c (const std::vector<gr_complex> &data, bool repeat = false, int vlen = 1)
+  throw(std::invalid_argument);
+
+class gr_vector_source_c : public gr_sync_block {
+ public:
+  void rewind() {d_offset=0;}
+ private:
+  gr_vector_source_c (const std::vector<gr_complex> &data, int vlen);
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_vector_source_f.cc b/gnuradio-core/src/lib/gengen/gr_vector_source_f.cc
new file mode 100644 (file)
index 0000000..c5d5e25
--- /dev/null
@@ -0,0 +1,89 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <gr_vector_source_f.h>
+#include <algorithm>
+#include <gr_io_signature.h>
+#include <stdexcept>
+
+
+gr_vector_source_f::gr_vector_source_f (const std::vector<float> &data, bool repeat, int vlen)
+  : gr_sync_block ("vector_source_f",
+              gr_make_io_signature (0, 0, 0),
+              gr_make_io_signature (1, 1, sizeof (float) * vlen)),
+    d_data (data),
+    d_repeat (repeat),
+    d_offset (0),
+    d_vlen (vlen)
+{
+  if ((data.size() % vlen) != 0)
+    throw std::invalid_argument("data length must be a multiple of vlen");
+}
+
+int
+gr_vector_source_f::work (int noutput_items,
+                   gr_vector_const_void_star &input_items,
+                   gr_vector_void_star &output_items)
+{
+  float *optr = (float *) output_items[0];
+
+  if (d_repeat){
+    unsigned int size = d_data.size ();
+    unsigned int offset = d_offset;
+    
+    if (size == 0)
+      return -1;
+    
+    for (int i = 0; i < noutput_items*d_vlen; i++){
+      optr[i] = d_data[offset++];
+      if (offset >= size)
+       offset = 0;
+    }
+    d_offset = offset;
+    return noutput_items;
+  }
+
+  else {
+    if (d_offset >= d_data.size ())
+      return -1;                       // Done!
+
+    unsigned n = std::min ((unsigned) d_data.size () - d_offset,
+                          (unsigned) noutput_items*d_vlen);
+    for (unsigned i = 0; i < n; i++)
+      optr[i] = d_data[d_offset + i];
+
+    d_offset += n;
+    return n/d_vlen;
+  }
+}
+
+gr_vector_source_f_sptr
+gr_make_vector_source_f (const std::vector<float> &data, bool repeat, int vlen)
+{
+  return gr_vector_source_f_sptr (new gr_vector_source_f (data, repeat, vlen));
+}
+
diff --git a/gnuradio-core/src/lib/gengen/gr_vector_source_f.h b/gnuradio-core/src/lib/gengen/gr_vector_source_f.h
new file mode 100644 (file)
index 0000000..206ff4f
--- /dev/null
@@ -0,0 +1,59 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_VECTOR_SOURCE_F_H
+#define INCLUDED_GR_VECTOR_SOURCE_F_H
+
+#include <gr_sync_block.h>
+
+class gr_vector_source_f;
+typedef boost::shared_ptr<gr_vector_source_f> gr_vector_source_f_sptr;
+
+/*!
+ * \brief source of float's that gets its data from a vector
+ * \ingroup source_blk
+ */
+
+class gr_vector_source_f : public gr_sync_block {
+  friend gr_vector_source_f_sptr 
+  gr_make_vector_source_f (const std::vector<float> &data, bool repeat, int vlen);
+
+  std::vector<float>   d_data;
+  bool                 d_repeat;
+  unsigned int         d_offset;
+  int                  d_vlen;
+
+  gr_vector_source_f (const std::vector<float> &data, bool repeat, int vlen);
+
+ public:
+  void rewind() {d_offset=0;}
+  virtual int work (int noutput_items,
+                   gr_vector_const_void_star &input_items,
+                   gr_vector_void_star &output_items);
+};
+
+gr_vector_source_f_sptr
+gr_make_vector_source_f (const std::vector<float> &data, bool repeat = false, int vlen = 1);
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_vector_source_f.i b/gnuradio-core/src/lib/gengen/gr_vector_source_f.i
new file mode 100644 (file)
index 0000000..b0ec77a
--- /dev/null
@@ -0,0 +1,36 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,vector_source_f);
+
+gr_vector_source_f_sptr
+gr_make_vector_source_f (const std::vector<float> &data, bool repeat = false, int vlen = 1)
+  throw(std::invalid_argument);
+
+class gr_vector_source_f : public gr_sync_block {
+ public:
+  void rewind() {d_offset=0;}
+ private:
+  gr_vector_source_f (const std::vector<float> &data, int vlen);
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_vector_source_i.cc b/gnuradio-core/src/lib/gengen/gr_vector_source_i.cc
new file mode 100644 (file)
index 0000000..3b88952
--- /dev/null
@@ -0,0 +1,89 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <gr_vector_source_i.h>
+#include <algorithm>
+#include <gr_io_signature.h>
+#include <stdexcept>
+
+
+gr_vector_source_i::gr_vector_source_i (const std::vector<int> &data, bool repeat, int vlen)
+  : gr_sync_block ("vector_source_i",
+              gr_make_io_signature (0, 0, 0),
+              gr_make_io_signature (1, 1, sizeof (int) * vlen)),
+    d_data (data),
+    d_repeat (repeat),
+    d_offset (0),
+    d_vlen (vlen)
+{
+  if ((data.size() % vlen) != 0)
+    throw std::invalid_argument("data length must be a multiple of vlen");
+}
+
+int
+gr_vector_source_i::work (int noutput_items,
+                   gr_vector_const_void_star &input_items,
+                   gr_vector_void_star &output_items)
+{
+  int *optr = (int *) output_items[0];
+
+  if (d_repeat){
+    unsigned int size = d_data.size ();
+    unsigned int offset = d_offset;
+    
+    if (size == 0)
+      return -1;
+    
+    for (int i = 0; i < noutput_items*d_vlen; i++){
+      optr[i] = d_data[offset++];
+      if (offset >= size)
+       offset = 0;
+    }
+    d_offset = offset;
+    return noutput_items;
+  }
+
+  else {
+    if (d_offset >= d_data.size ())
+      return -1;                       // Done!
+
+    unsigned n = std::min ((unsigned) d_data.size () - d_offset,
+                          (unsigned) noutput_items*d_vlen);
+    for (unsigned i = 0; i < n; i++)
+      optr[i] = d_data[d_offset + i];
+
+    d_offset += n;
+    return n/d_vlen;
+  }
+}
+
+gr_vector_source_i_sptr
+gr_make_vector_source_i (const std::vector<int> &data, bool repeat, int vlen)
+{
+  return gr_vector_source_i_sptr (new gr_vector_source_i (data, repeat, vlen));
+}
+
diff --git a/gnuradio-core/src/lib/gengen/gr_vector_source_i.h b/gnuradio-core/src/lib/gengen/gr_vector_source_i.h
new file mode 100644 (file)
index 0000000..bde5232
--- /dev/null
@@ -0,0 +1,59 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_VECTOR_SOURCE_I_H
+#define INCLUDED_GR_VECTOR_SOURCE_I_H
+
+#include <gr_sync_block.h>
+
+class gr_vector_source_i;
+typedef boost::shared_ptr<gr_vector_source_i> gr_vector_source_i_sptr;
+
+/*!
+ * \brief source of int's that gets its data from a vector
+ * \ingroup source_blk
+ */
+
+class gr_vector_source_i : public gr_sync_block {
+  friend gr_vector_source_i_sptr 
+  gr_make_vector_source_i (const std::vector<int> &data, bool repeat, int vlen);
+
+  std::vector<int>     d_data;
+  bool                 d_repeat;
+  unsigned int         d_offset;
+  int                  d_vlen;
+
+  gr_vector_source_i (const std::vector<int> &data, bool repeat, int vlen);
+
+ public:
+  void rewind() {d_offset=0;}
+  virtual int work (int noutput_items,
+                   gr_vector_const_void_star &input_items,
+                   gr_vector_void_star &output_items);
+};
+
+gr_vector_source_i_sptr
+gr_make_vector_source_i (const std::vector<int> &data, bool repeat = false, int vlen = 1);
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_vector_source_i.i b/gnuradio-core/src/lib/gengen/gr_vector_source_i.i
new file mode 100644 (file)
index 0000000..bcd0674
--- /dev/null
@@ -0,0 +1,36 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,vector_source_i);
+
+gr_vector_source_i_sptr
+gr_make_vector_source_i (const std::vector<int> &data, bool repeat = false, int vlen = 1)
+  throw(std::invalid_argument);
+
+class gr_vector_source_i : public gr_sync_block {
+ public:
+  void rewind() {d_offset=0;}
+ private:
+  gr_vector_source_i (const std::vector<int> &data, int vlen);
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_vector_source_s.cc b/gnuradio-core/src/lib/gengen/gr_vector_source_s.cc
new file mode 100644 (file)
index 0000000..f38189c
--- /dev/null
@@ -0,0 +1,89 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <gr_vector_source_s.h>
+#include <algorithm>
+#include <gr_io_signature.h>
+#include <stdexcept>
+
+
+gr_vector_source_s::gr_vector_source_s (const std::vector<short> &data, bool repeat, int vlen)
+  : gr_sync_block ("vector_source_s",
+              gr_make_io_signature (0, 0, 0),
+              gr_make_io_signature (1, 1, sizeof (short) * vlen)),
+    d_data (data),
+    d_repeat (repeat),
+    d_offset (0),
+    d_vlen (vlen)
+{
+  if ((data.size() % vlen) != 0)
+    throw std::invalid_argument("data length must be a multiple of vlen");
+}
+
+int
+gr_vector_source_s::work (int noutput_items,
+                   gr_vector_const_void_star &input_items,
+                   gr_vector_void_star &output_items)
+{
+  short *optr = (short *) output_items[0];
+
+  if (d_repeat){
+    unsigned int size = d_data.size ();
+    unsigned int offset = d_offset;
+    
+    if (size == 0)
+      return -1;
+    
+    for (int i = 0; i < noutput_items*d_vlen; i++){
+      optr[i] = d_data[offset++];
+      if (offset >= size)
+       offset = 0;
+    }
+    d_offset = offset;
+    return noutput_items;
+  }
+
+  else {
+    if (d_offset >= d_data.size ())
+      return -1;                       // Done!
+
+    unsigned n = std::min ((unsigned) d_data.size () - d_offset,
+                          (unsigned) noutput_items*d_vlen);
+    for (unsigned i = 0; i < n; i++)
+      optr[i] = d_data[d_offset + i];
+
+    d_offset += n;
+    return n/d_vlen;
+  }
+}
+
+gr_vector_source_s_sptr
+gr_make_vector_source_s (const std::vector<short> &data, bool repeat, int vlen)
+{
+  return gr_vector_source_s_sptr (new gr_vector_source_s (data, repeat, vlen));
+}
+
diff --git a/gnuradio-core/src/lib/gengen/gr_vector_source_s.h b/gnuradio-core/src/lib/gengen/gr_vector_source_s.h
new file mode 100644 (file)
index 0000000..5db181f
--- /dev/null
@@ -0,0 +1,59 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_VECTOR_SOURCE_S_H
+#define INCLUDED_GR_VECTOR_SOURCE_S_H
+
+#include <gr_sync_block.h>
+
+class gr_vector_source_s;
+typedef boost::shared_ptr<gr_vector_source_s> gr_vector_source_s_sptr;
+
+/*!
+ * \brief source of short's that gets its data from a vector
+ * \ingroup source_blk
+ */
+
+class gr_vector_source_s : public gr_sync_block {
+  friend gr_vector_source_s_sptr 
+  gr_make_vector_source_s (const std::vector<short> &data, bool repeat, int vlen);
+
+  std::vector<short>   d_data;
+  bool                 d_repeat;
+  unsigned int         d_offset;
+  int                  d_vlen;
+
+  gr_vector_source_s (const std::vector<short> &data, bool repeat, int vlen);
+
+ public:
+  void rewind() {d_offset=0;}
+  virtual int work (int noutput_items,
+                   gr_vector_const_void_star &input_items,
+                   gr_vector_void_star &output_items);
+};
+
+gr_vector_source_s_sptr
+gr_make_vector_source_s (const std::vector<short> &data, bool repeat = false, int vlen = 1);
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_vector_source_s.i b/gnuradio-core/src/lib/gengen/gr_vector_source_s.i
new file mode 100644 (file)
index 0000000..415825e
--- /dev/null
@@ -0,0 +1,36 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,vector_source_s);
+
+gr_vector_source_s_sptr
+gr_make_vector_source_s (const std::vector<short> &data, bool repeat = false, int vlen = 1)
+  throw(std::invalid_argument);
+
+class gr_vector_source_s : public gr_sync_block {
+ public:
+  void rewind() {d_offset=0;}
+ private:
+  gr_vector_source_s (const std::vector<short> &data, int vlen);
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_xor_bb.cc b/gnuradio-core/src/lib/gengen/gr_xor_bb.cc
new file mode 100644 (file)
index 0000000..8d2e1c1
--- /dev/null
@@ -0,0 +1,62 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_xor_bb.h>
+#include <gr_io_signature.h>
+
+gr_xor_bb_sptr
+gr_make_xor_bb ()
+{
+  return gr_xor_bb_sptr (new gr_xor_bb ());
+}
+
+gr_xor_bb::gr_xor_bb ()
+  : gr_sync_block ("xor_bb",
+                  gr_make_io_signature (1, -1, sizeof (unsigned char)),
+                  gr_make_io_signature (1,  1, sizeof (unsigned char)))
+{
+}
+
+int
+gr_xor_bb::work (int noutput_items,
+             gr_vector_const_void_star &input_items,
+             gr_vector_void_star &output_items)
+{
+  unsigned char *optr = (unsigned char *) output_items[0];
+
+  int ninputs = input_items.size ();
+
+  for (int i = 0; i < noutput_items; i++) {
+    unsigned char acc = ((unsigned char *) input_items[0])[i];
+    for (int j = 1; j < ninputs; j++)
+      acc = acc ^ ((unsigned char *) input_items[j])[i];
+
+    *optr++ = (unsigned char) acc;
+  }
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_xor_bb.h b/gnuradio-core/src/lib/gengen/gr_xor_bb.h
new file mode 100644 (file)
index 0000000..b4600a2
--- /dev/null
@@ -0,0 +1,54 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_XOR_BB_H
+#define INCLUDED_GR_XOR_BB_H
+
+#include <gr_sync_block.h>
+
+class gr_xor_bb;
+typedef boost::shared_ptr<gr_xor_bb> gr_xor_bb_sptr;
+
+gr_xor_bb_sptr gr_make_xor_bb ();
+
+/*!
+ * \brief output = input_0 ^ input_1 ^ , ... ^ input_N)
+ * \ingroup math_blk
+ *
+ * bitwise boolean xor across all input streams.
+ */
+class gr_xor_bb : public gr_sync_block
+{
+  friend gr_xor_bb_sptr gr_make_xor_bb ();
+
+  gr_xor_bb ();
+
+ public:
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_xor_bb.i b/gnuradio-core/src/lib/gengen/gr_xor_bb.i
new file mode 100644 (file)
index 0000000..2394207
--- /dev/null
@@ -0,0 +1,33 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,xor_bb)
+
+gr_xor_bb_sptr gr_make_xor_bb ();
+
+class gr_xor_bb : public gr_sync_block
+{
+ private:
+  gr_xor_bb ();
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_xor_ii.cc b/gnuradio-core/src/lib/gengen/gr_xor_ii.cc
new file mode 100644 (file)
index 0000000..8cc8000
--- /dev/null
@@ -0,0 +1,62 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_xor_ii.h>
+#include <gr_io_signature.h>
+
+gr_xor_ii_sptr
+gr_make_xor_ii ()
+{
+  return gr_xor_ii_sptr (new gr_xor_ii ());
+}
+
+gr_xor_ii::gr_xor_ii ()
+  : gr_sync_block ("xor_ii",
+                  gr_make_io_signature (1, -1, sizeof (int)),
+                  gr_make_io_signature (1,  1, sizeof (int)))
+{
+}
+
+int
+gr_xor_ii::work (int noutput_items,
+             gr_vector_const_void_star &input_items,
+             gr_vector_void_star &output_items)
+{
+  int *optr = (int *) output_items[0];
+
+  int ninputs = input_items.size ();
+
+  for (int i = 0; i < noutput_items; i++) {
+    int acc = ((int *) input_items[0])[i];
+    for (int j = 1; j < ninputs; j++)
+      acc = acc ^ ((int *) input_items[j])[i];
+
+    *optr++ = (int) acc;
+  }
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_xor_ii.h b/gnuradio-core/src/lib/gengen/gr_xor_ii.h
new file mode 100644 (file)
index 0000000..2444d94
--- /dev/null
@@ -0,0 +1,54 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_XOR_II_H
+#define INCLUDED_GR_XOR_II_H
+
+#include <gr_sync_block.h>
+
+class gr_xor_ii;
+typedef boost::shared_ptr<gr_xor_ii> gr_xor_ii_sptr;
+
+gr_xor_ii_sptr gr_make_xor_ii ();
+
+/*!
+ * \brief output = input_0 ^ input_1 ^ , ... ^ input_N)
+ * \ingroup math_blk
+ *
+ * bitwise boolean xor across all input streams.
+ */
+class gr_xor_ii : public gr_sync_block
+{
+  friend gr_xor_ii_sptr gr_make_xor_ii ();
+
+  gr_xor_ii ();
+
+ public:
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_xor_ii.i b/gnuradio-core/src/lib/gengen/gr_xor_ii.i
new file mode 100644 (file)
index 0000000..22f234f
--- /dev/null
@@ -0,0 +1,33 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,xor_ii)
+
+gr_xor_ii_sptr gr_make_xor_ii ();
+
+class gr_xor_ii : public gr_sync_block
+{
+ private:
+  gr_xor_ii ();
+};
diff --git a/gnuradio-core/src/lib/gengen/gr_xor_ss.cc b/gnuradio-core/src/lib/gengen/gr_xor_ss.cc
new file mode 100644 (file)
index 0000000..dc366f5
--- /dev/null
@@ -0,0 +1,62 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <gr_xor_ss.h>
+#include <gr_io_signature.h>
+
+gr_xor_ss_sptr
+gr_make_xor_ss ()
+{
+  return gr_xor_ss_sptr (new gr_xor_ss ());
+}
+
+gr_xor_ss::gr_xor_ss ()
+  : gr_sync_block ("xor_ss",
+                  gr_make_io_signature (1, -1, sizeof (short)),
+                  gr_make_io_signature (1,  1, sizeof (short)))
+{
+}
+
+int
+gr_xor_ss::work (int noutput_items,
+             gr_vector_const_void_star &input_items,
+             gr_vector_void_star &output_items)
+{
+  short *optr = (short *) output_items[0];
+
+  int ninputs = input_items.size ();
+
+  for (int i = 0; i < noutput_items; i++) {
+    short acc = ((short *) input_items[0])[i];
+    for (int j = 1; j < ninputs; j++)
+      acc = acc ^ ((short *) input_items[j])[i];
+
+    *optr++ = (short) acc;
+  }
+  return noutput_items;
+}
diff --git a/gnuradio-core/src/lib/gengen/gr_xor_ss.h b/gnuradio-core/src/lib/gengen/gr_xor_ss.h
new file mode 100644 (file)
index 0000000..e7bb440
--- /dev/null
@@ -0,0 +1,54 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_GR_XOR_SS_H
+#define INCLUDED_GR_XOR_SS_H
+
+#include <gr_sync_block.h>
+
+class gr_xor_ss;
+typedef boost::shared_ptr<gr_xor_ss> gr_xor_ss_sptr;
+
+gr_xor_ss_sptr gr_make_xor_ss ();
+
+/*!
+ * \brief output = input_0 ^ input_1 ^ , ... ^ input_N)
+ * \ingroup math_blk
+ *
+ * bitwise boolean xor across all input streams.
+ */
+class gr_xor_ss : public gr_sync_block
+{
+  friend gr_xor_ss_sptr gr_make_xor_ss ();
+
+  gr_xor_ss ();
+
+ public:
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gnuradio-core/src/lib/gengen/gr_xor_ss.i b/gnuradio-core/src/lib/gengen/gr_xor_ss.i
new file mode 100644 (file)
index 0000000..95d6b7d
--- /dev/null
@@ -0,0 +1,33 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(gr,xor_ss)
+
+gr_xor_ss_sptr gr_make_xor_ss ();
+
+class gr_xor_ss : public gr_sync_block
+{
+ private:
+  gr_xor_ss ();
+};
diff --git a/gnuradio-core/src/lib/gengen/stamp-sources-generate b/gnuradio-core/src/lib/gengen/stamp-sources-generate
new file mode 100644 (file)
index 0000000..e69de29
diff --git a/gnuradio-core/src/lib/hier/Makefile.in b/gnuradio-core/src/lib/hier/Makefile.in
new file mode 100644 (file)
index 0000000..bfa95e7
--- /dev/null
@@ -0,0 +1,1044 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(grinclude_HEADERS) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(swiginclude_HEADERS) \
+       $(top_srcdir)/Makefile.common
+subdir = gnuradio-core/src/lib/hier
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+LTLIBRARIES = $(noinst_LTLIBRARIES)
+libhier_la_LIBADD =
+am_libhier_la_OBJECTS = gr_channel_model.lo
+libhier_la_OBJECTS = $(am_libhier_la_OBJECTS)
+DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
+depcomp = $(SHELL) $(top_srcdir)/depcomp
+am__depfiles_maybe = depfiles
+CXXCOMPILE = $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+LTCXXCOMPILE = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+CXXLD = $(CXX)
+CXXLINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CXXLD) $(AM_CXXFLAGS) $(CXXFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+SOURCES = $(libhier_la_SOURCES)
+DIST_SOURCES = $(libhier_la_SOURCES)
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(grincludedir)" \
+       "$(DESTDIR)$(swigincludedir)"
+grincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+swigincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+HEADERS = $(grinclude_HEADERS) $(swiginclude_HEADERS)
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+AM_CPPFLAGS = $(STD_DEFINES_AND_INCLUDES) $(WITH_INCLUDES)
+noinst_LTLIBRARIES = libhier.la
+libhier_la_SOURCES = \
+       gr_channel_model.cc
+
+grinclude_HEADERS = \
+       gr_channel_model.h
+
+swiginclude_HEADERS = \
+       hier.i \
+       gr_channel_model.i
+
+all: all-am
+
+.SUFFIXES:
+.SUFFIXES: .cc .lo .o .obj
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gnuradio-core/src/lib/hier/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gnuradio-core/src/lib/hier/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+clean-noinstLTLIBRARIES:
+       -test -z "$(noinst_LTLIBRARIES)" || rm -f $(noinst_LTLIBRARIES)
+       @list='$(noinst_LTLIBRARIES)'; for p in $$list; do \
+         dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
+         test "$$dir" != "$$p" || dir=.; \
+         echo "rm -f \"$${dir}/so_locations\""; \
+         rm -f "$${dir}/so_locations"; \
+       done
+libhier.la: $(libhier_la_OBJECTS) $(libhier_la_DEPENDENCIES) 
+       $(CXXLINK)  $(libhier_la_OBJECTS) $(libhier_la_LIBADD) $(LIBS)
+
+mostlyclean-compile:
+       -rm -f *.$(OBJEXT)
+
+distclean-compile:
+       -rm -f *.tab.c
+
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_channel_model.Plo@am__quote@
+
+.cc.o:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ $<
+
+.cc.obj:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ `$(CYGPATH_W) '$<'`
+
+.cc.lo:
+@am__fastdepCXX_TRUE@  $(LTCXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LTCXXCOMPILE) -c -o $@ $<
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-grincludeHEADERS: $(grinclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(grincludedir)" || $(MKDIR_P) "$(DESTDIR)$(grincludedir)"
+       @list='$(grinclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(grincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(grincludedir)/$$f'"; \
+         $(grincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(grincludedir)/$$f"; \
+       done
+
+uninstall-grincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(grinclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(grincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(grincludedir)/$$f"; \
+       done
+install-swigincludeHEADERS: $(swiginclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(swigincludedir)" || $(MKDIR_P) "$(DESTDIR)$(swigincludedir)"
+       @list='$(swiginclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(swigincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(swigincludedir)/$$f'"; \
+         $(swigincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(swigincludedir)/$$f"; \
+       done
+
+uninstall-swigincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(swiginclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(swigincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(swigincludedir)/$$f"; \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile $(LTLIBRARIES) $(HEADERS)
+installdirs:
+       for dir in "$(DESTDIR)$(grincludedir)" "$(DESTDIR)$(swigincludedir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool clean-noinstLTLIBRARIES \
+       mostlyclean-am
+
+distclean: distclean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+distclean-am: clean-am distclean-compile distclean-generic \
+       distclean-tags
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-grincludeHEADERS install-swigincludeHEADERS
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-compile mostlyclean-generic \
+       mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-grincludeHEADERS uninstall-swigincludeHEADERS
+
+.MAKE: install-am install-strip
+
+.PHONY: CTAGS GTAGS all all-am check check-am clean clean-generic \
+       clean-libtool clean-noinstLTLIBRARIES ctags dist-hook \
+       distclean distclean-compile distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-grincludeHEADERS install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-ps install-ps-am \
+       install-strip install-swigincludeHEADERS installcheck \
+       installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-compile \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       tags uninstall uninstall-am uninstall-grincludeHEADERS \
+       uninstall-swigincludeHEADERS
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gnuradio-core/src/lib/io/Makefile.in b/gnuradio-core/src/lib/io/Makefile.in
new file mode 100644 (file)
index 0000000..9197c38
--- /dev/null
@@ -0,0 +1,1160 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2001,2003,2004,2006,2007,2008 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(grinclude_HEADERS) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(swiginclude_HEADERS) \
+       $(top_srcdir)/Makefile.common
+subdir = gnuradio-core/src/lib/io
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+LTLIBRARIES = $(noinst_LTLIBRARIES)
+libio_la_LIBADD =
+am_libio_la_OBJECTS = gr_file_sink.lo gr_file_sink_base.lo \
+       gr_file_source.lo gr_file_descriptor_sink.lo \
+       gr_file_descriptor_source.lo gr_histo_sink_f.lo \
+       gr_message_sink.lo gr_message_source.lo gr_oscope_guts.lo \
+       gr_oscope_sink_f.lo gr_oscope_sink_x.lo gri_logger.lo i2c.lo \
+       i2c_bitbang.lo i2c_bbio.lo i2c_bbio_pp.lo microtune_4702.lo \
+       microtune_4937.lo microtune_4702_eval_board.lo \
+       microtune_4937_eval_board.lo microtune_xxxx.lo \
+       microtune_xxxx_eval_board.lo ppio.lo ppio_ppdev.lo sdr_1000.lo \
+       gr_udp_sink.lo gr_udp_source.lo gr_wavfile_sink.lo \
+       gr_wavfile_source.lo gri_wavfile.lo
+libio_la_OBJECTS = $(am_libio_la_OBJECTS)
+DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
+depcomp = $(SHELL) $(top_srcdir)/depcomp
+am__depfiles_maybe = depfiles
+CXXCOMPILE = $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+LTCXXCOMPILE = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+CXXLD = $(CXX)
+CXXLINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CXXLD) $(AM_CXXFLAGS) $(CXXFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+SOURCES = $(libio_la_SOURCES)
+DIST_SOURCES = $(libio_la_SOURCES)
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(grincludedir)" \
+       "$(DESTDIR)$(swigincludedir)"
+grincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+swigincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+HEADERS = $(grinclude_HEADERS) $(swiginclude_HEADERS)
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+AM_CPPFLAGS = $(STD_DEFINES_AND_INCLUDES) $(WITH_INCLUDES)
+noinst_LTLIBRARIES = libio.la
+libio_la_SOURCES = \
+       gr_file_sink.cc                 \
+       gr_file_sink_base.cc            \
+       gr_file_source.cc               \
+       gr_file_descriptor_sink.cc      \
+       gr_file_descriptor_source.cc    \
+       gr_histo_sink_f.cc              \
+       gr_message_sink.cc              \
+       gr_message_source.cc            \
+       gr_oscope_guts.cc               \
+       gr_oscope_sink_f.cc             \
+       gr_oscope_sink_x.cc             \
+       gri_logger.cc                   \
+       i2c.cc                          \
+       i2c_bitbang.cc                  \
+       i2c_bbio.cc                     \
+       i2c_bbio_pp.cc                  \
+       microtune_4702.cc               \
+       microtune_4937.cc               \
+       microtune_4702_eval_board.cc    \
+       microtune_4937_eval_board.cc    \
+       microtune_xxxx.cc               \
+       microtune_xxxx_eval_board.cc    \
+       ppio.cc                         \
+       ppio_ppdev.cc                   \
+       sdr_1000.cc                     \
+       gr_udp_sink.cc                  \
+       gr_udp_source.cc                \
+       gr_wavfile_sink.cc              \
+       gr_wavfile_source.cc            \
+       gri_wavfile.cc
+
+grinclude_HEADERS = \
+       gr_file_sink.h                  \
+       gr_file_sink_base.h             \
+       gr_file_source.h                \
+       gr_file_descriptor_sink.h       \
+       gr_file_descriptor_source.h     \
+       gr_histo_sink_f.h               \
+       gr_message_sink.h               \
+       gr_message_source.h             \
+       gr_oscope_guts.h                \
+       gr_oscope_sink_f.h              \
+       gr_oscope_sink_x.h              \
+       gr_trigger_mode.h               \
+       gri_logger.h                    \
+       i2c.h                           \
+       i2c_bitbang.h                   \
+       i2c_bbio.h                      \
+       i2c_bbio_pp.h                   \
+       microtune_4702.h                \
+       microtune_4937.h                \
+       microtune_4702_eval_board.h     \
+       microtune_4937_eval_board.h     \
+       microtune_eval_board_defs.h     \
+       microtune_xxxx.h                \
+       microtune_xxxx_eval_board.h     \
+       ppio.h                          \
+       ppio_ppdev.h                    \
+       sdr_1000.h                      \
+       gr_udp_sink.h                   \
+       gr_udp_source.h                 \
+       gr_wavfile_source.h             \
+       gr_wavfile_sink.h               \
+       gri_wavfile.h
+
+swiginclude_HEADERS = \
+       io.i                            \
+       gr_file_sink.i                  \
+       gr_file_sink_base.i             \
+       gr_file_source.i                \
+       gr_file_descriptor_sink.i       \
+       gr_file_descriptor_source.i     \
+       gr_histo_sink.i                 \
+       gr_message_sink.i               \
+       gr_message_source.i             \
+       gr_oscope_sink.i                \
+       microtune_xxxx_eval_board.i     \
+       microtune_4702_eval_board.i     \
+       microtune_4937_eval_board.i     \
+       ppio.i                          \
+       sdr_1000.i                      \
+       gr_udp_sink.i                   \
+       gr_udp_source.i                 \
+       gr_wavfile_source.i             \
+       gr_wavfile_sink.i
+
+all: all-am
+
+.SUFFIXES:
+.SUFFIXES: .cc .lo .o .obj
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gnuradio-core/src/lib/io/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gnuradio-core/src/lib/io/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+clean-noinstLTLIBRARIES:
+       -test -z "$(noinst_LTLIBRARIES)" || rm -f $(noinst_LTLIBRARIES)
+       @list='$(noinst_LTLIBRARIES)'; for p in $$list; do \
+         dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
+         test "$$dir" != "$$p" || dir=.; \
+         echo "rm -f \"$${dir}/so_locations\""; \
+         rm -f "$${dir}/so_locations"; \
+       done
+libio.la: $(libio_la_OBJECTS) $(libio_la_DEPENDENCIES) 
+       $(CXXLINK)  $(libio_la_OBJECTS) $(libio_la_LIBADD) $(LIBS)
+
+mostlyclean-compile:
+       -rm -f *.$(OBJEXT)
+
+distclean-compile:
+       -rm -f *.tab.c
+
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_file_descriptor_sink.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_file_descriptor_source.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_file_sink.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_file_sink_base.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_file_source.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_histo_sink_f.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_message_sink.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_message_source.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_oscope_guts.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_oscope_sink_f.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_oscope_sink_x.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_udp_sink.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_udp_source.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_wavfile_sink.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_wavfile_source.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gri_logger.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gri_wavfile.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/i2c.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/i2c_bbio.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/i2c_bbio_pp.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/i2c_bitbang.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/microtune_4702.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/microtune_4702_eval_board.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/microtune_4937.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/microtune_4937_eval_board.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/microtune_xxxx.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/microtune_xxxx_eval_board.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/ppio.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/ppio_ppdev.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/sdr_1000.Plo@am__quote@
+
+.cc.o:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ $<
+
+.cc.obj:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ `$(CYGPATH_W) '$<'`
+
+.cc.lo:
+@am__fastdepCXX_TRUE@  $(LTCXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LTCXXCOMPILE) -c -o $@ $<
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-grincludeHEADERS: $(grinclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(grincludedir)" || $(MKDIR_P) "$(DESTDIR)$(grincludedir)"
+       @list='$(grinclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(grincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(grincludedir)/$$f'"; \
+         $(grincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(grincludedir)/$$f"; \
+       done
+
+uninstall-grincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(grinclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(grincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(grincludedir)/$$f"; \
+       done
+install-swigincludeHEADERS: $(swiginclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(swigincludedir)" || $(MKDIR_P) "$(DESTDIR)$(swigincludedir)"
+       @list='$(swiginclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(swigincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(swigincludedir)/$$f'"; \
+         $(swigincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(swigincludedir)/$$f"; \
+       done
+
+uninstall-swigincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(swiginclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(swigincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(swigincludedir)/$$f"; \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile $(LTLIBRARIES) $(HEADERS)
+installdirs:
+       for dir in "$(DESTDIR)$(grincludedir)" "$(DESTDIR)$(swigincludedir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool clean-noinstLTLIBRARIES \
+       mostlyclean-am
+
+distclean: distclean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+distclean-am: clean-am distclean-compile distclean-generic \
+       distclean-tags
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-grincludeHEADERS install-swigincludeHEADERS
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-compile mostlyclean-generic \
+       mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-grincludeHEADERS uninstall-swigincludeHEADERS
+
+.MAKE: install-am install-strip
+
+.PHONY: CTAGS GTAGS all all-am check check-am clean clean-generic \
+       clean-libtool clean-noinstLTLIBRARIES ctags dist-hook \
+       distclean distclean-compile distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-grincludeHEADERS install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-ps install-ps-am \
+       install-strip install-swigincludeHEADERS installcheck \
+       installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-compile \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       tags uninstall uninstall-am uninstall-grincludeHEADERS \
+       uninstall-swigincludeHEADERS
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gnuradio-core/src/lib/io/gri_logger.cc b/gnuradio-core/src/lib/io/gri_logger.cc
new file mode 100644 (file)
index 0000000..c085a93
--- /dev/null
@@ -0,0 +1,174 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+
+#include <gri_logger.h>
+#include <stdio.h>
+#include <stdarg.h>
+#include <stdexcept>
+#include <boost/weak_ptr.hpp>
+#include <string.h>
+
+
+/*
+ * This class creates the thread that reads from the ringbuffer and
+ * and writes to the file.  This is opaque to the user.
+ */
+class gri_log_poster : public omni_thread
+{
+  FILE                *d_fp;
+  gr_buffer_sptr       d_writer;
+  gr_buffer_reader_sptr        d_reader;
+  omni_semaphore       d_ringbuffer_ready;
+  volatile bool                d_time_to_die;
+  volatile bool                d_writer_overrun;
+
+  virtual void* run_undetached(void * arg);
+
+public:
+  gri_log_poster(const char *filename);
+  ~gri_log_poster();
+
+  void kill() { d_time_to_die = true; post(); }
+  gr_buffer_sptr writer() const { return d_writer; }
+  void post() { d_ringbuffer_ready.post(); }
+  void note_writer_overrun() { d_writer_overrun = true; }
+};
+
+gri_log_poster::gri_log_poster(const char *filename)
+  : omni_thread(),
+    d_ringbuffer_ready(1, 1),          // binary semaphore
+    d_time_to_die(false),
+    d_writer_overrun(false)
+{
+  if ((d_fp = fopen(filename, "w")) == 0){
+    perror (filename);
+    throw std::runtime_error("can't open file");
+  }
+
+  // Create a 1MB buffer.
+  d_writer = gr_make_buffer(1 * 1024 * 1024, sizeof(unsigned char));
+  d_reader = gr_buffer_add_reader(d_writer, 0);
+
+  start_undetached();  // start the thread
+}
+
+gri_log_poster::~gri_log_poster()
+{
+  if (d_fp != 0){
+    fclose(d_fp);
+    d_fp = 0;
+  }
+}
+
+/*
+ * This is the body of the logging thread.
+ */
+void *
+gri_log_poster::run_undetached(void *arg)
+{
+  int nbytes;
+
+  //fprintf(stderr, "Enter: run_undetached!\n");
+
+  while (!d_time_to_die){
+    while ((nbytes = d_reader->items_available()) > 0){
+      fwrite(d_reader->read_pointer(), 1, nbytes, d_fp);
+      d_reader->update_read_pointer(nbytes);
+    }
+    fflush(d_fp);
+    d_ringbuffer_ready.wait();
+
+    if (d_writer_overrun){
+      fputs(">>>>> gri_logger: writer overrun.  Info lost <<<<<\n", d_fp);
+      d_writer_overrun = false;
+    }
+  }
+
+  // fprintf(stderr, "Exit: run_undetached!\n");
+  return 0;
+}
+
+// ------------------------------------------------------------------------
+
+static boost::weak_ptr<gri_logger> s_singleton;  // weak pointer IQ test ;-)
+static omni_mutex s_singleton_mutex;
+
+gri_logger_sptr
+gri_logger::singleton()
+{
+  omni_mutex_lock l(s_singleton_mutex);
+  gri_logger_sptr r;
+
+  if (r = s_singleton.lock())
+    return r;
+
+  r = gri_logger_sptr(new gri_logger("gri_logger.log"));
+  s_singleton = r;
+  return r;
+}
+  
+
+gri_logger::gri_logger(const char *filename)
+{
+  d_poster = new gri_log_poster(filename);
+}
+
+gri_logger::~gri_logger()
+{
+  d_poster->kill();
+  d_poster->join(NULL);
+}
+
+void
+gri_logger::write(const void *buf, size_t count)
+{
+  omni_mutex_lock l(d_write_mutex);
+  gr_buffer_sptr writer = d_poster->writer();
+  
+  // either write it all, or drop it on the ground
+  if (count <= (size_t) writer->space_available()){
+    memcpy(writer->write_pointer(), buf, count);
+    writer->update_write_pointer(count);
+    d_poster->post();
+  }
+  else {
+    d_poster->note_writer_overrun();
+  }
+}
+
+void
+gri_logger::printf(const char *format, ...)
+{
+  va_list      ap;
+  char         buf[4096];
+  int          n;
+  
+  va_start(ap, format);
+  n = vsnprintf(buf, sizeof(buf), format, ap);
+  va_end(ap);
+  if (n > -1 && n < (ssize_t) sizeof(buf))
+    write(buf, n);
+}
diff --git a/gnuradio-core/src/lib/io/gri_logger.h b/gnuradio-core/src/lib/io/gri_logger.h
new file mode 100644 (file)
index 0000000..e5caf7d
--- /dev/null
@@ -0,0 +1,55 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+#ifndef INCLUDED_GRI_LOGGER_H
+#define INCLUDED_GRI_LOGGER_H
+
+#include <stddef.h>
+#include <gnuradio/omnithread.h>
+#include <gr_buffer.h>
+
+class gri_log_poster;
+class gri_logger;
+typedef boost::shared_ptr<gri_logger> gri_logger_sptr;
+
+
+/*!
+ * \brief non-blocking logging to a file.
+ *
+ * In reality, this may block, but only for a bounded time.
+ * Trust me, it's safe to use from portaudio and JACK callbacks.
+ */
+class gri_logger
+{
+  gri_log_poster       *d_poster;
+  omni_mutex            d_write_mutex;
+
+public:
+  static gri_logger_sptr singleton();
+
+  gri_logger(const char *filename);
+  ~gri_logger();
+
+  void write(const void *buf, size_t count);
+  void printf(const char *format, ...);
+};
+
+#endif /* INCLUDED_GRI_LOGGER_H */
diff --git a/gnuradio-core/src/lib/missing/Makefile.in b/gnuradio-core/src/lib/missing/Makefile.in
new file mode 100644 (file)
index 0000000..043e655
--- /dev/null
@@ -0,0 +1,995 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2003,2004,2008 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gnuradio-core/src/lib/missing
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+LTLIBRARIES = $(noinst_LTLIBRARIES)
+libmissing_la_LIBADD =
+am_libmissing_la_OBJECTS = bug_work_around_8.lo posix_memalign.lo
+libmissing_la_OBJECTS = $(am_libmissing_la_OBJECTS)
+DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
+depcomp = $(SHELL) $(top_srcdir)/depcomp
+am__depfiles_maybe = depfiles
+CXXCOMPILE = $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+LTCXXCOMPILE = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+CXXLD = $(CXX)
+CXXLINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CXXLD) $(AM_CXXFLAGS) $(CXXFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+SOURCES = $(libmissing_la_SOURCES)
+DIST_SOURCES = $(libmissing_la_SOURCES)
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+AM_CPPFLAGS = $(GNURADIO_INCLUDES) $(WITH_INCLUDES)
+EXTRA_DIST = \
+       getopt.h                \
+       getopt.c                \
+       gettimeofday.c          \
+       posix_memalign.cc       \
+       posix_memalign.h        \
+       usleep.c                
+
+noinst_LTLIBRARIES = libmissing.la
+libmissing_la_SOURCES = \
+       bug_work_around_8.cc    \
+       posix_memalign.cc       
+
+all: all-am
+
+.SUFFIXES:
+.SUFFIXES: .cc .lo .o .obj
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gnuradio-core/src/lib/missing/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gnuradio-core/src/lib/missing/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+clean-noinstLTLIBRARIES:
+       -test -z "$(noinst_LTLIBRARIES)" || rm -f $(noinst_LTLIBRARIES)
+       @list='$(noinst_LTLIBRARIES)'; for p in $$list; do \
+         dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
+         test "$$dir" != "$$p" || dir=.; \
+         echo "rm -f \"$${dir}/so_locations\""; \
+         rm -f "$${dir}/so_locations"; \
+       done
+libmissing.la: $(libmissing_la_OBJECTS) $(libmissing_la_DEPENDENCIES) 
+       $(CXXLINK)  $(libmissing_la_OBJECTS) $(libmissing_la_LIBADD) $(LIBS)
+
+mostlyclean-compile:
+       -rm -f *.$(OBJEXT)
+
+distclean-compile:
+       -rm -f *.tab.c
+
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/bug_work_around_8.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/posix_memalign.Plo@am__quote@
+
+.cc.o:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ $<
+
+.cc.obj:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ `$(CYGPATH_W) '$<'`
+
+.cc.lo:
+@am__fastdepCXX_TRUE@  $(LTCXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LTCXXCOMPILE) -c -o $@ $<
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile $(LTLIBRARIES)
+installdirs:
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool clean-noinstLTLIBRARIES \
+       mostlyclean-am
+
+distclean: distclean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+distclean-am: clean-am distclean-compile distclean-generic \
+       distclean-tags
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-compile mostlyclean-generic \
+       mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: install-am install-strip
+
+.PHONY: CTAGS GTAGS all all-am check check-am clean clean-generic \
+       clean-libtool clean-noinstLTLIBRARIES ctags dist-hook \
+       distclean distclean-compile distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-compile \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       tags uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gnuradio-core/src/lib/reed-solomon/Makefile.in b/gnuradio-core/src/lib/reed-solomon/Makefile.in
new file mode 100644 (file)
index 0000000..e3043a0
--- /dev/null
@@ -0,0 +1,1145 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2002,2008 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = README $(grinclude_HEADERS) $(noinst_HEADERS) \
+       $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+TESTS = rstest$(EXEEXT)
+noinst_PROGRAMS = rstest$(EXEEXT)
+subdir = gnuradio-core/src/lib/reed-solomon
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+LTLIBRARIES = $(noinst_LTLIBRARIES)
+librs_la_LIBADD =
+am_librs_la_OBJECTS = encode_rs.lo decode_rs.lo init_rs.lo
+librs_la_OBJECTS = $(am_librs_la_OBJECTS)
+PROGRAMS = $(noinst_PROGRAMS)
+am_rstest_OBJECTS = rstest.$(OBJEXT) exercise.$(OBJEXT)
+rstest_OBJECTS = $(am_rstest_OBJECTS)
+rstest_DEPENDENCIES = librs.la
+DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
+depcomp = $(SHELL) $(top_srcdir)/depcomp
+am__depfiles_maybe = depfiles
+COMPILE = $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) \
+       $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
+LTCOMPILE = $(LIBTOOL) --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
+CCLD = $(CC)
+LINK = $(LIBTOOL) --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CCLD) $(AM_CFLAGS) $(CFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+SOURCES = $(librs_la_SOURCES) $(rstest_SOURCES)
+DIST_SOURCES = $(librs_la_SOURCES) $(rstest_SOURCES)
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(grincludedir)"
+grincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+HEADERS = $(grinclude_HEADERS) $(noinst_HEADERS)
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+
+# Note, this Makefile.am only builds the char versions of the reed soloman routines.
+# If you need the int versions too, please figure out how to add them *cleanly* to 
+# this Makefile.am.
+AM_CPPFLAGS = $(STD_DEFINES_AND_INCLUDES) $(CPPUNIT_INCLUDES) $(WITH_INCLUDES)
+EXTRA_DIST = \
+       README.karn
+
+noinst_LTLIBRARIES = librs.la
+librs_la_SOURCES = \
+       encode_rs.c             \
+       decode_rs.c             \
+       init_rs.c
+
+grinclude_HEADERS = \
+       rs.h
+
+noinst_HEADERS = \
+       ccsds.h                 \
+       char.h                  \
+       int.h                   \
+       fixed.h                 
+
+rstest_SOURCES = rstest.c exercise.c
+rstest_LDADD = librs.la
+all: all-am
+
+.SUFFIXES:
+.SUFFIXES: .c .lo .o .obj
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gnuradio-core/src/lib/reed-solomon/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gnuradio-core/src/lib/reed-solomon/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+clean-noinstLTLIBRARIES:
+       -test -z "$(noinst_LTLIBRARIES)" || rm -f $(noinst_LTLIBRARIES)
+       @list='$(noinst_LTLIBRARIES)'; for p in $$list; do \
+         dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
+         test "$$dir" != "$$p" || dir=.; \
+         echo "rm -f \"$${dir}/so_locations\""; \
+         rm -f "$${dir}/so_locations"; \
+       done
+librs.la: $(librs_la_OBJECTS) $(librs_la_DEPENDENCIES) 
+       $(LINK)  $(librs_la_OBJECTS) $(librs_la_LIBADD) $(LIBS)
+
+clean-noinstPROGRAMS:
+       @list='$(noinst_PROGRAMS)'; for p in $$list; do \
+         f=`echo $$p|sed 's/$(EXEEXT)$$//'`; \
+         echo " rm -f $$p $$f"; \
+         rm -f $$p $$f ; \
+       done
+rstest$(EXEEXT): $(rstest_OBJECTS) $(rstest_DEPENDENCIES) 
+       @rm -f rstest$(EXEEXT)
+       $(LINK) $(rstest_OBJECTS) $(rstest_LDADD) $(LIBS)
+
+mostlyclean-compile:
+       -rm -f *.$(OBJEXT)
+
+distclean-compile:
+       -rm -f *.tab.c
+
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/decode_rs.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/encode_rs.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/exercise.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/init_rs.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/rstest.Po@am__quote@
+
+.c.o:
+@am__fastdepCC_TRUE@   $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(COMPILE) -c $<
+
+.c.obj:
+@am__fastdepCC_TRUE@   $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(COMPILE) -c `$(CYGPATH_W) '$<'`
+
+.c.lo:
+@am__fastdepCC_TRUE@   $(LTCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(LTCOMPILE) -c -o $@ $<
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-grincludeHEADERS: $(grinclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(grincludedir)" || $(MKDIR_P) "$(DESTDIR)$(grincludedir)"
+       @list='$(grinclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(grincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(grincludedir)/$$f'"; \
+         $(grincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(grincludedir)/$$f"; \
+       done
+
+uninstall-grincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(grinclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(grincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(grincludedir)/$$f"; \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+check-TESTS: $(TESTS)
+       @failed=0; all=0; xfail=0; xpass=0; skip=0; \
+       srcdir=$(srcdir); export srcdir; \
+       list=' $(TESTS) '; \
+       if test -n "$$list"; then \
+         for tst in $$list; do \
+           if test -f ./$$tst; then dir=./; \
+           elif test -f $$tst; then dir=; \
+           else dir="$(srcdir)/"; fi; \
+           if $(TESTS_ENVIRONMENT) $${dir}$$tst; then \
+             all=`expr $$all + 1`; \
+             case " $(XFAIL_TESTS) " in \
+             *[\ \     ]$$tst[\ \      ]*) \
+               xpass=`expr $$xpass + 1`; \
+               failed=`expr $$failed + 1`; \
+               echo "XPASS: $$tst"; \
+             ;; \
+             *) \
+               echo "PASS: $$tst"; \
+             ;; \
+             esac; \
+           elif test $$? -ne 77; then \
+             all=`expr $$all + 1`; \
+             case " $(XFAIL_TESTS) " in \
+             *[\ \     ]$$tst[\ \      ]*) \
+               xfail=`expr $$xfail + 1`; \
+               echo "XFAIL: $$tst"; \
+             ;; \
+             *) \
+               failed=`expr $$failed + 1`; \
+               echo "FAIL: $$tst"; \
+             ;; \
+             esac; \
+           else \
+             skip=`expr $$skip + 1`; \
+             echo "SKIP: $$tst"; \
+           fi; \
+         done; \
+         if test "$$all" -eq 1; then \
+           tests="test"; \
+           All=""; \
+         else \
+           tests="tests"; \
+           All="All "; \
+         fi; \
+         if test "$$failed" -eq 0; then \
+           if test "$$xfail" -eq 0; then \
+             banner="$$All$$all $$tests passed"; \
+           else \
+             if test "$$xfail" -eq 1; then failures=failure; else failures=failures; fi; \
+             banner="$$All$$all $$tests behaved as expected ($$xfail expected $$failures)"; \
+           fi; \
+         else \
+           if test "$$xpass" -eq 0; then \
+             banner="$$failed of $$all $$tests failed"; \
+           else \
+             if test "$$xpass" -eq 1; then passes=pass; else passes=passes; fi; \
+             banner="$$failed of $$all $$tests did not behave as expected ($$xpass unexpected $$passes)"; \
+           fi; \
+         fi; \
+         dashes="$$banner"; \
+         skipped=""; \
+         if test "$$skip" -ne 0; then \
+           if test "$$skip" -eq 1; then \
+             skipped="($$skip test was not run)"; \
+           else \
+             skipped="($$skip tests were not run)"; \
+           fi; \
+           test `echo "$$skipped" | wc -c` -le `echo "$$banner" | wc -c` || \
+             dashes="$$skipped"; \
+         fi; \
+         report=""; \
+         if test "$$failed" -ne 0 && test -n "$(PACKAGE_BUGREPORT)"; then \
+           report="Please report to $(PACKAGE_BUGREPORT)"; \
+           test `echo "$$report" | wc -c` -le `echo "$$banner" | wc -c` || \
+             dashes="$$report"; \
+         fi; \
+         dashes=`echo "$$dashes" | sed s/./=/g`; \
+         echo "$$dashes"; \
+         echo "$$banner"; \
+         test -z "$$skipped" || echo "$$skipped"; \
+         test -z "$$report" || echo "$$report"; \
+         echo "$$dashes"; \
+         test "$$failed" -eq 0; \
+       else :; fi
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+       $(MAKE) $(AM_MAKEFLAGS) check-TESTS
+check: check-am
+all-am: Makefile $(LTLIBRARIES) $(PROGRAMS) $(HEADERS)
+installdirs:
+       for dir in "$(DESTDIR)$(grincludedir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool clean-noinstLTLIBRARIES \
+       clean-noinstPROGRAMS mostlyclean-am
+
+distclean: distclean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+distclean-am: clean-am distclean-compile distclean-generic \
+       distclean-tags
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-grincludeHEADERS
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-compile mostlyclean-generic \
+       mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-grincludeHEADERS
+
+.MAKE: install-am install-strip
+
+.PHONY: CTAGS GTAGS all all-am check check-TESTS check-am clean \
+       clean-generic clean-libtool clean-noinstLTLIBRARIES \
+       clean-noinstPROGRAMS ctags dist-hook distclean \
+       distclean-compile distclean-generic distclean-libtool \
+       distclean-tags distdir dvi dvi-am html html-am info info-am \
+       install install-am install-data install-data-am install-dvi \
+       install-dvi-am install-exec install-exec-am \
+       install-grincludeHEADERS install-html install-html-am \
+       install-info install-info-am install-man install-pdf \
+       install-pdf-am install-ps install-ps-am install-strip \
+       installcheck installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-compile \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       tags uninstall uninstall-am uninstall-grincludeHEADERS
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gnuradio-core/src/lib/runtime/Makefile.in b/gnuradio-core/src/lib/runtime/Makefile.in
new file mode 100644 (file)
index 0000000..4122b72
--- /dev/null
@@ -0,0 +1,1233 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2003,2004,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(grinclude_HEADERS) $(noinst_HEADERS) \
+       $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(swiginclude_HEADERS) $(top_srcdir)/Makefile.common
+subdir = gnuradio-core/src/lib/runtime
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+LTLIBRARIES = $(noinst_LTLIBRARIES)
+libruntime_qa_la_LIBADD =
+am_libruntime_qa_la_OBJECTS = qa_gr_block.lo qa_gr_hier_block2.lo \
+       qa_gr_hier_block2_derived.lo qa_gr_buffer.lo \
+       qa_gr_flowgraph.lo qa_gr_top_block.lo qa_gr_io_signature.lo \
+       qa_gr_vmcircbuf.lo qa_runtime.lo
+libruntime_qa_la_OBJECTS = $(am_libruntime_qa_la_OBJECTS)
+am__DEPENDENCIES_1 =
+libruntime_la_DEPENDENCIES = $(am__DEPENDENCIES_1)
+am_libruntime_la_OBJECTS = gr_basic_block.lo gr_flowgraph.lo \
+       gr_flat_flowgraph.lo gr_block.lo gr_block_detail.lo \
+       gr_block_executor.lo gr_hier_block2.lo \
+       gr_hier_block2_detail.lo gr_buffer.lo gr_dispatcher.lo \
+       gr_error_handler.lo gr_io_signature.lo gr_local_sighandler.lo \
+       gr_message.lo gr_msg_handler.lo gr_msg_queue.lo gr_pagesize.lo \
+       gr_preferences.lo gr_realtime.lo gr_scheduler.lo \
+       gr_scheduler_sts.lo gr_scheduler_tpb.lo \
+       gr_single_threaded_scheduler.lo gr_sptr_magic.lo \
+       gr_sync_block.lo gr_sync_decimator.lo gr_sync_interpolator.lo \
+       gr_tmp_path.lo gr_top_block.lo gr_top_block_impl.lo \
+       gr_tpb_detail.lo gr_tpb_thread_body.lo gr_vmcircbuf.lo \
+       gr_vmcircbuf_mmap_shm_open.lo gr_vmcircbuf_mmap_tmpfile.lo \
+       gr_vmcircbuf_createfilemapping.lo gr_vmcircbuf_sysv_shm.lo \
+       gr_select_handler.lo
+libruntime_la_OBJECTS = $(am_libruntime_la_OBJECTS)
+DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
+depcomp = $(SHELL) $(top_srcdir)/depcomp
+am__depfiles_maybe = depfiles
+CXXCOMPILE = $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+LTCXXCOMPILE = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+CXXLD = $(CXX)
+CXXLINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CXXLD) $(AM_CXXFLAGS) $(CXXFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+SOURCES = $(libruntime_qa_la_SOURCES) $(libruntime_la_SOURCES)
+DIST_SOURCES = $(libruntime_qa_la_SOURCES) $(libruntime_la_SOURCES)
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(grincludedir)" \
+       "$(DESTDIR)$(swigincludedir)"
+grincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+swigincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+HEADERS = $(grinclude_HEADERS) $(noinst_HEADERS) \
+       $(swiginclude_HEADERS)
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+AM_CPPFLAGS = $(STD_DEFINES_AND_INCLUDES) $(CPPUNIT_INCLUDES) $(GRUEL_INCLUDES) $(WITH_INCLUDES)
+noinst_LTLIBRARIES = libruntime.la libruntime-qa.la
+libruntime_la_LIBADD = \
+       $(SHM_OPEN_LIBS)
+
+libruntime_la_SOURCES = \
+       gr_basic_block.cc                       \
+       gr_flowgraph.cc                         \
+       gr_flat_flowgraph.cc                    \
+       gr_block.cc                             \
+       gr_block_detail.cc                      \
+       gr_block_executor.cc                    \
+       gr_hier_block2.cc                       \
+       gr_hier_block2_detail.cc                \
+       gr_buffer.cc                            \
+       gr_dispatcher.cc                        \
+       gr_error_handler.cc                     \
+       gr_io_signature.cc                      \
+       gr_local_sighandler.cc                  \
+       gr_message.cc                           \
+       gr_msg_handler.cc                       \
+       gr_msg_queue.cc                         \
+       gr_pagesize.cc                          \
+       gr_preferences.cc                       \
+       gr_realtime.cc                          \
+       gr_scheduler.cc                         \
+       gr_scheduler_sts.cc                     \
+       gr_scheduler_tpb.cc                     \
+       gr_single_threaded_scheduler.cc         \
+       gr_sptr_magic.cc                        \
+       gr_sync_block.cc                        \
+       gr_sync_decimator.cc                    \
+       gr_sync_interpolator.cc                 \
+       gr_tmp_path.cc                          \
+       gr_top_block.cc                         \
+       gr_top_block_impl.cc                    \
+       gr_tpb_detail.cc                        \
+       gr_tpb_thread_body.cc                   \
+       gr_vmcircbuf.cc                         \
+       gr_vmcircbuf_mmap_shm_open.cc           \
+       gr_vmcircbuf_mmap_tmpfile.cc            \
+       gr_vmcircbuf_createfilemapping.cc       \
+       gr_vmcircbuf_sysv_shm.cc                \
+       gr_select_handler.cc                    
+
+libruntime_qa_la_SOURCES = \
+       qa_gr_block.cc                          \
+       qa_gr_hier_block2.cc                    \
+       qa_gr_hier_block2_derived.cc            \
+       qa_gr_buffer.cc                         \
+       qa_gr_flowgraph.cc                      \
+       qa_gr_top_block.cc                      \
+       qa_gr_io_signature.cc                   \
+       qa_gr_vmcircbuf.cc                      \
+       qa_runtime.cc                           
+
+grinclude_HEADERS = \
+       gr_basic_block.h                        \
+       gr_flowgraph.h                          \
+       gr_flat_flowgraph.h                     \
+       gr_block.h                              \
+       gr_block_detail.h                       \
+       gr_block_executor.h                     \
+       gr_hier_block2.h                        \
+       gr_hier_block2_detail.h                 \
+       gr_buffer.h                             \
+       gr_complex.h                            \
+       gr_dispatcher.h                         \
+       gr_error_handler.h                      \
+       gr_io_signature.h                       \
+       gr_local_sighandler.h                   \
+       gr_message.h                            \
+       gr_msg_handler.h                        \
+       gr_msg_queue.h                          \
+       gr_pagesize.h                           \
+       gr_preferences.h                        \
+       gr_realtime.h                           \
+       gr_runtime_types.h                      \
+       gr_scheduler.h                          \
+       gr_scheduler_sts.h                      \
+       gr_scheduler_tpb.h                      \
+       gr_select_handler.h                     \
+       gr_single_threaded_scheduler.h          \
+       gr_sptr_magic.h                         \
+       gr_sync_block.h                         \
+       gr_sync_decimator.h                     \
+       gr_sync_interpolator.h                  \
+       gr_top_block.h                          \
+       gr_top_block_impl.h                     \
+       gr_tpb_detail.h                         \
+       gr_tpb_thread_body.h                    \
+       gr_timer.h                              \
+       gr_tmp_path.h                           \
+       gr_types.h                              \
+       gr_vmcircbuf.h                          
+
+noinst_HEADERS = \
+       gr_vmcircbuf_mmap_shm_open.h            \
+       gr_vmcircbuf_mmap_tmpfile.h             \
+       gr_vmcircbuf_sysv_shm.h                 \
+       gr_vmcircbuf_createfilemapping.h        \
+       qa_gr_block.h                           \
+       qa_gr_flowgraph.h                       \
+       qa_gr_hier_block2.h                     \
+       qa_gr_hier_block2_derived.h             \
+       qa_gr_buffer.h                          \
+       qa_gr_io_signature.h                    \
+       qa_gr_top_block.h                       \
+       qa_gr_vmcircbuf.h                       \
+       qa_runtime.h                            
+
+swiginclude_HEADERS = \
+       gr_basic_block.i                \
+       gr_block.i                      \
+       gr_block_detail.i               \
+       gr_hier_block2.i                \
+       gr_buffer.i                     \
+       gr_dispatcher.i                 \
+       gr_error_handler.i              \
+       gr_io_signature.i               \
+       gr_message.i                    \
+       gr_msg_handler.i                \
+       gr_msg_queue.i                  \
+       gr_realtime.i                   \
+       gr_single_threaded_scheduler.i  \
+       gr_sync_block.i                 \
+       gr_sync_decimator.i             \
+       gr_sync_interpolator.i          \
+       gr_top_block.i                  \
+       runtime.i
+
+all: all-am
+
+.SUFFIXES:
+.SUFFIXES: .cc .lo .o .obj
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gnuradio-core/src/lib/runtime/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gnuradio-core/src/lib/runtime/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+clean-noinstLTLIBRARIES:
+       -test -z "$(noinst_LTLIBRARIES)" || rm -f $(noinst_LTLIBRARIES)
+       @list='$(noinst_LTLIBRARIES)'; for p in $$list; do \
+         dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
+         test "$$dir" != "$$p" || dir=.; \
+         echo "rm -f \"$${dir}/so_locations\""; \
+         rm -f "$${dir}/so_locations"; \
+       done
+libruntime-qa.la: $(libruntime_qa_la_OBJECTS) $(libruntime_qa_la_DEPENDENCIES) 
+       $(CXXLINK)  $(libruntime_qa_la_OBJECTS) $(libruntime_qa_la_LIBADD) $(LIBS)
+libruntime.la: $(libruntime_la_OBJECTS) $(libruntime_la_DEPENDENCIES) 
+       $(CXXLINK)  $(libruntime_la_OBJECTS) $(libruntime_la_LIBADD) $(LIBS)
+
+mostlyclean-compile:
+       -rm -f *.$(OBJEXT)
+
+distclean-compile:
+       -rm -f *.tab.c
+
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_basic_block.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_block.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_block_detail.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_block_executor.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_buffer.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_dispatcher.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_error_handler.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_flat_flowgraph.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_flowgraph.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_hier_block2.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_hier_block2_detail.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_io_signature.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_local_sighandler.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_message.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_msg_handler.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_msg_queue.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_pagesize.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_preferences.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_realtime.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_scheduler.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_scheduler_sts.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_scheduler_tpb.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_select_handler.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_single_threaded_scheduler.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_sptr_magic.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_sync_block.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_sync_decimator.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_sync_interpolator.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_tmp_path.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_top_block.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_top_block_impl.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_tpb_detail.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_tpb_thread_body.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_vmcircbuf.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_vmcircbuf_createfilemapping.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_vmcircbuf_mmap_shm_open.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_vmcircbuf_mmap_tmpfile.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gr_vmcircbuf_sysv_shm.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/qa_gr_block.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/qa_gr_buffer.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/qa_gr_flowgraph.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/qa_gr_hier_block2.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/qa_gr_hier_block2_derived.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/qa_gr_io_signature.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/qa_gr_top_block.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/qa_gr_vmcircbuf.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/qa_runtime.Plo@am__quote@
+
+.cc.o:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ $<
+
+.cc.obj:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ `$(CYGPATH_W) '$<'`
+
+.cc.lo:
+@am__fastdepCXX_TRUE@  $(LTCXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LTCXXCOMPILE) -c -o $@ $<
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-grincludeHEADERS: $(grinclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(grincludedir)" || $(MKDIR_P) "$(DESTDIR)$(grincludedir)"
+       @list='$(grinclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(grincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(grincludedir)/$$f'"; \
+         $(grincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(grincludedir)/$$f"; \
+       done
+
+uninstall-grincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(grinclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(grincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(grincludedir)/$$f"; \
+       done
+install-swigincludeHEADERS: $(swiginclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(swigincludedir)" || $(MKDIR_P) "$(DESTDIR)$(swigincludedir)"
+       @list='$(swiginclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(swigincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(swigincludedir)/$$f'"; \
+         $(swigincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(swigincludedir)/$$f"; \
+       done
+
+uninstall-swigincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(swiginclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(swigincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(swigincludedir)/$$f"; \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile $(LTLIBRARIES) $(HEADERS)
+installdirs:
+       for dir in "$(DESTDIR)$(grincludedir)" "$(DESTDIR)$(swigincludedir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool clean-noinstLTLIBRARIES \
+       mostlyclean-am
+
+distclean: distclean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+distclean-am: clean-am distclean-compile distclean-generic \
+       distclean-tags
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-grincludeHEADERS install-swigincludeHEADERS
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-compile mostlyclean-generic \
+       mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-grincludeHEADERS uninstall-swigincludeHEADERS
+
+.MAKE: install-am install-strip
+
+.PHONY: CTAGS GTAGS all all-am check check-am clean clean-generic \
+       clean-libtool clean-noinstLTLIBRARIES ctags dist-hook \
+       distclean distclean-compile distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-grincludeHEADERS install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-ps install-ps-am \
+       install-strip install-swigincludeHEADERS installcheck \
+       installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-compile \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       tags uninstall uninstall-am uninstall-grincludeHEADERS \
+       uninstall-swigincludeHEADERS
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gnuradio-core/src/lib/swig/Makefile.in b/gnuradio-core/src/lib/swig/Makefile.in
new file mode 100644 (file)
index 0000000..d281956
--- /dev/null
@@ -0,0 +1,2520 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2001,2003,2004,2005,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# Makefile.swig.gen for gnuradio_swig_py_runtime.i
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(gnuradio_swig_py_filter_python_PYTHON) \
+       $(gnuradio_swig_py_filter_swiginclude_HEADERS) \
+       $(gnuradio_swig_py_general_python_PYTHON) \
+       $(gnuradio_swig_py_general_swiginclude_HEADERS) \
+       $(gnuradio_swig_py_gengen_python_PYTHON) \
+       $(gnuradio_swig_py_gengen_swiginclude_HEADERS) \
+       $(gnuradio_swig_py_hier_python_PYTHON) \
+       $(gnuradio_swig_py_hier_swiginclude_HEADERS) \
+       $(gnuradio_swig_py_io_python_PYTHON) \
+       $(gnuradio_swig_py_io_swiginclude_HEADERS) \
+       $(gnuradio_swig_py_runtime_python_PYTHON) \
+       $(gnuradio_swig_py_runtime_swiginclude_HEADERS) \
+       $(grinclude_HEADERS) $(ourpython_PYTHON) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(srcdir)/Makefile.swig.gen \
+       $(swiginclude_HEADERS) $(top_srcdir)/Makefile.common \
+       $(top_srcdir)/Makefile.swig
+subdir = gnuradio-core/src/lib/swig
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(gnuradio_swig_py_filter_pylibdir)" \
+       "$(DESTDIR)$(gnuradio_swig_py_general_pylibdir)" \
+       "$(DESTDIR)$(gnuradio_swig_py_gengen_pylibdir)" \
+       "$(DESTDIR)$(gnuradio_swig_py_hier_pylibdir)" \
+       "$(DESTDIR)$(gnuradio_swig_py_io_pylibdir)" \
+       "$(DESTDIR)$(gnuradio_swig_py_runtime_pylibdir)" \
+       "$(DESTDIR)$(gnuradio_swig_py_filter_pythondir)" \
+       "$(DESTDIR)$(gnuradio_swig_py_general_pythondir)" \
+       "$(DESTDIR)$(gnuradio_swig_py_gengen_pythondir)" \
+       "$(DESTDIR)$(gnuradio_swig_py_hier_pythondir)" \
+       "$(DESTDIR)$(gnuradio_swig_py_io_pythondir)" \
+       "$(DESTDIR)$(gnuradio_swig_py_runtime_pythondir)" \
+       "$(DESTDIR)$(ourpythondir)" \
+       "$(DESTDIR)$(gnuradio_swig_py_filter_swigincludedir)" \
+       "$(DESTDIR)$(gnuradio_swig_py_general_swigincludedir)" \
+       "$(DESTDIR)$(gnuradio_swig_py_gengen_swigincludedir)" \
+       "$(DESTDIR)$(gnuradio_swig_py_hier_swigincludedir)" \
+       "$(DESTDIR)$(gnuradio_swig_py_io_swigincludedir)" \
+       "$(DESTDIR)$(gnuradio_swig_py_runtime_swigincludedir)" \
+       "$(DESTDIR)$(grincludedir)" "$(DESTDIR)$(swigincludedir)"
+gnuradio_swig_py_filter_pylibLTLIBRARIES_INSTALL = $(INSTALL)
+gnuradio_swig_py_general_pylibLTLIBRARIES_INSTALL = $(INSTALL)
+gnuradio_swig_py_gengen_pylibLTLIBRARIES_INSTALL = $(INSTALL)
+gnuradio_swig_py_hier_pylibLTLIBRARIES_INSTALL = $(INSTALL)
+gnuradio_swig_py_io_pylibLTLIBRARIES_INSTALL = $(INSTALL)
+gnuradio_swig_py_runtime_pylibLTLIBRARIES_INSTALL = $(INSTALL)
+LTLIBRARIES = $(gnuradio_swig_py_filter_pylib_LTLIBRARIES) \
+       $(gnuradio_swig_py_general_pylib_LTLIBRARIES) \
+       $(gnuradio_swig_py_gengen_pylib_LTLIBRARIES) \
+       $(gnuradio_swig_py_hier_pylib_LTLIBRARIES) \
+       $(gnuradio_swig_py_io_pylib_LTLIBRARIES) \
+       $(gnuradio_swig_py_runtime_pylib_LTLIBRARIES)
+am__DEPENDENCIES_1 =
+am__DEPENDENCIES_2 = $(am__DEPENDENCIES_1)
+_gnuradio_swig_py_filter_la_DEPENDENCIES = $(am__DEPENDENCIES_1) \
+       $(am__DEPENDENCIES_2)
+am__gnuradio_swig_py_filter_la_OBJECTS =  \
+       _gnuradio_swig_py_filter_la-gnuradio_swig_py_filter.lo
+_gnuradio_swig_py_filter_la_OBJECTS =  \
+       $(am__gnuradio_swig_py_filter_la_OBJECTS)
+_gnuradio_swig_py_filter_la_LINK = $(LIBTOOL) --tag=CXX \
+       $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=link $(CXXLD) \
+       $(_gnuradio_swig_py_filter_la_CXXFLAGS) $(CXXFLAGS) \
+       $(_gnuradio_swig_py_filter_la_LDFLAGS) $(LDFLAGS) -o $@
+_gnuradio_swig_py_general_la_DEPENDENCIES = $(am__DEPENDENCIES_1) \
+       $(am__DEPENDENCIES_2)
+am__gnuradio_swig_py_general_la_OBJECTS =  \
+       _gnuradio_swig_py_general_la-gnuradio_swig_py_general.lo
+_gnuradio_swig_py_general_la_OBJECTS =  \
+       $(am__gnuradio_swig_py_general_la_OBJECTS)
+_gnuradio_swig_py_general_la_LINK = $(LIBTOOL) --tag=CXX \
+       $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=link $(CXXLD) \
+       $(_gnuradio_swig_py_general_la_CXXFLAGS) $(CXXFLAGS) \
+       $(_gnuradio_swig_py_general_la_LDFLAGS) $(LDFLAGS) -o $@
+_gnuradio_swig_py_gengen_la_DEPENDENCIES = $(am__DEPENDENCIES_1) \
+       $(am__DEPENDENCIES_2)
+am__gnuradio_swig_py_gengen_la_OBJECTS =  \
+       _gnuradio_swig_py_gengen_la-gnuradio_swig_py_gengen.lo
+_gnuradio_swig_py_gengen_la_OBJECTS =  \
+       $(am__gnuradio_swig_py_gengen_la_OBJECTS)
+_gnuradio_swig_py_gengen_la_LINK = $(LIBTOOL) --tag=CXX \
+       $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=link $(CXXLD) \
+       $(_gnuradio_swig_py_gengen_la_CXXFLAGS) $(CXXFLAGS) \
+       $(_gnuradio_swig_py_gengen_la_LDFLAGS) $(LDFLAGS) -o $@
+_gnuradio_swig_py_hier_la_DEPENDENCIES = $(am__DEPENDENCIES_1) \
+       $(am__DEPENDENCIES_2)
+am__gnuradio_swig_py_hier_la_OBJECTS =  \
+       _gnuradio_swig_py_hier_la-gnuradio_swig_py_hier.lo
+_gnuradio_swig_py_hier_la_OBJECTS =  \
+       $(am__gnuradio_swig_py_hier_la_OBJECTS)
+_gnuradio_swig_py_hier_la_LINK = $(LIBTOOL) --tag=CXX \
+       $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=link $(CXXLD) \
+       $(_gnuradio_swig_py_hier_la_CXXFLAGS) $(CXXFLAGS) \
+       $(_gnuradio_swig_py_hier_la_LDFLAGS) $(LDFLAGS) -o $@
+_gnuradio_swig_py_io_la_DEPENDENCIES = $(am__DEPENDENCIES_1) \
+       $(am__DEPENDENCIES_2)
+am__gnuradio_swig_py_io_la_OBJECTS =  \
+       _gnuradio_swig_py_io_la-gnuradio_swig_py_io.lo
+_gnuradio_swig_py_io_la_OBJECTS =  \
+       $(am__gnuradio_swig_py_io_la_OBJECTS)
+_gnuradio_swig_py_io_la_LINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) \
+       $(LIBTOOLFLAGS) --mode=link $(CXXLD) \
+       $(_gnuradio_swig_py_io_la_CXXFLAGS) $(CXXFLAGS) \
+       $(_gnuradio_swig_py_io_la_LDFLAGS) $(LDFLAGS) -o $@
+_gnuradio_swig_py_runtime_la_DEPENDENCIES = $(am__DEPENDENCIES_1) \
+       $(am__DEPENDENCIES_2)
+am__gnuradio_swig_py_runtime_la_OBJECTS =  \
+       _gnuradio_swig_py_runtime_la-gnuradio_swig_py_runtime.lo
+_gnuradio_swig_py_runtime_la_OBJECTS =  \
+       $(am__gnuradio_swig_py_runtime_la_OBJECTS)
+_gnuradio_swig_py_runtime_la_LINK = $(LIBTOOL) --tag=CXX \
+       $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=link $(CXXLD) \
+       $(_gnuradio_swig_py_runtime_la_CXXFLAGS) $(CXXFLAGS) \
+       $(_gnuradio_swig_py_runtime_la_LDFLAGS) $(LDFLAGS) -o $@
+DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
+depcomp = $(SHELL) $(top_srcdir)/depcomp
+am__depfiles_maybe = depfiles
+CXXCOMPILE = $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+LTCXXCOMPILE = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+CXXLD = $(CXX)
+CXXLINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CXXLD) $(AM_CXXFLAGS) $(CXXFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+SOURCES = $(_gnuradio_swig_py_filter_la_SOURCES) \
+       $(_gnuradio_swig_py_general_la_SOURCES) \
+       $(_gnuradio_swig_py_gengen_la_SOURCES) \
+       $(_gnuradio_swig_py_hier_la_SOURCES) \
+       $(_gnuradio_swig_py_io_la_SOURCES) \
+       $(_gnuradio_swig_py_runtime_la_SOURCES)
+DIST_SOURCES = $(_gnuradio_swig_py_filter_la_SOURCES) \
+       $(_gnuradio_swig_py_general_la_SOURCES) \
+       $(_gnuradio_swig_py_gengen_la_SOURCES) \
+       $(_gnuradio_swig_py_hier_la_SOURCES) \
+       $(_gnuradio_swig_py_io_la_SOURCES) \
+       $(_gnuradio_swig_py_runtime_la_SOURCES)
+gnuradio_swig_py_filter_pythonPYTHON_INSTALL = $(INSTALL_DATA)
+gnuradio_swig_py_general_pythonPYTHON_INSTALL = $(INSTALL_DATA)
+gnuradio_swig_py_gengen_pythonPYTHON_INSTALL = $(INSTALL_DATA)
+gnuradio_swig_py_hier_pythonPYTHON_INSTALL = $(INSTALL_DATA)
+gnuradio_swig_py_io_pythonPYTHON_INSTALL = $(INSTALL_DATA)
+gnuradio_swig_py_runtime_pythonPYTHON_INSTALL = $(INSTALL_DATA)
+ourpythonPYTHON_INSTALL = $(INSTALL_DATA)
+py_compile = $(top_srcdir)/py-compile
+gnuradio_swig_py_filter_swigincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+gnuradio_swig_py_general_swigincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+gnuradio_swig_py_gengen_swigincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+gnuradio_swig_py_hier_swigincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+gnuradio_swig_py_io_swigincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+gnuradio_swig_py_runtime_swigincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+grincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+swigincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+HEADERS = $(gnuradio_swig_py_filter_swiginclude_HEADERS) \
+       $(gnuradio_swig_py_general_swiginclude_HEADERS) \
+       $(gnuradio_swig_py_gengen_swiginclude_HEADERS) \
+       $(gnuradio_swig_py_hier_swiginclude_HEADERS) \
+       $(gnuradio_swig_py_io_swiginclude_HEADERS) \
+       $(gnuradio_swig_py_runtime_swiginclude_HEADERS) \
+       $(grinclude_HEADERS) $(swiginclude_HEADERS)
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = $(DEPDIR)/gnuradio_swig_py_runtime-generate-* \
+       $(DEPDIR)/gnuradio_swig_py_general-generate-* \
+       $(DEPDIR)/gnuradio_swig_py_gengen-generate-* \
+       $(DEPDIR)/gnuradio_swig_py_filter-generate-* \
+       $(DEPDIR)/gnuradio_swig_py_io-generate-* \
+       $(DEPDIR)/gnuradio_swig_py_hier-generate-*
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp \
+       *.loT $(DEPDIR)/*.S* $(DEPDIR)/*.S* $(DEPDIR)/*.S* \
+       $(DEPDIR)/*.S* $(DEPDIR)/*.S* $(DEPDIR)/*.S*
+AM_CPPFLAGS = $(STD_DEFINES_AND_INCLUDES) $(PYTHON_CPPFLAGS) -I$(srcdir) \
+        $(WITH_INCLUDES)
+
+EXTRA_DIST = gen-swig-bug-fix
+
+# SWIG headers get installed in ${prefix}/include/gnuradio/swig
+swiginclude_HEADERS = \
+       gnuradio.i                      \
+       gr_swig_block_magic.i           \
+       gr_shared_ptr.i
+
+
+# special install for this top-level Python script which includes all
+# of the split Python libraries.
+ourpythondir = $(grpythondir)/gr
+ourpython_PYTHON = gnuradio_swig_python.py
+
+# C/C++ headers get installed in ${prefix}/include/gnuradio
+grinclude_HEADERS = \
+       gnuradio_swig_bug_workaround.h
+
+
+# ----------------------------------------------------------------
+# We've split the previously monstrous gnuradio_swig_python into 6
+# smaller pieces.  This reduces compile time coupling and creates
+# smaller pieces for the compiler to digest.  prior to this change, on
+# X86_64, g++'s resident set size was 650MB!
+# ----------------------------------------------------------------
+TOP_SWIG_IFILES = \
+       gnuradio_swig_py_runtime.i      \
+       gnuradio_swig_py_general.i      \
+       gnuradio_swig_py_gengen.i       \
+       gnuradio_swig_py_filter.i       \
+       gnuradio_swig_py_io.i           \
+       gnuradio_swig_py_hier.i
+
+
+# Install so that they end up available as:
+#   import gnuradio.gr
+# This ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio/gr
+gnuradio_swig_py_runtime_pythondir_category = gnuradio/gr
+gnuradio_swig_py_general_pythondir_category = gnuradio/gr
+gnuradio_swig_py_gengen_pythondir_category = gnuradio/gr
+gnuradio_swig_py_filter_pythondir_category = gnuradio/gr
+gnuradio_swig_py_io_pythondir_category = gnuradio/gr
+gnuradio_swig_py_hier_pythondir_category = gnuradio/gr
+
+# additional libraries for linking with each SWIG-generated library
+gnuradio_swig_py_runtime_la_swig_libadd = $(GNURADIO_CORE_LA)
+gnuradio_swig_py_general_la_swig_libadd = $(GNURADIO_CORE_LA)
+gnuradio_swig_py_gengen_la_swig_libadd = $(GNURADIO_CORE_LA)
+gnuradio_swig_py_filter_la_swig_libadd = $(GNURADIO_CORE_LA)
+gnuradio_swig_py_io_la_swig_libadd = $(GNURADIO_CORE_LA)
+gnuradio_swig_py_hier_la_swig_libadd = $(GNURADIO_CORE_LA)
+SWIG_PYTHON_FLAGS = \
+       -fvirtual       \
+       -python         \
+       -modern         \
+       -keyword        \
+       -w511           \
+       -outdir .
+
+STD_SWIG_PYTHON_ARGS = \
+       $(SWIG_PYTHON_FLAGS)                    \
+       $(STD_DEFINES_AND_INCLUDES)             \
+       $(WITH_SWIG_INCLUDES)                   \
+       $(WITH_INCLUDES)
+
+STD_SWIG_LA_LD_FLAGS = \
+       $(PYTHON_LDFLAGS)       \
+       -module                 \
+       -avoid-version          \
+       $(NO_UNDEFINED)
+
+STD_SWIG_LA_LIB_ADD = \
+       -lstdc++
+
+STD_SWIG_CXX_FLAGS = @swig_CXXFLAGS@
+SUFFIXES = .i
+swig_built_sources = gnuradio_swig_py_runtime.py \
+       gnuradio_swig_py_runtime.cc gnuradio_swig_py_general.py \
+       gnuradio_swig_py_general.cc gnuradio_swig_py_gengen.py \
+       gnuradio_swig_py_gengen.cc gnuradio_swig_py_filter.py \
+       gnuradio_swig_py_filter.cc gnuradio_swig_py_io.py \
+       gnuradio_swig_py_io.cc gnuradio_swig_py_hier.py \
+       gnuradio_swig_py_hier.cc
+gnuradio_swig_py_runtime_pythondir = $(pythondir)/$(gnuradio_swig_py_runtime_pythondir_category)
+gnuradio_swig_py_runtime_pylibdir = $(pyexecdir)/$(gnuradio_swig_py_runtime_pylibdir_category)
+gnuradio_swig_py_runtime_swigincludedir = $(swigincludedir)
+gnuradio_swig_py_runtime_swiginclude_HEADERS = \
+       gnuradio_swig_py_runtime.i                      \
+       $(gnuradio_swig_py_runtime_swiginclude_headers)
+
+gnuradio_swig_py_runtime_pylib_LTLIBRARIES = \
+       _gnuradio_swig_py_runtime.la
+
+_gnuradio_swig_py_runtime_la_SOURCES = \
+       gnuradio_swig_py_runtime.cc                     \
+       $(gnuradio_swig_py_runtime_la_swig_sources)
+
+_gnuradio_swig_py_runtime_la_LIBADD = \
+       $(STD_SWIG_LA_LIB_ADD)          \
+       $(gnuradio_swig_py_runtime_la_swig_libadd)
+
+_gnuradio_swig_py_runtime_la_LDFLAGS = \
+       $(STD_SWIG_LA_LD_FLAGS)         \
+       $(gnuradio_swig_py_runtime_la_swig_ldflags)
+
+_gnuradio_swig_py_runtime_la_CXXFLAGS = \
+       $(STD_SWIG_CXX_FLAGS)           \
+       $(gnuradio_swig_py_runtime_la_swig_cxxflags)
+
+gnuradio_swig_py_runtime_python_PYTHON = \
+       gnuradio_swig_py_runtime.py                     \
+       $(gnuradio_swig_py_runtime_python)
+
+gnuradio_swig_py_general_pythondir = $(pythondir)/$(gnuradio_swig_py_general_pythondir_category)
+gnuradio_swig_py_general_pylibdir = $(pyexecdir)/$(gnuradio_swig_py_general_pylibdir_category)
+gnuradio_swig_py_general_swigincludedir = $(swigincludedir)
+gnuradio_swig_py_general_swiginclude_HEADERS = \
+       gnuradio_swig_py_general.i                      \
+       $(gnuradio_swig_py_general_swiginclude_headers)
+
+gnuradio_swig_py_general_pylib_LTLIBRARIES = \
+       _gnuradio_swig_py_general.la
+
+_gnuradio_swig_py_general_la_SOURCES = \
+       gnuradio_swig_py_general.cc                     \
+       $(gnuradio_swig_py_general_la_swig_sources)
+
+_gnuradio_swig_py_general_la_LIBADD = \
+       $(STD_SWIG_LA_LIB_ADD)          \
+       $(gnuradio_swig_py_general_la_swig_libadd)
+
+_gnuradio_swig_py_general_la_LDFLAGS = \
+       $(STD_SWIG_LA_LD_FLAGS)         \
+       $(gnuradio_swig_py_general_la_swig_ldflags)
+
+_gnuradio_swig_py_general_la_CXXFLAGS = \
+       $(STD_SWIG_CXX_FLAGS)           \
+       $(gnuradio_swig_py_general_la_swig_cxxflags)
+
+gnuradio_swig_py_general_python_PYTHON = \
+       gnuradio_swig_py_general.py                     \
+       $(gnuradio_swig_py_general_python)
+
+gnuradio_swig_py_gengen_pythondir = $(pythondir)/$(gnuradio_swig_py_gengen_pythondir_category)
+gnuradio_swig_py_gengen_pylibdir = $(pyexecdir)/$(gnuradio_swig_py_gengen_pylibdir_category)
+gnuradio_swig_py_gengen_swigincludedir = $(swigincludedir)
+gnuradio_swig_py_gengen_swiginclude_HEADERS = \
+       gnuradio_swig_py_gengen.i                       \
+       $(gnuradio_swig_py_gengen_swiginclude_headers)
+
+gnuradio_swig_py_gengen_pylib_LTLIBRARIES = \
+       _gnuradio_swig_py_gengen.la
+
+_gnuradio_swig_py_gengen_la_SOURCES = \
+       gnuradio_swig_py_gengen.cc                      \
+       $(gnuradio_swig_py_gengen_la_swig_sources)
+
+_gnuradio_swig_py_gengen_la_LIBADD = \
+       $(STD_SWIG_LA_LIB_ADD)          \
+       $(gnuradio_swig_py_gengen_la_swig_libadd)
+
+_gnuradio_swig_py_gengen_la_LDFLAGS = \
+       $(STD_SWIG_LA_LD_FLAGS)         \
+       $(gnuradio_swig_py_gengen_la_swig_ldflags)
+
+_gnuradio_swig_py_gengen_la_CXXFLAGS = \
+       $(STD_SWIG_CXX_FLAGS)           \
+       $(gnuradio_swig_py_gengen_la_swig_cxxflags)
+
+gnuradio_swig_py_gengen_python_PYTHON = \
+       gnuradio_swig_py_gengen.py                      \
+       $(gnuradio_swig_py_gengen_python)
+
+gnuradio_swig_py_filter_pythondir = $(pythondir)/$(gnuradio_swig_py_filter_pythondir_category)
+gnuradio_swig_py_filter_pylibdir = $(pyexecdir)/$(gnuradio_swig_py_filter_pylibdir_category)
+gnuradio_swig_py_filter_swigincludedir = $(swigincludedir)
+gnuradio_swig_py_filter_swiginclude_HEADERS = \
+       gnuradio_swig_py_filter.i                       \
+       $(gnuradio_swig_py_filter_swiginclude_headers)
+
+gnuradio_swig_py_filter_pylib_LTLIBRARIES = \
+       _gnuradio_swig_py_filter.la
+
+_gnuradio_swig_py_filter_la_SOURCES = \
+       gnuradio_swig_py_filter.cc                      \
+       $(gnuradio_swig_py_filter_la_swig_sources)
+
+_gnuradio_swig_py_filter_la_LIBADD = \
+       $(STD_SWIG_LA_LIB_ADD)          \
+       $(gnuradio_swig_py_filter_la_swig_libadd)
+
+_gnuradio_swig_py_filter_la_LDFLAGS = \
+       $(STD_SWIG_LA_LD_FLAGS)         \
+       $(gnuradio_swig_py_filter_la_swig_ldflags)
+
+_gnuradio_swig_py_filter_la_CXXFLAGS = \
+       $(STD_SWIG_CXX_FLAGS)           \
+       $(gnuradio_swig_py_filter_la_swig_cxxflags)
+
+gnuradio_swig_py_filter_python_PYTHON = \
+       gnuradio_swig_py_filter.py                      \
+       $(gnuradio_swig_py_filter_python)
+
+gnuradio_swig_py_io_pythondir = $(pythondir)/$(gnuradio_swig_py_io_pythondir_category)
+gnuradio_swig_py_io_pylibdir = $(pyexecdir)/$(gnuradio_swig_py_io_pylibdir_category)
+gnuradio_swig_py_io_swigincludedir = $(swigincludedir)
+gnuradio_swig_py_io_swiginclude_HEADERS = \
+       gnuradio_swig_py_io.i                   \
+       $(gnuradio_swig_py_io_swiginclude_headers)
+
+gnuradio_swig_py_io_pylib_LTLIBRARIES = \
+       _gnuradio_swig_py_io.la
+
+_gnuradio_swig_py_io_la_SOURCES = \
+       gnuradio_swig_py_io.cc                  \
+       $(gnuradio_swig_py_io_la_swig_sources)
+
+_gnuradio_swig_py_io_la_LIBADD = \
+       $(STD_SWIG_LA_LIB_ADD)          \
+       $(gnuradio_swig_py_io_la_swig_libadd)
+
+_gnuradio_swig_py_io_la_LDFLAGS = \
+       $(STD_SWIG_LA_LD_FLAGS)         \
+       $(gnuradio_swig_py_io_la_swig_ldflags)
+
+_gnuradio_swig_py_io_la_CXXFLAGS = \
+       $(STD_SWIG_CXX_FLAGS)           \
+       $(gnuradio_swig_py_io_la_swig_cxxflags)
+
+gnuradio_swig_py_io_python_PYTHON = \
+       gnuradio_swig_py_io.py                  \
+       $(gnuradio_swig_py_io_python)
+
+gnuradio_swig_py_hier_pythondir = $(pythondir)/$(gnuradio_swig_py_hier_pythondir_category)
+gnuradio_swig_py_hier_pylibdir = $(pyexecdir)/$(gnuradio_swig_py_hier_pylibdir_category)
+gnuradio_swig_py_hier_swigincludedir = $(swigincludedir)
+gnuradio_swig_py_hier_swiginclude_HEADERS = \
+       gnuradio_swig_py_hier.i                 \
+       $(gnuradio_swig_py_hier_swiginclude_headers)
+
+gnuradio_swig_py_hier_pylib_LTLIBRARIES = \
+       _gnuradio_swig_py_hier.la
+
+_gnuradio_swig_py_hier_la_SOURCES = \
+       gnuradio_swig_py_hier.cc                        \
+       $(gnuradio_swig_py_hier_la_swig_sources)
+
+_gnuradio_swig_py_hier_la_LIBADD = \
+       $(STD_SWIG_LA_LIB_ADD)          \
+       $(gnuradio_swig_py_hier_la_swig_libadd)
+
+_gnuradio_swig_py_hier_la_LDFLAGS = \
+       $(STD_SWIG_LA_LD_FLAGS)         \
+       $(gnuradio_swig_py_hier_la_swig_ldflags)
+
+_gnuradio_swig_py_hier_la_CXXFLAGS = \
+       $(STD_SWIG_CXX_FLAGS)           \
+       $(gnuradio_swig_py_hier_la_swig_cxxflags)
+
+gnuradio_swig_py_hier_python_PYTHON = \
+       gnuradio_swig_py_hier.py                        \
+       $(gnuradio_swig_py_hier_python)
+
+
+# add some of the variables generated inside the Makefile.swig
+
+# include the SWIG-generated .h files in the BUILT SOURCES, since they
+# aren't by default when using Makefile.swig; order doesn't matter.
+BUILT_SOURCES = \
+       gnuradio_swig_py_runtime.h      \
+       gnuradio_swig_py_general.h      \
+       gnuradio_swig_py_gengen.h       \
+       gnuradio_swig_py_filter.h       \
+       gnuradio_swig_py_io.h           \
+       gnuradio_swig_py_hier.h         \
+       $(grinclude_HEADERS)            \
+       $(swig_built_sources)
+
+
+# Do not distribute the output of SWIG
+no_dist_files = $(swig_built_sources)
+all: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) all-am
+
+.SUFFIXES:
+.SUFFIXES: .i .cc .lo .o .obj
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(top_srcdir)/Makefile.swig $(srcdir)/Makefile.swig.gen $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gnuradio-core/src/lib/swig/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gnuradio-core/src/lib/swig/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+install-gnuradio_swig_py_filter_pylibLTLIBRARIES: $(gnuradio_swig_py_filter_pylib_LTLIBRARIES)
+       @$(NORMAL_INSTALL)
+       test -z "$(gnuradio_swig_py_filter_pylibdir)" || $(MKDIR_P) "$(DESTDIR)$(gnuradio_swig_py_filter_pylibdir)"
+       @list='$(gnuradio_swig_py_filter_pylib_LTLIBRARIES)'; for p in $$list; do \
+         if test -f $$p; then \
+           f=$(am__strip_dir) \
+           echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(gnuradio_swig_py_filter_pylibLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) '$$p' '$(DESTDIR)$(gnuradio_swig_py_filter_pylibdir)/$$f'"; \
+           $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(gnuradio_swig_py_filter_pylibLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) "$$p" "$(DESTDIR)$(gnuradio_swig_py_filter_pylibdir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-gnuradio_swig_py_filter_pylibLTLIBRARIES:
+       @$(NORMAL_UNINSTALL)
+       @list='$(gnuradio_swig_py_filter_pylib_LTLIBRARIES)'; for p in $$list; do \
+         p=$(am__strip_dir) \
+         echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f '$(DESTDIR)$(gnuradio_swig_py_filter_pylibdir)/$$p'"; \
+         $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f "$(DESTDIR)$(gnuradio_swig_py_filter_pylibdir)/$$p"; \
+       done
+
+clean-gnuradio_swig_py_filter_pylibLTLIBRARIES:
+       -test -z "$(gnuradio_swig_py_filter_pylib_LTLIBRARIES)" || rm -f $(gnuradio_swig_py_filter_pylib_LTLIBRARIES)
+       @list='$(gnuradio_swig_py_filter_pylib_LTLIBRARIES)'; for p in $$list; do \
+         dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
+         test "$$dir" != "$$p" || dir=.; \
+         echo "rm -f \"$${dir}/so_locations\""; \
+         rm -f "$${dir}/so_locations"; \
+       done
+install-gnuradio_swig_py_general_pylibLTLIBRARIES: $(gnuradio_swig_py_general_pylib_LTLIBRARIES)
+       @$(NORMAL_INSTALL)
+       test -z "$(gnuradio_swig_py_general_pylibdir)" || $(MKDIR_P) "$(DESTDIR)$(gnuradio_swig_py_general_pylibdir)"
+       @list='$(gnuradio_swig_py_general_pylib_LTLIBRARIES)'; for p in $$list; do \
+         if test -f $$p; then \
+           f=$(am__strip_dir) \
+           echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(gnuradio_swig_py_general_pylibLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) '$$p' '$(DESTDIR)$(gnuradio_swig_py_general_pylibdir)/$$f'"; \
+           $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(gnuradio_swig_py_general_pylibLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) "$$p" "$(DESTDIR)$(gnuradio_swig_py_general_pylibdir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-gnuradio_swig_py_general_pylibLTLIBRARIES:
+       @$(NORMAL_UNINSTALL)
+       @list='$(gnuradio_swig_py_general_pylib_LTLIBRARIES)'; for p in $$list; do \
+         p=$(am__strip_dir) \
+         echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f '$(DESTDIR)$(gnuradio_swig_py_general_pylibdir)/$$p'"; \
+         $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f "$(DESTDIR)$(gnuradio_swig_py_general_pylibdir)/$$p"; \
+       done
+
+clean-gnuradio_swig_py_general_pylibLTLIBRARIES:
+       -test -z "$(gnuradio_swig_py_general_pylib_LTLIBRARIES)" || rm -f $(gnuradio_swig_py_general_pylib_LTLIBRARIES)
+       @list='$(gnuradio_swig_py_general_pylib_LTLIBRARIES)'; for p in $$list; do \
+         dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
+         test "$$dir" != "$$p" || dir=.; \
+         echo "rm -f \"$${dir}/so_locations\""; \
+         rm -f "$${dir}/so_locations"; \
+       done
+install-gnuradio_swig_py_gengen_pylibLTLIBRARIES: $(gnuradio_swig_py_gengen_pylib_LTLIBRARIES)
+       @$(NORMAL_INSTALL)
+       test -z "$(gnuradio_swig_py_gengen_pylibdir)" || $(MKDIR_P) "$(DESTDIR)$(gnuradio_swig_py_gengen_pylibdir)"
+       @list='$(gnuradio_swig_py_gengen_pylib_LTLIBRARIES)'; for p in $$list; do \
+         if test -f $$p; then \
+           f=$(am__strip_dir) \
+           echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(gnuradio_swig_py_gengen_pylibLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) '$$p' '$(DESTDIR)$(gnuradio_swig_py_gengen_pylibdir)/$$f'"; \
+           $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(gnuradio_swig_py_gengen_pylibLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) "$$p" "$(DESTDIR)$(gnuradio_swig_py_gengen_pylibdir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-gnuradio_swig_py_gengen_pylibLTLIBRARIES:
+       @$(NORMAL_UNINSTALL)
+       @list='$(gnuradio_swig_py_gengen_pylib_LTLIBRARIES)'; for p in $$list; do \
+         p=$(am__strip_dir) \
+         echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f '$(DESTDIR)$(gnuradio_swig_py_gengen_pylibdir)/$$p'"; \
+         $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f "$(DESTDIR)$(gnuradio_swig_py_gengen_pylibdir)/$$p"; \
+       done
+
+clean-gnuradio_swig_py_gengen_pylibLTLIBRARIES:
+       -test -z "$(gnuradio_swig_py_gengen_pylib_LTLIBRARIES)" || rm -f $(gnuradio_swig_py_gengen_pylib_LTLIBRARIES)
+       @list='$(gnuradio_swig_py_gengen_pylib_LTLIBRARIES)'; for p in $$list; do \
+         dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
+         test "$$dir" != "$$p" || dir=.; \
+         echo "rm -f \"$${dir}/so_locations\""; \
+         rm -f "$${dir}/so_locations"; \
+       done
+install-gnuradio_swig_py_hier_pylibLTLIBRARIES: $(gnuradio_swig_py_hier_pylib_LTLIBRARIES)
+       @$(NORMAL_INSTALL)
+       test -z "$(gnuradio_swig_py_hier_pylibdir)" || $(MKDIR_P) "$(DESTDIR)$(gnuradio_swig_py_hier_pylibdir)"
+       @list='$(gnuradio_swig_py_hier_pylib_LTLIBRARIES)'; for p in $$list; do \
+         if test -f $$p; then \
+           f=$(am__strip_dir) \
+           echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(gnuradio_swig_py_hier_pylibLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) '$$p' '$(DESTDIR)$(gnuradio_swig_py_hier_pylibdir)/$$f'"; \
+           $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(gnuradio_swig_py_hier_pylibLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) "$$p" "$(DESTDIR)$(gnuradio_swig_py_hier_pylibdir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-gnuradio_swig_py_hier_pylibLTLIBRARIES:
+       @$(NORMAL_UNINSTALL)
+       @list='$(gnuradio_swig_py_hier_pylib_LTLIBRARIES)'; for p in $$list; do \
+         p=$(am__strip_dir) \
+         echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f '$(DESTDIR)$(gnuradio_swig_py_hier_pylibdir)/$$p'"; \
+         $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f "$(DESTDIR)$(gnuradio_swig_py_hier_pylibdir)/$$p"; \
+       done
+
+clean-gnuradio_swig_py_hier_pylibLTLIBRARIES:
+       -test -z "$(gnuradio_swig_py_hier_pylib_LTLIBRARIES)" || rm -f $(gnuradio_swig_py_hier_pylib_LTLIBRARIES)
+       @list='$(gnuradio_swig_py_hier_pylib_LTLIBRARIES)'; for p in $$list; do \
+         dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
+         test "$$dir" != "$$p" || dir=.; \
+         echo "rm -f \"$${dir}/so_locations\""; \
+         rm -f "$${dir}/so_locations"; \
+       done
+install-gnuradio_swig_py_io_pylibLTLIBRARIES: $(gnuradio_swig_py_io_pylib_LTLIBRARIES)
+       @$(NORMAL_INSTALL)
+       test -z "$(gnuradio_swig_py_io_pylibdir)" || $(MKDIR_P) "$(DESTDIR)$(gnuradio_swig_py_io_pylibdir)"
+       @list='$(gnuradio_swig_py_io_pylib_LTLIBRARIES)'; for p in $$list; do \
+         if test -f $$p; then \
+           f=$(am__strip_dir) \
+           echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(gnuradio_swig_py_io_pylibLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) '$$p' '$(DESTDIR)$(gnuradio_swig_py_io_pylibdir)/$$f'"; \
+           $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(gnuradio_swig_py_io_pylibLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) "$$p" "$(DESTDIR)$(gnuradio_swig_py_io_pylibdir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-gnuradio_swig_py_io_pylibLTLIBRARIES:
+       @$(NORMAL_UNINSTALL)
+       @list='$(gnuradio_swig_py_io_pylib_LTLIBRARIES)'; for p in $$list; do \
+         p=$(am__strip_dir) \
+         echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f '$(DESTDIR)$(gnuradio_swig_py_io_pylibdir)/$$p'"; \
+         $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f "$(DESTDIR)$(gnuradio_swig_py_io_pylibdir)/$$p"; \
+       done
+
+clean-gnuradio_swig_py_io_pylibLTLIBRARIES:
+       -test -z "$(gnuradio_swig_py_io_pylib_LTLIBRARIES)" || rm -f $(gnuradio_swig_py_io_pylib_LTLIBRARIES)
+       @list='$(gnuradio_swig_py_io_pylib_LTLIBRARIES)'; for p in $$list; do \
+         dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
+         test "$$dir" != "$$p" || dir=.; \
+         echo "rm -f \"$${dir}/so_locations\""; \
+         rm -f "$${dir}/so_locations"; \
+       done
+install-gnuradio_swig_py_runtime_pylibLTLIBRARIES: $(gnuradio_swig_py_runtime_pylib_LTLIBRARIES)
+       @$(NORMAL_INSTALL)
+       test -z "$(gnuradio_swig_py_runtime_pylibdir)" || $(MKDIR_P) "$(DESTDIR)$(gnuradio_swig_py_runtime_pylibdir)"
+       @list='$(gnuradio_swig_py_runtime_pylib_LTLIBRARIES)'; for p in $$list; do \
+         if test -f $$p; then \
+           f=$(am__strip_dir) \
+           echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(gnuradio_swig_py_runtime_pylibLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) '$$p' '$(DESTDIR)$(gnuradio_swig_py_runtime_pylibdir)/$$f'"; \
+           $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(gnuradio_swig_py_runtime_pylibLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) "$$p" "$(DESTDIR)$(gnuradio_swig_py_runtime_pylibdir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-gnuradio_swig_py_runtime_pylibLTLIBRARIES:
+       @$(NORMAL_UNINSTALL)
+       @list='$(gnuradio_swig_py_runtime_pylib_LTLIBRARIES)'; for p in $$list; do \
+         p=$(am__strip_dir) \
+         echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f '$(DESTDIR)$(gnuradio_swig_py_runtime_pylibdir)/$$p'"; \
+         $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f "$(DESTDIR)$(gnuradio_swig_py_runtime_pylibdir)/$$p"; \
+       done
+
+clean-gnuradio_swig_py_runtime_pylibLTLIBRARIES:
+       -test -z "$(gnuradio_swig_py_runtime_pylib_LTLIBRARIES)" || rm -f $(gnuradio_swig_py_runtime_pylib_LTLIBRARIES)
+       @list='$(gnuradio_swig_py_runtime_pylib_LTLIBRARIES)'; for p in $$list; do \
+         dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
+         test "$$dir" != "$$p" || dir=.; \
+         echo "rm -f \"$${dir}/so_locations\""; \
+         rm -f "$${dir}/so_locations"; \
+       done
+_gnuradio_swig_py_filter.la: $(_gnuradio_swig_py_filter_la_OBJECTS) $(_gnuradio_swig_py_filter_la_DEPENDENCIES) 
+       $(_gnuradio_swig_py_filter_la_LINK) -rpath $(gnuradio_swig_py_filter_pylibdir) $(_gnuradio_swig_py_filter_la_OBJECTS) $(_gnuradio_swig_py_filter_la_LIBADD) $(LIBS)
+_gnuradio_swig_py_general.la: $(_gnuradio_swig_py_general_la_OBJECTS) $(_gnuradio_swig_py_general_la_DEPENDENCIES) 
+       $(_gnuradio_swig_py_general_la_LINK) -rpath $(gnuradio_swig_py_general_pylibdir) $(_gnuradio_swig_py_general_la_OBJECTS) $(_gnuradio_swig_py_general_la_LIBADD) $(LIBS)
+_gnuradio_swig_py_gengen.la: $(_gnuradio_swig_py_gengen_la_OBJECTS) $(_gnuradio_swig_py_gengen_la_DEPENDENCIES) 
+       $(_gnuradio_swig_py_gengen_la_LINK) -rpath $(gnuradio_swig_py_gengen_pylibdir) $(_gnuradio_swig_py_gengen_la_OBJECTS) $(_gnuradio_swig_py_gengen_la_LIBADD) $(LIBS)
+_gnuradio_swig_py_hier.la: $(_gnuradio_swig_py_hier_la_OBJECTS) $(_gnuradio_swig_py_hier_la_DEPENDENCIES) 
+       $(_gnuradio_swig_py_hier_la_LINK) -rpath $(gnuradio_swig_py_hier_pylibdir) $(_gnuradio_swig_py_hier_la_OBJECTS) $(_gnuradio_swig_py_hier_la_LIBADD) $(LIBS)
+_gnuradio_swig_py_io.la: $(_gnuradio_swig_py_io_la_OBJECTS) $(_gnuradio_swig_py_io_la_DEPENDENCIES) 
+       $(_gnuradio_swig_py_io_la_LINK) -rpath $(gnuradio_swig_py_io_pylibdir) $(_gnuradio_swig_py_io_la_OBJECTS) $(_gnuradio_swig_py_io_la_LIBADD) $(LIBS)
+_gnuradio_swig_py_runtime.la: $(_gnuradio_swig_py_runtime_la_OBJECTS) $(_gnuradio_swig_py_runtime_la_DEPENDENCIES) 
+       $(_gnuradio_swig_py_runtime_la_LINK) -rpath $(gnuradio_swig_py_runtime_pylibdir) $(_gnuradio_swig_py_runtime_la_OBJECTS) $(_gnuradio_swig_py_runtime_la_LIBADD) $(LIBS)
+
+mostlyclean-compile:
+       -rm -f *.$(OBJEXT)
+
+distclean-compile:
+       -rm -f *.tab.c
+
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_gnuradio_swig_py_filter_la-gnuradio_swig_py_filter.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_gnuradio_swig_py_general_la-gnuradio_swig_py_general.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_gnuradio_swig_py_gengen_la-gnuradio_swig_py_gengen.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_gnuradio_swig_py_hier_la-gnuradio_swig_py_hier.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_gnuradio_swig_py_io_la-gnuradio_swig_py_io.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_gnuradio_swig_py_runtime_la-gnuradio_swig_py_runtime.Plo@am__quote@
+
+.cc.o:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ $<
+
+.cc.obj:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ `$(CYGPATH_W) '$<'`
+
+.cc.lo:
+@am__fastdepCXX_TRUE@  $(LTCXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LTCXXCOMPILE) -c -o $@ $<
+
+_gnuradio_swig_py_filter_la-gnuradio_swig_py_filter.lo: gnuradio_swig_py_filter.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_gnuradio_swig_py_filter_la_CXXFLAGS) $(CXXFLAGS) -MT _gnuradio_swig_py_filter_la-gnuradio_swig_py_filter.lo -MD -MP -MF $(DEPDIR)/_gnuradio_swig_py_filter_la-gnuradio_swig_py_filter.Tpo -c -o _gnuradio_swig_py_filter_la-gnuradio_swig_py_filter.lo `test -f 'gnuradio_swig_py_filter.cc' || echo '$(srcdir)/'`gnuradio_swig_py_filter.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_gnuradio_swig_py_filter_la-gnuradio_swig_py_filter.Tpo $(DEPDIR)/_gnuradio_swig_py_filter_la-gnuradio_swig_py_filter.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='gnuradio_swig_py_filter.cc' object='_gnuradio_swig_py_filter_la-gnuradio_swig_py_filter.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_gnuradio_swig_py_filter_la_CXXFLAGS) $(CXXFLAGS) -c -o _gnuradio_swig_py_filter_la-gnuradio_swig_py_filter.lo `test -f 'gnuradio_swig_py_filter.cc' || echo '$(srcdir)/'`gnuradio_swig_py_filter.cc
+
+_gnuradio_swig_py_general_la-gnuradio_swig_py_general.lo: gnuradio_swig_py_general.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_gnuradio_swig_py_general_la_CXXFLAGS) $(CXXFLAGS) -MT _gnuradio_swig_py_general_la-gnuradio_swig_py_general.lo -MD -MP -MF $(DEPDIR)/_gnuradio_swig_py_general_la-gnuradio_swig_py_general.Tpo -c -o _gnuradio_swig_py_general_la-gnuradio_swig_py_general.lo `test -f 'gnuradio_swig_py_general.cc' || echo '$(srcdir)/'`gnuradio_swig_py_general.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_gnuradio_swig_py_general_la-gnuradio_swig_py_general.Tpo $(DEPDIR)/_gnuradio_swig_py_general_la-gnuradio_swig_py_general.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='gnuradio_swig_py_general.cc' object='_gnuradio_swig_py_general_la-gnuradio_swig_py_general.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_gnuradio_swig_py_general_la_CXXFLAGS) $(CXXFLAGS) -c -o _gnuradio_swig_py_general_la-gnuradio_swig_py_general.lo `test -f 'gnuradio_swig_py_general.cc' || echo '$(srcdir)/'`gnuradio_swig_py_general.cc
+
+_gnuradio_swig_py_gengen_la-gnuradio_swig_py_gengen.lo: gnuradio_swig_py_gengen.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_gnuradio_swig_py_gengen_la_CXXFLAGS) $(CXXFLAGS) -MT _gnuradio_swig_py_gengen_la-gnuradio_swig_py_gengen.lo -MD -MP -MF $(DEPDIR)/_gnuradio_swig_py_gengen_la-gnuradio_swig_py_gengen.Tpo -c -o _gnuradio_swig_py_gengen_la-gnuradio_swig_py_gengen.lo `test -f 'gnuradio_swig_py_gengen.cc' || echo '$(srcdir)/'`gnuradio_swig_py_gengen.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_gnuradio_swig_py_gengen_la-gnuradio_swig_py_gengen.Tpo $(DEPDIR)/_gnuradio_swig_py_gengen_la-gnuradio_swig_py_gengen.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='gnuradio_swig_py_gengen.cc' object='_gnuradio_swig_py_gengen_la-gnuradio_swig_py_gengen.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_gnuradio_swig_py_gengen_la_CXXFLAGS) $(CXXFLAGS) -c -o _gnuradio_swig_py_gengen_la-gnuradio_swig_py_gengen.lo `test -f 'gnuradio_swig_py_gengen.cc' || echo '$(srcdir)/'`gnuradio_swig_py_gengen.cc
+
+_gnuradio_swig_py_hier_la-gnuradio_swig_py_hier.lo: gnuradio_swig_py_hier.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_gnuradio_swig_py_hier_la_CXXFLAGS) $(CXXFLAGS) -MT _gnuradio_swig_py_hier_la-gnuradio_swig_py_hier.lo -MD -MP -MF $(DEPDIR)/_gnuradio_swig_py_hier_la-gnuradio_swig_py_hier.Tpo -c -o _gnuradio_swig_py_hier_la-gnuradio_swig_py_hier.lo `test -f 'gnuradio_swig_py_hier.cc' || echo '$(srcdir)/'`gnuradio_swig_py_hier.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_gnuradio_swig_py_hier_la-gnuradio_swig_py_hier.Tpo $(DEPDIR)/_gnuradio_swig_py_hier_la-gnuradio_swig_py_hier.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='gnuradio_swig_py_hier.cc' object='_gnuradio_swig_py_hier_la-gnuradio_swig_py_hier.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_gnuradio_swig_py_hier_la_CXXFLAGS) $(CXXFLAGS) -c -o _gnuradio_swig_py_hier_la-gnuradio_swig_py_hier.lo `test -f 'gnuradio_swig_py_hier.cc' || echo '$(srcdir)/'`gnuradio_swig_py_hier.cc
+
+_gnuradio_swig_py_io_la-gnuradio_swig_py_io.lo: gnuradio_swig_py_io.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_gnuradio_swig_py_io_la_CXXFLAGS) $(CXXFLAGS) -MT _gnuradio_swig_py_io_la-gnuradio_swig_py_io.lo -MD -MP -MF $(DEPDIR)/_gnuradio_swig_py_io_la-gnuradio_swig_py_io.Tpo -c -o _gnuradio_swig_py_io_la-gnuradio_swig_py_io.lo `test -f 'gnuradio_swig_py_io.cc' || echo '$(srcdir)/'`gnuradio_swig_py_io.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_gnuradio_swig_py_io_la-gnuradio_swig_py_io.Tpo $(DEPDIR)/_gnuradio_swig_py_io_la-gnuradio_swig_py_io.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='gnuradio_swig_py_io.cc' object='_gnuradio_swig_py_io_la-gnuradio_swig_py_io.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_gnuradio_swig_py_io_la_CXXFLAGS) $(CXXFLAGS) -c -o _gnuradio_swig_py_io_la-gnuradio_swig_py_io.lo `test -f 'gnuradio_swig_py_io.cc' || echo '$(srcdir)/'`gnuradio_swig_py_io.cc
+
+_gnuradio_swig_py_runtime_la-gnuradio_swig_py_runtime.lo: gnuradio_swig_py_runtime.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_gnuradio_swig_py_runtime_la_CXXFLAGS) $(CXXFLAGS) -MT _gnuradio_swig_py_runtime_la-gnuradio_swig_py_runtime.lo -MD -MP -MF $(DEPDIR)/_gnuradio_swig_py_runtime_la-gnuradio_swig_py_runtime.Tpo -c -o _gnuradio_swig_py_runtime_la-gnuradio_swig_py_runtime.lo `test -f 'gnuradio_swig_py_runtime.cc' || echo '$(srcdir)/'`gnuradio_swig_py_runtime.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_gnuradio_swig_py_runtime_la-gnuradio_swig_py_runtime.Tpo $(DEPDIR)/_gnuradio_swig_py_runtime_la-gnuradio_swig_py_runtime.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='gnuradio_swig_py_runtime.cc' object='_gnuradio_swig_py_runtime_la-gnuradio_swig_py_runtime.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_gnuradio_swig_py_runtime_la_CXXFLAGS) $(CXXFLAGS) -c -o _gnuradio_swig_py_runtime_la-gnuradio_swig_py_runtime.lo `test -f 'gnuradio_swig_py_runtime.cc' || echo '$(srcdir)/'`gnuradio_swig_py_runtime.cc
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-gnuradio_swig_py_filter_pythonPYTHON: $(gnuradio_swig_py_filter_python_PYTHON)
+       @$(NORMAL_INSTALL)
+       test -z "$(gnuradio_swig_py_filter_pythondir)" || $(MKDIR_P) "$(DESTDIR)$(gnuradio_swig_py_filter_pythondir)"
+       @list='$(gnuradio_swig_py_filter_python_PYTHON)'; dlist=''; for p in $$list; do\
+         if test -f "$$p"; then b=; else b="$(srcdir)/"; fi; \
+         if test -f $$b$$p; then \
+           f=$(am__strip_dir) \
+           dlist="$$dlist $$f"; \
+           echo " $(gnuradio_swig_py_filter_pythonPYTHON_INSTALL) '$$b$$p' '$(DESTDIR)$(gnuradio_swig_py_filter_pythondir)/$$f'"; \
+           $(gnuradio_swig_py_filter_pythonPYTHON_INSTALL) "$$b$$p" "$(DESTDIR)$(gnuradio_swig_py_filter_pythondir)/$$f"; \
+         else :; fi; \
+       done; \
+       if test -n "$$dlist"; then \
+         if test -z "$(DESTDIR)"; then \
+           PYTHON=$(PYTHON) $(py_compile) --basedir "$(gnuradio_swig_py_filter_pythondir)" $$dlist; \
+         else \
+           PYTHON=$(PYTHON) $(py_compile) --destdir "$(DESTDIR)" --basedir "$(gnuradio_swig_py_filter_pythondir)" $$dlist; \
+         fi; \
+       else :; fi
+
+uninstall-gnuradio_swig_py_filter_pythonPYTHON:
+       @$(NORMAL_UNINSTALL)
+       @list='$(gnuradio_swig_py_filter_python_PYTHON)'; dlist=''; for p in $$list; do\
+         f=$(am__strip_dir) \
+         rm -f "$(DESTDIR)$(gnuradio_swig_py_filter_pythondir)/$$f"; \
+         rm -f "$(DESTDIR)$(gnuradio_swig_py_filter_pythondir)/$${f}c"; \
+         rm -f "$(DESTDIR)$(gnuradio_swig_py_filter_pythondir)/$${f}o"; \
+       done
+install-gnuradio_swig_py_general_pythonPYTHON: $(gnuradio_swig_py_general_python_PYTHON)
+       @$(NORMAL_INSTALL)
+       test -z "$(gnuradio_swig_py_general_pythondir)" || $(MKDIR_P) "$(DESTDIR)$(gnuradio_swig_py_general_pythondir)"
+       @list='$(gnuradio_swig_py_general_python_PYTHON)'; dlist=''; for p in $$list; do\
+         if test -f "$$p"; then b=; else b="$(srcdir)/"; fi; \
+         if test -f $$b$$p; then \
+           f=$(am__strip_dir) \
+           dlist="$$dlist $$f"; \
+           echo " $(gnuradio_swig_py_general_pythonPYTHON_INSTALL) '$$b$$p' '$(DESTDIR)$(gnuradio_swig_py_general_pythondir)/$$f'"; \
+           $(gnuradio_swig_py_general_pythonPYTHON_INSTALL) "$$b$$p" "$(DESTDIR)$(gnuradio_swig_py_general_pythondir)/$$f"; \
+         else :; fi; \
+       done; \
+       if test -n "$$dlist"; then \
+         if test -z "$(DESTDIR)"; then \
+           PYTHON=$(PYTHON) $(py_compile) --basedir "$(gnuradio_swig_py_general_pythondir)" $$dlist; \
+         else \
+           PYTHON=$(PYTHON) $(py_compile) --destdir "$(DESTDIR)" --basedir "$(gnuradio_swig_py_general_pythondir)" $$dlist; \
+         fi; \
+       else :; fi
+
+uninstall-gnuradio_swig_py_general_pythonPYTHON:
+       @$(NORMAL_UNINSTALL)
+       @list='$(gnuradio_swig_py_general_python_PYTHON)'; dlist=''; for p in $$list; do\
+         f=$(am__strip_dir) \
+         rm -f "$(DESTDIR)$(gnuradio_swig_py_general_pythondir)/$$f"; \
+         rm -f "$(DESTDIR)$(gnuradio_swig_py_general_pythondir)/$${f}c"; \
+         rm -f "$(DESTDIR)$(gnuradio_swig_py_general_pythondir)/$${f}o"; \
+       done
+install-gnuradio_swig_py_gengen_pythonPYTHON: $(gnuradio_swig_py_gengen_python_PYTHON)
+       @$(NORMAL_INSTALL)
+       test -z "$(gnuradio_swig_py_gengen_pythondir)" || $(MKDIR_P) "$(DESTDIR)$(gnuradio_swig_py_gengen_pythondir)"
+       @list='$(gnuradio_swig_py_gengen_python_PYTHON)'; dlist=''; for p in $$list; do\
+         if test -f "$$p"; then b=; else b="$(srcdir)/"; fi; \
+         if test -f $$b$$p; then \
+           f=$(am__strip_dir) \
+           dlist="$$dlist $$f"; \
+           echo " $(gnuradio_swig_py_gengen_pythonPYTHON_INSTALL) '$$b$$p' '$(DESTDIR)$(gnuradio_swig_py_gengen_pythondir)/$$f'"; \
+           $(gnuradio_swig_py_gengen_pythonPYTHON_INSTALL) "$$b$$p" "$(DESTDIR)$(gnuradio_swig_py_gengen_pythondir)/$$f"; \
+         else :; fi; \
+       done; \
+       if test -n "$$dlist"; then \
+         if test -z "$(DESTDIR)"; then \
+           PYTHON=$(PYTHON) $(py_compile) --basedir "$(gnuradio_swig_py_gengen_pythondir)" $$dlist; \
+         else \
+           PYTHON=$(PYTHON) $(py_compile) --destdir "$(DESTDIR)" --basedir "$(gnuradio_swig_py_gengen_pythondir)" $$dlist; \
+         fi; \
+       else :; fi
+
+uninstall-gnuradio_swig_py_gengen_pythonPYTHON:
+       @$(NORMAL_UNINSTALL)
+       @list='$(gnuradio_swig_py_gengen_python_PYTHON)'; dlist=''; for p in $$list; do\
+         f=$(am__strip_dir) \
+         rm -f "$(DESTDIR)$(gnuradio_swig_py_gengen_pythondir)/$$f"; \
+         rm -f "$(DESTDIR)$(gnuradio_swig_py_gengen_pythondir)/$${f}c"; \
+         rm -f "$(DESTDIR)$(gnuradio_swig_py_gengen_pythondir)/$${f}o"; \
+       done
+install-gnuradio_swig_py_hier_pythonPYTHON: $(gnuradio_swig_py_hier_python_PYTHON)
+       @$(NORMAL_INSTALL)
+       test -z "$(gnuradio_swig_py_hier_pythondir)" || $(MKDIR_P) "$(DESTDIR)$(gnuradio_swig_py_hier_pythondir)"
+       @list='$(gnuradio_swig_py_hier_python_PYTHON)'; dlist=''; for p in $$list; do\
+         if test -f "$$p"; then b=; else b="$(srcdir)/"; fi; \
+         if test -f $$b$$p; then \
+           f=$(am__strip_dir) \
+           dlist="$$dlist $$f"; \
+           echo " $(gnuradio_swig_py_hier_pythonPYTHON_INSTALL) '$$b$$p' '$(DESTDIR)$(gnuradio_swig_py_hier_pythondir)/$$f'"; \
+           $(gnuradio_swig_py_hier_pythonPYTHON_INSTALL) "$$b$$p" "$(DESTDIR)$(gnuradio_swig_py_hier_pythondir)/$$f"; \
+         else :; fi; \
+       done; \
+       if test -n "$$dlist"; then \
+         if test -z "$(DESTDIR)"; then \
+           PYTHON=$(PYTHON) $(py_compile) --basedir "$(gnuradio_swig_py_hier_pythondir)" $$dlist; \
+         else \
+           PYTHON=$(PYTHON) $(py_compile) --destdir "$(DESTDIR)" --basedir "$(gnuradio_swig_py_hier_pythondir)" $$dlist; \
+         fi; \
+       else :; fi
+
+uninstall-gnuradio_swig_py_hier_pythonPYTHON:
+       @$(NORMAL_UNINSTALL)
+       @list='$(gnuradio_swig_py_hier_python_PYTHON)'; dlist=''; for p in $$list; do\
+         f=$(am__strip_dir) \
+         rm -f "$(DESTDIR)$(gnuradio_swig_py_hier_pythondir)/$$f"; \
+         rm -f "$(DESTDIR)$(gnuradio_swig_py_hier_pythondir)/$${f}c"; \
+         rm -f "$(DESTDIR)$(gnuradio_swig_py_hier_pythondir)/$${f}o"; \
+       done
+install-gnuradio_swig_py_io_pythonPYTHON: $(gnuradio_swig_py_io_python_PYTHON)
+       @$(NORMAL_INSTALL)
+       test -z "$(gnuradio_swig_py_io_pythondir)" || $(MKDIR_P) "$(DESTDIR)$(gnuradio_swig_py_io_pythondir)"
+       @list='$(gnuradio_swig_py_io_python_PYTHON)'; dlist=''; for p in $$list; do\
+         if test -f "$$p"; then b=; else b="$(srcdir)/"; fi; \
+         if test -f $$b$$p; then \
+           f=$(am__strip_dir) \
+           dlist="$$dlist $$f"; \
+           echo " $(gnuradio_swig_py_io_pythonPYTHON_INSTALL) '$$b$$p' '$(DESTDIR)$(gnuradio_swig_py_io_pythondir)/$$f'"; \
+           $(gnuradio_swig_py_io_pythonPYTHON_INSTALL) "$$b$$p" "$(DESTDIR)$(gnuradio_swig_py_io_pythondir)/$$f"; \
+         else :; fi; \
+       done; \
+       if test -n "$$dlist"; then \
+         if test -z "$(DESTDIR)"; then \
+           PYTHON=$(PYTHON) $(py_compile) --basedir "$(gnuradio_swig_py_io_pythondir)" $$dlist; \
+         else \
+           PYTHON=$(PYTHON) $(py_compile) --destdir "$(DESTDIR)" --basedir "$(gnuradio_swig_py_io_pythondir)" $$dlist; \
+         fi; \
+       else :; fi
+
+uninstall-gnuradio_swig_py_io_pythonPYTHON:
+       @$(NORMAL_UNINSTALL)
+       @list='$(gnuradio_swig_py_io_python_PYTHON)'; dlist=''; for p in $$list; do\
+         f=$(am__strip_dir) \
+         rm -f "$(DESTDIR)$(gnuradio_swig_py_io_pythondir)/$$f"; \
+         rm -f "$(DESTDIR)$(gnuradio_swig_py_io_pythondir)/$${f}c"; \
+         rm -f "$(DESTDIR)$(gnuradio_swig_py_io_pythondir)/$${f}o"; \
+       done
+install-gnuradio_swig_py_runtime_pythonPYTHON: $(gnuradio_swig_py_runtime_python_PYTHON)
+       @$(NORMAL_INSTALL)
+       test -z "$(gnuradio_swig_py_runtime_pythondir)" || $(MKDIR_P) "$(DESTDIR)$(gnuradio_swig_py_runtime_pythondir)"
+       @list='$(gnuradio_swig_py_runtime_python_PYTHON)'; dlist=''; for p in $$list; do\
+         if test -f "$$p"; then b=; else b="$(srcdir)/"; fi; \
+         if test -f $$b$$p; then \
+           f=$(am__strip_dir) \
+           dlist="$$dlist $$f"; \
+           echo " $(gnuradio_swig_py_runtime_pythonPYTHON_INSTALL) '$$b$$p' '$(DESTDIR)$(gnuradio_swig_py_runtime_pythondir)/$$f'"; \
+           $(gnuradio_swig_py_runtime_pythonPYTHON_INSTALL) "$$b$$p" "$(DESTDIR)$(gnuradio_swig_py_runtime_pythondir)/$$f"; \
+         else :; fi; \
+       done; \
+       if test -n "$$dlist"; then \
+         if test -z "$(DESTDIR)"; then \
+           PYTHON=$(PYTHON) $(py_compile) --basedir "$(gnuradio_swig_py_runtime_pythondir)" $$dlist; \
+         else \
+           PYTHON=$(PYTHON) $(py_compile) --destdir "$(DESTDIR)" --basedir "$(gnuradio_swig_py_runtime_pythondir)" $$dlist; \
+         fi; \
+       else :; fi
+
+uninstall-gnuradio_swig_py_runtime_pythonPYTHON:
+       @$(NORMAL_UNINSTALL)
+       @list='$(gnuradio_swig_py_runtime_python_PYTHON)'; dlist=''; for p in $$list; do\
+         f=$(am__strip_dir) \
+         rm -f "$(DESTDIR)$(gnuradio_swig_py_runtime_pythondir)/$$f"; \
+         rm -f "$(DESTDIR)$(gnuradio_swig_py_runtime_pythondir)/$${f}c"; \
+         rm -f "$(DESTDIR)$(gnuradio_swig_py_runtime_pythondir)/$${f}o"; \
+       done
+install-ourpythonPYTHON: $(ourpython_PYTHON)
+       @$(NORMAL_INSTALL)
+       test -z "$(ourpythondir)" || $(MKDIR_P) "$(DESTDIR)$(ourpythondir)"
+       @list='$(ourpython_PYTHON)'; dlist=''; for p in $$list; do\
+         if test -f "$$p"; then b=; else b="$(srcdir)/"; fi; \
+         if test -f $$b$$p; then \
+           f=$(am__strip_dir) \
+           dlist="$$dlist $$f"; \
+           echo " $(ourpythonPYTHON_INSTALL) '$$b$$p' '$(DESTDIR)$(ourpythondir)/$$f'"; \
+           $(ourpythonPYTHON_INSTALL) "$$b$$p" "$(DESTDIR)$(ourpythondir)/$$f"; \
+         else :; fi; \
+       done; \
+       if test -n "$$dlist"; then \
+         if test -z "$(DESTDIR)"; then \
+           PYTHON=$(PYTHON) $(py_compile) --basedir "$(ourpythondir)" $$dlist; \
+         else \
+           PYTHON=$(PYTHON) $(py_compile) --destdir "$(DESTDIR)" --basedir "$(ourpythondir)" $$dlist; \
+         fi; \
+       else :; fi
+
+uninstall-ourpythonPYTHON:
+       @$(NORMAL_UNINSTALL)
+       @list='$(ourpython_PYTHON)'; dlist=''; for p in $$list; do\
+         f=$(am__strip_dir) \
+         rm -f "$(DESTDIR)$(ourpythondir)/$$f"; \
+         rm -f "$(DESTDIR)$(ourpythondir)/$${f}c"; \
+         rm -f "$(DESTDIR)$(ourpythondir)/$${f}o"; \
+       done
+install-gnuradio_swig_py_filter_swigincludeHEADERS: $(gnuradio_swig_py_filter_swiginclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(gnuradio_swig_py_filter_swigincludedir)" || $(MKDIR_P) "$(DESTDIR)$(gnuradio_swig_py_filter_swigincludedir)"
+       @list='$(gnuradio_swig_py_filter_swiginclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(gnuradio_swig_py_filter_swigincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(gnuradio_swig_py_filter_swigincludedir)/$$f'"; \
+         $(gnuradio_swig_py_filter_swigincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(gnuradio_swig_py_filter_swigincludedir)/$$f"; \
+       done
+
+uninstall-gnuradio_swig_py_filter_swigincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(gnuradio_swig_py_filter_swiginclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(gnuradio_swig_py_filter_swigincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(gnuradio_swig_py_filter_swigincludedir)/$$f"; \
+       done
+install-gnuradio_swig_py_general_swigincludeHEADERS: $(gnuradio_swig_py_general_swiginclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(gnuradio_swig_py_general_swigincludedir)" || $(MKDIR_P) "$(DESTDIR)$(gnuradio_swig_py_general_swigincludedir)"
+       @list='$(gnuradio_swig_py_general_swiginclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(gnuradio_swig_py_general_swigincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(gnuradio_swig_py_general_swigincludedir)/$$f'"; \
+         $(gnuradio_swig_py_general_swigincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(gnuradio_swig_py_general_swigincludedir)/$$f"; \
+       done
+
+uninstall-gnuradio_swig_py_general_swigincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(gnuradio_swig_py_general_swiginclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(gnuradio_swig_py_general_swigincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(gnuradio_swig_py_general_swigincludedir)/$$f"; \
+       done
+install-gnuradio_swig_py_gengen_swigincludeHEADERS: $(gnuradio_swig_py_gengen_swiginclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(gnuradio_swig_py_gengen_swigincludedir)" || $(MKDIR_P) "$(DESTDIR)$(gnuradio_swig_py_gengen_swigincludedir)"
+       @list='$(gnuradio_swig_py_gengen_swiginclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(gnuradio_swig_py_gengen_swigincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(gnuradio_swig_py_gengen_swigincludedir)/$$f'"; \
+         $(gnuradio_swig_py_gengen_swigincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(gnuradio_swig_py_gengen_swigincludedir)/$$f"; \
+       done
+
+uninstall-gnuradio_swig_py_gengen_swigincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(gnuradio_swig_py_gengen_swiginclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(gnuradio_swig_py_gengen_swigincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(gnuradio_swig_py_gengen_swigincludedir)/$$f"; \
+       done
+install-gnuradio_swig_py_hier_swigincludeHEADERS: $(gnuradio_swig_py_hier_swiginclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(gnuradio_swig_py_hier_swigincludedir)" || $(MKDIR_P) "$(DESTDIR)$(gnuradio_swig_py_hier_swigincludedir)"
+       @list='$(gnuradio_swig_py_hier_swiginclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(gnuradio_swig_py_hier_swigincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(gnuradio_swig_py_hier_swigincludedir)/$$f'"; \
+         $(gnuradio_swig_py_hier_swigincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(gnuradio_swig_py_hier_swigincludedir)/$$f"; \
+       done
+
+uninstall-gnuradio_swig_py_hier_swigincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(gnuradio_swig_py_hier_swiginclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(gnuradio_swig_py_hier_swigincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(gnuradio_swig_py_hier_swigincludedir)/$$f"; \
+       done
+install-gnuradio_swig_py_io_swigincludeHEADERS: $(gnuradio_swig_py_io_swiginclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(gnuradio_swig_py_io_swigincludedir)" || $(MKDIR_P) "$(DESTDIR)$(gnuradio_swig_py_io_swigincludedir)"
+       @list='$(gnuradio_swig_py_io_swiginclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(gnuradio_swig_py_io_swigincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(gnuradio_swig_py_io_swigincludedir)/$$f'"; \
+         $(gnuradio_swig_py_io_swigincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(gnuradio_swig_py_io_swigincludedir)/$$f"; \
+       done
+
+uninstall-gnuradio_swig_py_io_swigincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(gnuradio_swig_py_io_swiginclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(gnuradio_swig_py_io_swigincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(gnuradio_swig_py_io_swigincludedir)/$$f"; \
+       done
+install-gnuradio_swig_py_runtime_swigincludeHEADERS: $(gnuradio_swig_py_runtime_swiginclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(gnuradio_swig_py_runtime_swigincludedir)" || $(MKDIR_P) "$(DESTDIR)$(gnuradio_swig_py_runtime_swigincludedir)"
+       @list='$(gnuradio_swig_py_runtime_swiginclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(gnuradio_swig_py_runtime_swigincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(gnuradio_swig_py_runtime_swigincludedir)/$$f'"; \
+         $(gnuradio_swig_py_runtime_swigincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(gnuradio_swig_py_runtime_swigincludedir)/$$f"; \
+       done
+
+uninstall-gnuradio_swig_py_runtime_swigincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(gnuradio_swig_py_runtime_swiginclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(gnuradio_swig_py_runtime_swigincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(gnuradio_swig_py_runtime_swigincludedir)/$$f"; \
+       done
+install-grincludeHEADERS: $(grinclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(grincludedir)" || $(MKDIR_P) "$(DESTDIR)$(grincludedir)"
+       @list='$(grinclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(grincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(grincludedir)/$$f'"; \
+         $(grincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(grincludedir)/$$f"; \
+       done
+
+uninstall-grincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(grinclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(grincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(grincludedir)/$$f"; \
+       done
+install-swigincludeHEADERS: $(swiginclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(swigincludedir)" || $(MKDIR_P) "$(DESTDIR)$(swigincludedir)"
+       @list='$(swiginclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(swigincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(swigincludedir)/$$f'"; \
+         $(swigincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(swigincludedir)/$$f"; \
+       done
+
+uninstall-swigincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(swiginclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(swigincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(swigincludedir)/$$f"; \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) check-am
+all-am: Makefile $(LTLIBRARIES) $(HEADERS)
+installdirs:
+       for dir in "$(DESTDIR)$(gnuradio_swig_py_filter_pylibdir)" "$(DESTDIR)$(gnuradio_swig_py_general_pylibdir)" "$(DESTDIR)$(gnuradio_swig_py_gengen_pylibdir)" "$(DESTDIR)$(gnuradio_swig_py_hier_pylibdir)" "$(DESTDIR)$(gnuradio_swig_py_io_pylibdir)" "$(DESTDIR)$(gnuradio_swig_py_runtime_pylibdir)" "$(DESTDIR)$(gnuradio_swig_py_filter_pythondir)" "$(DESTDIR)$(gnuradio_swig_py_general_pythondir)" "$(DESTDIR)$(gnuradio_swig_py_gengen_pythondir)" "$(DESTDIR)$(gnuradio_swig_py_hier_pythondir)" "$(DESTDIR)$(gnuradio_swig_py_io_pythondir)" "$(DESTDIR)$(gnuradio_swig_py_runtime_pythondir)" "$(DESTDIR)$(ourpythondir)" "$(DESTDIR)$(gnuradio_swig_py_filter_swigincludedir)" "$(DESTDIR)$(gnuradio_swig_py_general_swigincludedir)" "$(DESTDIR)$(gnuradio_swig_py_gengen_swigincludedir)" "$(DESTDIR)$(gnuradio_swig_py_hier_swigincludedir)" "$(DESTDIR)$(gnuradio_swig_py_io_swigincludedir)" "$(DESTDIR)$(gnuradio_swig_py_runtime_swigincludedir)" "$(DESTDIR)$(grincludedir)" "$(DESTDIR)$(swigincludedir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+       -test -z "$(BUILT_SOURCES)" || rm -f $(BUILT_SOURCES)
+clean: clean-am
+
+clean-am: clean-generic clean-gnuradio_swig_py_filter_pylibLTLIBRARIES \
+       clean-gnuradio_swig_py_general_pylibLTLIBRARIES \
+       clean-gnuradio_swig_py_gengen_pylibLTLIBRARIES \
+       clean-gnuradio_swig_py_hier_pylibLTLIBRARIES \
+       clean-gnuradio_swig_py_io_pylibLTLIBRARIES \
+       clean-gnuradio_swig_py_runtime_pylibLTLIBRARIES clean-libtool \
+       mostlyclean-am
+
+distclean: distclean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+distclean-am: clean-am distclean-compile distclean-generic \
+       distclean-tags
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-gnuradio_swig_py_filter_pylibLTLIBRARIES \
+       install-gnuradio_swig_py_filter_pythonPYTHON \
+       install-gnuradio_swig_py_filter_swigincludeHEADERS \
+       install-gnuradio_swig_py_general_pylibLTLIBRARIES \
+       install-gnuradio_swig_py_general_pythonPYTHON \
+       install-gnuradio_swig_py_general_swigincludeHEADERS \
+       install-gnuradio_swig_py_gengen_pylibLTLIBRARIES \
+       install-gnuradio_swig_py_gengen_pythonPYTHON \
+       install-gnuradio_swig_py_gengen_swigincludeHEADERS \
+       install-gnuradio_swig_py_hier_pylibLTLIBRARIES \
+       install-gnuradio_swig_py_hier_pythonPYTHON \
+       install-gnuradio_swig_py_hier_swigincludeHEADERS \
+       install-gnuradio_swig_py_io_pylibLTLIBRARIES \
+       install-gnuradio_swig_py_io_pythonPYTHON \
+       install-gnuradio_swig_py_io_swigincludeHEADERS \
+       install-gnuradio_swig_py_runtime_pylibLTLIBRARIES \
+       install-gnuradio_swig_py_runtime_pythonPYTHON \
+       install-gnuradio_swig_py_runtime_swigincludeHEADERS \
+       install-grincludeHEADERS install-ourpythonPYTHON \
+       install-swigincludeHEADERS
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-compile mostlyclean-generic \
+       mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-gnuradio_swig_py_filter_pylibLTLIBRARIES \
+       uninstall-gnuradio_swig_py_filter_pythonPYTHON \
+       uninstall-gnuradio_swig_py_filter_swigincludeHEADERS \
+       uninstall-gnuradio_swig_py_general_pylibLTLIBRARIES \
+       uninstall-gnuradio_swig_py_general_pythonPYTHON \
+       uninstall-gnuradio_swig_py_general_swigincludeHEADERS \
+       uninstall-gnuradio_swig_py_gengen_pylibLTLIBRARIES \
+       uninstall-gnuradio_swig_py_gengen_pythonPYTHON \
+       uninstall-gnuradio_swig_py_gengen_swigincludeHEADERS \
+       uninstall-gnuradio_swig_py_hier_pylibLTLIBRARIES \
+       uninstall-gnuradio_swig_py_hier_pythonPYTHON \
+       uninstall-gnuradio_swig_py_hier_swigincludeHEADERS \
+       uninstall-gnuradio_swig_py_io_pylibLTLIBRARIES \
+       uninstall-gnuradio_swig_py_io_pythonPYTHON \
+       uninstall-gnuradio_swig_py_io_swigincludeHEADERS \
+       uninstall-gnuradio_swig_py_runtime_pylibLTLIBRARIES \
+       uninstall-gnuradio_swig_py_runtime_pythonPYTHON \
+       uninstall-gnuradio_swig_py_runtime_swigincludeHEADERS \
+       uninstall-grincludeHEADERS uninstall-ourpythonPYTHON \
+       uninstall-swigincludeHEADERS
+
+.MAKE: install-am install-strip
+
+.PHONY: CTAGS GTAGS all all-am check check-am clean clean-generic \
+       clean-gnuradio_swig_py_filter_pylibLTLIBRARIES \
+       clean-gnuradio_swig_py_general_pylibLTLIBRARIES \
+       clean-gnuradio_swig_py_gengen_pylibLTLIBRARIES \
+       clean-gnuradio_swig_py_hier_pylibLTLIBRARIES \
+       clean-gnuradio_swig_py_io_pylibLTLIBRARIES \
+       clean-gnuradio_swig_py_runtime_pylibLTLIBRARIES clean-libtool \
+       ctags dist-hook distclean distclean-compile distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am \
+       install-gnuradio_swig_py_filter_pylibLTLIBRARIES \
+       install-gnuradio_swig_py_filter_pythonPYTHON \
+       install-gnuradio_swig_py_filter_swigincludeHEADERS \
+       install-gnuradio_swig_py_general_pylibLTLIBRARIES \
+       install-gnuradio_swig_py_general_pythonPYTHON \
+       install-gnuradio_swig_py_general_swigincludeHEADERS \
+       install-gnuradio_swig_py_gengen_pylibLTLIBRARIES \
+       install-gnuradio_swig_py_gengen_pythonPYTHON \
+       install-gnuradio_swig_py_gengen_swigincludeHEADERS \
+       install-gnuradio_swig_py_hier_pylibLTLIBRARIES \
+       install-gnuradio_swig_py_hier_pythonPYTHON \
+       install-gnuradio_swig_py_hier_swigincludeHEADERS \
+       install-gnuradio_swig_py_io_pylibLTLIBRARIES \
+       install-gnuradio_swig_py_io_pythonPYTHON \
+       install-gnuradio_swig_py_io_swigincludeHEADERS \
+       install-gnuradio_swig_py_runtime_pylibLTLIBRARIES \
+       install-gnuradio_swig_py_runtime_pythonPYTHON \
+       install-gnuradio_swig_py_runtime_swigincludeHEADERS \
+       install-grincludeHEADERS install-html install-html-am \
+       install-info install-info-am install-man \
+       install-ourpythonPYTHON install-pdf install-pdf-am install-ps \
+       install-ps-am install-strip install-swigincludeHEADERS \
+       installcheck installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-compile \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       tags uninstall uninstall-am \
+       uninstall-gnuradio_swig_py_filter_pylibLTLIBRARIES \
+       uninstall-gnuradio_swig_py_filter_pythonPYTHON \
+       uninstall-gnuradio_swig_py_filter_swigincludeHEADERS \
+       uninstall-gnuradio_swig_py_general_pylibLTLIBRARIES \
+       uninstall-gnuradio_swig_py_general_pythonPYTHON \
+       uninstall-gnuradio_swig_py_general_swigincludeHEADERS \
+       uninstall-gnuradio_swig_py_gengen_pylibLTLIBRARIES \
+       uninstall-gnuradio_swig_py_gengen_pythonPYTHON \
+       uninstall-gnuradio_swig_py_gengen_swigincludeHEADERS \
+       uninstall-gnuradio_swig_py_hier_pylibLTLIBRARIES \
+       uninstall-gnuradio_swig_py_hier_pythonPYTHON \
+       uninstall-gnuradio_swig_py_hier_swigincludeHEADERS \
+       uninstall-gnuradio_swig_py_io_pylibLTLIBRARIES \
+       uninstall-gnuradio_swig_py_io_pythonPYTHON \
+       uninstall-gnuradio_swig_py_io_swigincludeHEADERS \
+       uninstall-gnuradio_swig_py_runtime_pylibLTLIBRARIES \
+       uninstall-gnuradio_swig_py_runtime_pythonPYTHON \
+       uninstall-gnuradio_swig_py_runtime_swigincludeHEADERS \
+       uninstall-grincludeHEADERS uninstall-ourpythonPYTHON \
+       uninstall-swigincludeHEADERS
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+
+# ----------------------------------------------------------------
+# FIXME As of swig 1.3.31, this still seems to be required...
+
+gnuradio_swig_bug_workaround.h : gnuradio_swig_py_runtime.cc $(srcdir)/gen-swig-bug-fix
+       $(PYTHON) $(srcdir)/gen-swig-bug-fix gnuradio_swig_py_runtime.cc $@
+
+generate-makefile-swig $(srcdir)/Makefile.swig.gen: $(top_srcdir)/Makefile.swig.gen.t
+       @do_recreate=0; \
+       if test -f $(srcdir)/Makefile.swig.gen; then \
+               if $(RM) $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                       if touch $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                               do_recreate=1; \
+                       fi; \
+               fi; \
+       else \
+               if touch $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                       do_recreate=1; \
+               fi; \
+       fi; \
+       if test "$$do_recreate" == "1"; then \
+               echo "Regenerating $(srcdir)/Makefile.swig.gen"; \
+               for TFILE in $(TOP_SWIG_IFILES); do \
+                       TNAME=`python -c "import os.path as op; (dN, fN) = op.split ('$$TFILE'); (fbN, fE) = op.splitext (fN); print fbN;"`; \
+                       $(SED) -e 's|@NAME@|'$$TNAME'|g;' < $(top_srcdir)/Makefile.swig.gen.t >> $(srcdir)/Makefile.swig.gen; \
+                       echo "" >> $(srcdir)/Makefile.swig.gen; \
+               done; \
+       else \
+               echo "Cannot recreate $(srcdir)/Makefile.swig.gen because the directory or file is write-protected."; \
+               exit -1; \
+       fi;
+
+gnuradio_swig_py_runtime_pythondir_category ?= gnuradio/gnuradio_swig_py_runtime
+gnuradio_swig_py_runtime_pylibdir_category ?= $(gnuradio_swig_py_runtime_pythondir_category)
+
+gnuradio_swig_py_runtime.h gnuradio_swig_py_runtime.py gnuradio_swig_py_runtime.cc: gnuradio_swig_py_runtime.i
+       trap 'rm -rf $(DEPDIR)/gnuradio_swig_py_runtime-generate-*' 1 2 13 15; \
+       if mkdir $(DEPDIR)/gnuradio_swig_py_runtime-generate-lock 2>/dev/null; then \
+               rm -f $(DEPDIR)/gnuradio_swig_py_runtime-generate-stamp; \
+               $(MAKE) $(AM_MAKEFLAGS) $(DEPDIR)/gnuradio_swig_py_runtime-generate-stamp WHAT=$<; \
+               rmdir $(DEPDIR)/gnuradio_swig_py_runtime-generate-lock; \
+       else \
+               while test -d $(DEPDIR)/gnuradio_swig_py_runtime-generate-lock; do \
+                       sleep 1; \
+               done; \
+               test -f $(DEPDIR)/gnuradio_swig_py_runtime-generate-stamp; \
+               exit $$?; \
+       fi;
+
+$(DEPDIR)/gnuradio_swig_py_runtime-generate-stamp:
+       if $(SWIG) $(STD_SWIG_PYTHON_ARGS) $(gnuradio_swig_py_runtime_swig_args) \
+               -MD -MF $(DEPDIR)/gnuradio_swig_py_runtime.Std \
+               -module gnuradio_swig_py_runtime -o gnuradio_swig_py_runtime.cc $(WHAT); then \
+           if test $(host_os) = mingw32; then \
+               $(RM) $(DEPDIR)/gnuradio_swig_py_runtime.Sd; \
+               $(SED) 's,\\\\,/,g' < $(DEPDIR)/gnuradio_swig_py_runtime.Std \
+                       > $(DEPDIR)/gnuradio_swig_py_runtime.Sd; \
+               $(RM) $(DEPDIR)/gnuradio_swig_py_runtime.Std; \
+               $(MV) $(DEPDIR)/gnuradio_swig_py_runtime.Sd $(DEPDIR)/gnuradio_swig_py_runtime.Std; \
+           fi; \
+       else \
+           $(RM) $(DEPDIR)/gnuradio_swig_py_runtime.S*; exit 1; \
+       fi;
+       $(RM) $(DEPDIR)/gnuradio_swig_py_runtime.d
+       cp $(DEPDIR)/gnuradio_swig_py_runtime.Std $(DEPDIR)/gnuradio_swig_py_runtime.d
+       echo "" >> $(DEPDIR)/gnuradio_swig_py_runtime.d
+       $(SED) -e '1d;s, \\,,g;s, ,,g' < $(DEPDIR)/gnuradio_swig_py_runtime.Std | \
+               awk '{ printf "%s:\n\n", $$0 }' >> $(DEPDIR)/gnuradio_swig_py_runtime.d
+       $(RM) $(DEPDIR)/gnuradio_swig_py_runtime.Std
+       touch $(DEPDIR)/gnuradio_swig_py_runtime-generate-stamp
+
+# KLUDGE: Force runtime include of a SWIG dependency file.  This is
+# not guaranteed to be portable, but will probably work.  If it works,
+# we have accurate dependencies for our swig stuff, which is good.
+
+@am__include@ @am__quote@./$(DEPDIR)/gnuradio_swig_py_runtime.d@am__quote@
+
+# -*- Makefile -*-
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# Makefile.swig.gen for gnuradio_swig_py_general.i
+
+gnuradio_swig_py_general_pythondir_category ?= gnuradio/gnuradio_swig_py_general
+gnuradio_swig_py_general_pylibdir_category ?= $(gnuradio_swig_py_general_pythondir_category)
+
+gnuradio_swig_py_general.h gnuradio_swig_py_general.py gnuradio_swig_py_general.cc: gnuradio_swig_py_general.i
+       trap 'rm -rf $(DEPDIR)/gnuradio_swig_py_general-generate-*' 1 2 13 15; \
+       if mkdir $(DEPDIR)/gnuradio_swig_py_general-generate-lock 2>/dev/null; then \
+               rm -f $(DEPDIR)/gnuradio_swig_py_general-generate-stamp; \
+               $(MAKE) $(AM_MAKEFLAGS) $(DEPDIR)/gnuradio_swig_py_general-generate-stamp WHAT=$<; \
+               rmdir $(DEPDIR)/gnuradio_swig_py_general-generate-lock; \
+       else \
+               while test -d $(DEPDIR)/gnuradio_swig_py_general-generate-lock; do \
+                       sleep 1; \
+               done; \
+               test -f $(DEPDIR)/gnuradio_swig_py_general-generate-stamp; \
+               exit $$?; \
+       fi;
+
+$(DEPDIR)/gnuradio_swig_py_general-generate-stamp:
+       if $(SWIG) $(STD_SWIG_PYTHON_ARGS) $(gnuradio_swig_py_general_swig_args) \
+               -MD -MF $(DEPDIR)/gnuradio_swig_py_general.Std \
+               -module gnuradio_swig_py_general -o gnuradio_swig_py_general.cc $(WHAT); then \
+           if test $(host_os) = mingw32; then \
+               $(RM) $(DEPDIR)/gnuradio_swig_py_general.Sd; \
+               $(SED) 's,\\\\,/,g' < $(DEPDIR)/gnuradio_swig_py_general.Std \
+                       > $(DEPDIR)/gnuradio_swig_py_general.Sd; \
+               $(RM) $(DEPDIR)/gnuradio_swig_py_general.Std; \
+               $(MV) $(DEPDIR)/gnuradio_swig_py_general.Sd $(DEPDIR)/gnuradio_swig_py_general.Std; \
+           fi; \
+       else \
+           $(RM) $(DEPDIR)/gnuradio_swig_py_general.S*; exit 1; \
+       fi;
+       $(RM) $(DEPDIR)/gnuradio_swig_py_general.d
+       cp $(DEPDIR)/gnuradio_swig_py_general.Std $(DEPDIR)/gnuradio_swig_py_general.d
+       echo "" >> $(DEPDIR)/gnuradio_swig_py_general.d
+       $(SED) -e '1d;s, \\,,g;s, ,,g' < $(DEPDIR)/gnuradio_swig_py_general.Std | \
+               awk '{ printf "%s:\n\n", $$0 }' >> $(DEPDIR)/gnuradio_swig_py_general.d
+       $(RM) $(DEPDIR)/gnuradio_swig_py_general.Std
+       touch $(DEPDIR)/gnuradio_swig_py_general-generate-stamp
+
+# KLUDGE: Force runtime include of a SWIG dependency file.  This is
+# not guaranteed to be portable, but will probably work.  If it works,
+# we have accurate dependencies for our swig stuff, which is good.
+
+@am__include@ @am__quote@./$(DEPDIR)/gnuradio_swig_py_general.d@am__quote@
+
+# -*- Makefile -*-
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# Makefile.swig.gen for gnuradio_swig_py_gengen.i
+
+gnuradio_swig_py_gengen_pythondir_category ?= gnuradio/gnuradio_swig_py_gengen
+gnuradio_swig_py_gengen_pylibdir_category ?= $(gnuradio_swig_py_gengen_pythondir_category)
+
+gnuradio_swig_py_gengen.h gnuradio_swig_py_gengen.py gnuradio_swig_py_gengen.cc: gnuradio_swig_py_gengen.i
+       trap 'rm -rf $(DEPDIR)/gnuradio_swig_py_gengen-generate-*' 1 2 13 15; \
+       if mkdir $(DEPDIR)/gnuradio_swig_py_gengen-generate-lock 2>/dev/null; then \
+               rm -f $(DEPDIR)/gnuradio_swig_py_gengen-generate-stamp; \
+               $(MAKE) $(AM_MAKEFLAGS) $(DEPDIR)/gnuradio_swig_py_gengen-generate-stamp WHAT=$<; \
+               rmdir $(DEPDIR)/gnuradio_swig_py_gengen-generate-lock; \
+       else \
+               while test -d $(DEPDIR)/gnuradio_swig_py_gengen-generate-lock; do \
+                       sleep 1; \
+               done; \
+               test -f $(DEPDIR)/gnuradio_swig_py_gengen-generate-stamp; \
+               exit $$?; \
+       fi;
+
+$(DEPDIR)/gnuradio_swig_py_gengen-generate-stamp:
+       if $(SWIG) $(STD_SWIG_PYTHON_ARGS) $(gnuradio_swig_py_gengen_swig_args) \
+               -MD -MF $(DEPDIR)/gnuradio_swig_py_gengen.Std \
+               -module gnuradio_swig_py_gengen -o gnuradio_swig_py_gengen.cc $(WHAT); then \
+           if test $(host_os) = mingw32; then \
+               $(RM) $(DEPDIR)/gnuradio_swig_py_gengen.Sd; \
+               $(SED) 's,\\\\,/,g' < $(DEPDIR)/gnuradio_swig_py_gengen.Std \
+                       > $(DEPDIR)/gnuradio_swig_py_gengen.Sd; \
+               $(RM) $(DEPDIR)/gnuradio_swig_py_gengen.Std; \
+               $(MV) $(DEPDIR)/gnuradio_swig_py_gengen.Sd $(DEPDIR)/gnuradio_swig_py_gengen.Std; \
+           fi; \
+       else \
+           $(RM) $(DEPDIR)/gnuradio_swig_py_gengen.S*; exit 1; \
+       fi;
+       $(RM) $(DEPDIR)/gnuradio_swig_py_gengen.d
+       cp $(DEPDIR)/gnuradio_swig_py_gengen.Std $(DEPDIR)/gnuradio_swig_py_gengen.d
+       echo "" >> $(DEPDIR)/gnuradio_swig_py_gengen.d
+       $(SED) -e '1d;s, \\,,g;s, ,,g' < $(DEPDIR)/gnuradio_swig_py_gengen.Std | \
+               awk '{ printf "%s:\n\n", $$0 }' >> $(DEPDIR)/gnuradio_swig_py_gengen.d
+       $(RM) $(DEPDIR)/gnuradio_swig_py_gengen.Std
+       touch $(DEPDIR)/gnuradio_swig_py_gengen-generate-stamp
+
+# KLUDGE: Force runtime include of a SWIG dependency file.  This is
+# not guaranteed to be portable, but will probably work.  If it works,
+# we have accurate dependencies for our swig stuff, which is good.
+
+@am__include@ @am__quote@./$(DEPDIR)/gnuradio_swig_py_gengen.d@am__quote@
+
+# -*- Makefile -*-
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# Makefile.swig.gen for gnuradio_swig_py_filter.i
+
+gnuradio_swig_py_filter_pythondir_category ?= gnuradio/gnuradio_swig_py_filter
+gnuradio_swig_py_filter_pylibdir_category ?= $(gnuradio_swig_py_filter_pythondir_category)
+
+gnuradio_swig_py_filter.h gnuradio_swig_py_filter.py gnuradio_swig_py_filter.cc: gnuradio_swig_py_filter.i
+       trap 'rm -rf $(DEPDIR)/gnuradio_swig_py_filter-generate-*' 1 2 13 15; \
+       if mkdir $(DEPDIR)/gnuradio_swig_py_filter-generate-lock 2>/dev/null; then \
+               rm -f $(DEPDIR)/gnuradio_swig_py_filter-generate-stamp; \
+               $(MAKE) $(AM_MAKEFLAGS) $(DEPDIR)/gnuradio_swig_py_filter-generate-stamp WHAT=$<; \
+               rmdir $(DEPDIR)/gnuradio_swig_py_filter-generate-lock; \
+       else \
+               while test -d $(DEPDIR)/gnuradio_swig_py_filter-generate-lock; do \
+                       sleep 1; \
+               done; \
+               test -f $(DEPDIR)/gnuradio_swig_py_filter-generate-stamp; \
+               exit $$?; \
+       fi;
+
+$(DEPDIR)/gnuradio_swig_py_filter-generate-stamp:
+       if $(SWIG) $(STD_SWIG_PYTHON_ARGS) $(gnuradio_swig_py_filter_swig_args) \
+               -MD -MF $(DEPDIR)/gnuradio_swig_py_filter.Std \
+               -module gnuradio_swig_py_filter -o gnuradio_swig_py_filter.cc $(WHAT); then \
+           if test $(host_os) = mingw32; then \
+               $(RM) $(DEPDIR)/gnuradio_swig_py_filter.Sd; \
+               $(SED) 's,\\\\,/,g' < $(DEPDIR)/gnuradio_swig_py_filter.Std \
+                       > $(DEPDIR)/gnuradio_swig_py_filter.Sd; \
+               $(RM) $(DEPDIR)/gnuradio_swig_py_filter.Std; \
+               $(MV) $(DEPDIR)/gnuradio_swig_py_filter.Sd $(DEPDIR)/gnuradio_swig_py_filter.Std; \
+           fi; \
+       else \
+           $(RM) $(DEPDIR)/gnuradio_swig_py_filter.S*; exit 1; \
+       fi;
+       $(RM) $(DEPDIR)/gnuradio_swig_py_filter.d
+       cp $(DEPDIR)/gnuradio_swig_py_filter.Std $(DEPDIR)/gnuradio_swig_py_filter.d
+       echo "" >> $(DEPDIR)/gnuradio_swig_py_filter.d
+       $(SED) -e '1d;s, \\,,g;s, ,,g' < $(DEPDIR)/gnuradio_swig_py_filter.Std | \
+               awk '{ printf "%s:\n\n", $$0 }' >> $(DEPDIR)/gnuradio_swig_py_filter.d
+       $(RM) $(DEPDIR)/gnuradio_swig_py_filter.Std
+       touch $(DEPDIR)/gnuradio_swig_py_filter-generate-stamp
+
+# KLUDGE: Force runtime include of a SWIG dependency file.  This is
+# not guaranteed to be portable, but will probably work.  If it works,
+# we have accurate dependencies for our swig stuff, which is good.
+
+@am__include@ @am__quote@./$(DEPDIR)/gnuradio_swig_py_filter.d@am__quote@
+
+# -*- Makefile -*-
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# Makefile.swig.gen for gnuradio_swig_py_io.i
+
+gnuradio_swig_py_io_pythondir_category ?= gnuradio/gnuradio_swig_py_io
+gnuradio_swig_py_io_pylibdir_category ?= $(gnuradio_swig_py_io_pythondir_category)
+
+gnuradio_swig_py_io.h gnuradio_swig_py_io.py gnuradio_swig_py_io.cc: gnuradio_swig_py_io.i
+       trap 'rm -rf $(DEPDIR)/gnuradio_swig_py_io-generate-*' 1 2 13 15; \
+       if mkdir $(DEPDIR)/gnuradio_swig_py_io-generate-lock 2>/dev/null; then \
+               rm -f $(DEPDIR)/gnuradio_swig_py_io-generate-stamp; \
+               $(MAKE) $(AM_MAKEFLAGS) $(DEPDIR)/gnuradio_swig_py_io-generate-stamp WHAT=$<; \
+               rmdir $(DEPDIR)/gnuradio_swig_py_io-generate-lock; \
+       else \
+               while test -d $(DEPDIR)/gnuradio_swig_py_io-generate-lock; do \
+                       sleep 1; \
+               done; \
+               test -f $(DEPDIR)/gnuradio_swig_py_io-generate-stamp; \
+               exit $$?; \
+       fi;
+
+$(DEPDIR)/gnuradio_swig_py_io-generate-stamp:
+       if $(SWIG) $(STD_SWIG_PYTHON_ARGS) $(gnuradio_swig_py_io_swig_args) \
+               -MD -MF $(DEPDIR)/gnuradio_swig_py_io.Std \
+               -module gnuradio_swig_py_io -o gnuradio_swig_py_io.cc $(WHAT); then \
+           if test $(host_os) = mingw32; then \
+               $(RM) $(DEPDIR)/gnuradio_swig_py_io.Sd; \
+               $(SED) 's,\\\\,/,g' < $(DEPDIR)/gnuradio_swig_py_io.Std \
+                       > $(DEPDIR)/gnuradio_swig_py_io.Sd; \
+               $(RM) $(DEPDIR)/gnuradio_swig_py_io.Std; \
+               $(MV) $(DEPDIR)/gnuradio_swig_py_io.Sd $(DEPDIR)/gnuradio_swig_py_io.Std; \
+           fi; \
+       else \
+           $(RM) $(DEPDIR)/gnuradio_swig_py_io.S*; exit 1; \
+       fi;
+       $(RM) $(DEPDIR)/gnuradio_swig_py_io.d
+       cp $(DEPDIR)/gnuradio_swig_py_io.Std $(DEPDIR)/gnuradio_swig_py_io.d
+       echo "" >> $(DEPDIR)/gnuradio_swig_py_io.d
+       $(SED) -e '1d;s, \\,,g;s, ,,g' < $(DEPDIR)/gnuradio_swig_py_io.Std | \
+               awk '{ printf "%s:\n\n", $$0 }' >> $(DEPDIR)/gnuradio_swig_py_io.d
+       $(RM) $(DEPDIR)/gnuradio_swig_py_io.Std
+       touch $(DEPDIR)/gnuradio_swig_py_io-generate-stamp
+
+# KLUDGE: Force runtime include of a SWIG dependency file.  This is
+# not guaranteed to be portable, but will probably work.  If it works,
+# we have accurate dependencies for our swig stuff, which is good.
+
+@am__include@ @am__quote@./$(DEPDIR)/gnuradio_swig_py_io.d@am__quote@
+
+# -*- Makefile -*-
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# Makefile.swig.gen for gnuradio_swig_py_hier.i
+
+gnuradio_swig_py_hier_pythondir_category ?= gnuradio/gnuradio_swig_py_hier
+gnuradio_swig_py_hier_pylibdir_category ?= $(gnuradio_swig_py_hier_pythondir_category)
+
+gnuradio_swig_py_hier.h gnuradio_swig_py_hier.py gnuradio_swig_py_hier.cc: gnuradio_swig_py_hier.i
+       trap 'rm -rf $(DEPDIR)/gnuradio_swig_py_hier-generate-*' 1 2 13 15; \
+       if mkdir $(DEPDIR)/gnuradio_swig_py_hier-generate-lock 2>/dev/null; then \
+               rm -f $(DEPDIR)/gnuradio_swig_py_hier-generate-stamp; \
+               $(MAKE) $(AM_MAKEFLAGS) $(DEPDIR)/gnuradio_swig_py_hier-generate-stamp WHAT=$<; \
+               rmdir $(DEPDIR)/gnuradio_swig_py_hier-generate-lock; \
+       else \
+               while test -d $(DEPDIR)/gnuradio_swig_py_hier-generate-lock; do \
+                       sleep 1; \
+               done; \
+               test -f $(DEPDIR)/gnuradio_swig_py_hier-generate-stamp; \
+               exit $$?; \
+       fi;
+
+$(DEPDIR)/gnuradio_swig_py_hier-generate-stamp:
+       if $(SWIG) $(STD_SWIG_PYTHON_ARGS) $(gnuradio_swig_py_hier_swig_args) \
+               -MD -MF $(DEPDIR)/gnuradio_swig_py_hier.Std \
+               -module gnuradio_swig_py_hier -o gnuradio_swig_py_hier.cc $(WHAT); then \
+           if test $(host_os) = mingw32; then \
+               $(RM) $(DEPDIR)/gnuradio_swig_py_hier.Sd; \
+               $(SED) 's,\\\\,/,g' < $(DEPDIR)/gnuradio_swig_py_hier.Std \
+                       > $(DEPDIR)/gnuradio_swig_py_hier.Sd; \
+               $(RM) $(DEPDIR)/gnuradio_swig_py_hier.Std; \
+               $(MV) $(DEPDIR)/gnuradio_swig_py_hier.Sd $(DEPDIR)/gnuradio_swig_py_hier.Std; \
+           fi; \
+       else \
+           $(RM) $(DEPDIR)/gnuradio_swig_py_hier.S*; exit 1; \
+       fi;
+       $(RM) $(DEPDIR)/gnuradio_swig_py_hier.d
+       cp $(DEPDIR)/gnuradio_swig_py_hier.Std $(DEPDIR)/gnuradio_swig_py_hier.d
+       echo "" >> $(DEPDIR)/gnuradio_swig_py_hier.d
+       $(SED) -e '1d;s, \\,,g;s, ,,g' < $(DEPDIR)/gnuradio_swig_py_hier.Std | \
+               awk '{ printf "%s:\n\n", $$0 }' >> $(DEPDIR)/gnuradio_swig_py_hier.d
+       $(RM) $(DEPDIR)/gnuradio_swig_py_hier.Std
+       touch $(DEPDIR)/gnuradio_swig_py_hier-generate-stamp
+
+# KLUDGE: Force runtime include of a SWIG dependency file.  This is
+# not guaranteed to be portable, but will probably work.  If it works,
+# we have accurate dependencies for our swig stuff, which is good.
+
+@am__include@ @am__quote@./$(DEPDIR)/gnuradio_swig_py_hier.d@am__quote@
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gnuradio-core/src/lib/swig/gnuradio_swig_bug_workaround.h b/gnuradio-core/src/lib/swig/gnuradio_swig_bug_workaround.h
new file mode 100644 (file)
index 0000000..8f7eea0
--- /dev/null
@@ -0,0 +1,45 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifndef INCLUDED_GNURADIO_SWIG_BUG_WORKAROUND_H
+#define INCLUDED_GNURADIO_SWIG_BUG_WORKAROUND_H
+
+/*
+ * This include files works around a bug in SWIG 1.3.21 and 22
+ * where it fails to emit these declarations when doing
+ * %import "gnuradio.i"
+ */
+
+class gr_base_error_handler;
+class gr_basic_block;
+class gr_block;
+class gr_error_handler;
+class gr_file_error_handler;
+class gr_hier_block2;
+class gr_msg_handler;
+class gr_msg_queue;
+class gr_sync_block;
+class gr_sync_decimator;
+class gr_sync_interpolator;
+class gr_top_block;
+
+#endif /* INCLUDED_GNURADIO_SWIG_BUG_WORKAROUND_H */
diff --git a/gnuradio-core/src/lib/viterbi/Makefile.in b/gnuradio-core/src/lib/viterbi/Makefile.in
new file mode 100644 (file)
index 0000000..68723ed
--- /dev/null
@@ -0,0 +1,1050 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2008 Free Software Foundation, Inc.
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(noinst_HEADERS) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(top_srcdir)/Makefile.common
+noinst_PROGRAMS = encode$(EXEEXT) decode$(EXEEXT)
+subdir = gnuradio-core/src/lib/viterbi
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+LTLIBRARIES = $(noinst_LTLIBRARIES)
+libviterbi_la_LIBADD =
+am_libviterbi_la_OBJECTS = metrics.lo tab.lo viterbi.lo
+libviterbi_la_OBJECTS = $(am_libviterbi_la_OBJECTS)
+PROGRAMS = $(noinst_PROGRAMS)
+am_decode_OBJECTS = decode.$(OBJEXT)
+decode_OBJECTS = $(am_decode_OBJECTS)
+decode_DEPENDENCIES = libviterbi.la
+am_encode_OBJECTS = encode.$(OBJEXT)
+encode_OBJECTS = $(am_encode_OBJECTS)
+encode_DEPENDENCIES = libviterbi.la
+DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
+depcomp = $(SHELL) $(top_srcdir)/depcomp
+am__depfiles_maybe = depfiles
+COMPILE = $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) \
+       $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
+LTCOMPILE = $(LIBTOOL) --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
+CCLD = $(CC)
+LINK = $(LIBTOOL) --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CCLD) $(AM_CFLAGS) $(CFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+CXXCOMPILE = $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+LTCXXCOMPILE = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+CXXLD = $(CXX)
+CXXLINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CXXLD) $(AM_CXXFLAGS) $(CXXFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+SOURCES = $(libviterbi_la_SOURCES) $(decode_SOURCES) $(encode_SOURCES)
+DIST_SOURCES = $(libviterbi_la_SOURCES) $(decode_SOURCES) \
+       $(encode_SOURCES)
+HEADERS = $(noinst_HEADERS)
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = -lm
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+noinst_LTLIBRARIES = libviterbi.la
+libviterbi_la_SOURCES = \
+    metrics.c          \
+    tab.c              \
+    viterbi.c
+
+noinst_HEADERS = \
+    viterbi.h
+
+encode_SOURCES = encode.cc
+encode_LDADD = libviterbi.la
+decode_SOURCES = decode.cc
+decode_LDADD = libviterbi.la
+all: all-am
+
+.SUFFIXES:
+.SUFFIXES: .c .cc .lo .o .obj
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gnuradio-core/src/lib/viterbi/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gnuradio-core/src/lib/viterbi/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+clean-noinstLTLIBRARIES:
+       -test -z "$(noinst_LTLIBRARIES)" || rm -f $(noinst_LTLIBRARIES)
+       @list='$(noinst_LTLIBRARIES)'; for p in $$list; do \
+         dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
+         test "$$dir" != "$$p" || dir=.; \
+         echo "rm -f \"$${dir}/so_locations\""; \
+         rm -f "$${dir}/so_locations"; \
+       done
+libviterbi.la: $(libviterbi_la_OBJECTS) $(libviterbi_la_DEPENDENCIES) 
+       $(LINK)  $(libviterbi_la_OBJECTS) $(libviterbi_la_LIBADD) $(LIBS)
+
+clean-noinstPROGRAMS:
+       @list='$(noinst_PROGRAMS)'; for p in $$list; do \
+         f=`echo $$p|sed 's/$(EXEEXT)$$//'`; \
+         echo " rm -f $$p $$f"; \
+         rm -f $$p $$f ; \
+       done
+decode$(EXEEXT): $(decode_OBJECTS) $(decode_DEPENDENCIES) 
+       @rm -f decode$(EXEEXT)
+       $(CXXLINK) $(decode_OBJECTS) $(decode_LDADD) $(LIBS)
+encode$(EXEEXT): $(encode_OBJECTS) $(encode_DEPENDENCIES) 
+       @rm -f encode$(EXEEXT)
+       $(CXXLINK) $(encode_OBJECTS) $(encode_LDADD) $(LIBS)
+
+mostlyclean-compile:
+       -rm -f *.$(OBJEXT)
+
+distclean-compile:
+       -rm -f *.tab.c
+
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/decode.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/encode.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/metrics.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/tab.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/viterbi.Plo@am__quote@
+
+.c.o:
+@am__fastdepCC_TRUE@   $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(COMPILE) -c $<
+
+.c.obj:
+@am__fastdepCC_TRUE@   $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(COMPILE) -c `$(CYGPATH_W) '$<'`
+
+.c.lo:
+@am__fastdepCC_TRUE@   $(LTCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(LTCOMPILE) -c -o $@ $<
+
+.cc.o:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ $<
+
+.cc.obj:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ `$(CYGPATH_W) '$<'`
+
+.cc.lo:
+@am__fastdepCXX_TRUE@  $(LTCXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LTCXXCOMPILE) -c -o $@ $<
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile $(LTLIBRARIES) $(PROGRAMS) $(HEADERS)
+installdirs:
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool clean-noinstLTLIBRARIES \
+       clean-noinstPROGRAMS mostlyclean-am
+
+distclean: distclean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+distclean-am: clean-am distclean-compile distclean-generic \
+       distclean-tags
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-compile mostlyclean-generic \
+       mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: install-am install-strip
+
+.PHONY: CTAGS GTAGS all all-am check check-am clean clean-generic \
+       clean-libtool clean-noinstLTLIBRARIES clean-noinstPROGRAMS \
+       ctags dist-hook distclean distclean-compile distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-compile \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       tags uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gnuradio-core/src/python/Makefile.in b/gnuradio-core/src/python/Makefile.in
new file mode 100644 (file)
index 0000000..75faae3
--- /dev/null
@@ -0,0 +1,1035 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(noinst_PYTHON) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(top_srcdir)/Makefile.common
+subdir = gnuradio-core/src/python
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+py_compile = $(top_srcdir)/py-compile
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+SUBDIRS = gnuradio bin
+noinst_PYTHON = \
+       build_utils.py          \
+       build_utils_codes.py    
+
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gnuradio-core/src/python/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gnuradio-core/src/python/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-recursive
+all-am: Makefile
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive dist-hook distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gnuradio-core/src/python/bin/Makefile.in b/gnuradio-core/src/python/bin/Makefile.in
new file mode 100644 (file)
index 0000000..e6b71a0
--- /dev/null
@@ -0,0 +1,878 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2005,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gnuradio-core/src/python/bin
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SCRIPTS = $(noinst_SCRIPTS)
+SOURCES =
+DIST_SOURCES =
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+EXTRA_DIST = microtune.py
+noinst_SCRIPTS = \
+       microtune.py            
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gnuradio-core/src/python/bin/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gnuradio-core/src/python/bin/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile $(SCRIPTS)
+installdirs:
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am install-dvi \
+       install-dvi-am install-exec install-exec-am install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-ps install-ps-am \
+       install-strip installcheck installcheck-am installdirs \
+       maintainer-clean maintainer-clean-generic mostlyclean \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gnuradio-core/src/python/gnuradio/Makefile.in b/gnuradio-core/src/python/gnuradio/Makefile.in
new file mode 100644 (file)
index 0000000..8d4de00
--- /dev/null
@@ -0,0 +1,1083 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(grpython_PYTHON) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(top_srcdir)/Makefile.common
+subdir = gnuradio-core/src/python/gnuradio
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(grpythondir)"
+grpythonPYTHON_INSTALL = $(INSTALL_DATA)
+py_compile = $(top_srcdir)/py-compile
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+SUBDIRS = gr gru gruimpl blks2 blks2impl vocoder
+grpython_PYTHON = \
+       __init__.py                     \
+       audio.py                        \
+       eng_notation.py                 \
+       eng_option.py                   \
+       modulation_utils.py             \
+       ofdm_packet_utils.py            \
+       packet_utils.py                 \
+       gr_unittest.py                  \
+       optfir.py                       \
+       window.py
+
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gnuradio-core/src/python/gnuradio/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gnuradio-core/src/python/gnuradio/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-grpythonPYTHON: $(grpython_PYTHON)
+       @$(NORMAL_INSTALL)
+       test -z "$(grpythondir)" || $(MKDIR_P) "$(DESTDIR)$(grpythondir)"
+       @list='$(grpython_PYTHON)'; dlist=''; for p in $$list; do\
+         if test -f "$$p"; then b=; else b="$(srcdir)/"; fi; \
+         if test -f $$b$$p; then \
+           f=$(am__strip_dir) \
+           dlist="$$dlist $$f"; \
+           echo " $(grpythonPYTHON_INSTALL) '$$b$$p' '$(DESTDIR)$(grpythondir)/$$f'"; \
+           $(grpythonPYTHON_INSTALL) "$$b$$p" "$(DESTDIR)$(grpythondir)/$$f"; \
+         else :; fi; \
+       done; \
+       if test -n "$$dlist"; then \
+         if test -z "$(DESTDIR)"; then \
+           PYTHON=$(PYTHON) $(py_compile) --basedir "$(grpythondir)" $$dlist; \
+         else \
+           PYTHON=$(PYTHON) $(py_compile) --destdir "$(DESTDIR)" --basedir "$(grpythondir)" $$dlist; \
+         fi; \
+       else :; fi
+
+uninstall-grpythonPYTHON:
+       @$(NORMAL_UNINSTALL)
+       @list='$(grpython_PYTHON)'; dlist=''; for p in $$list; do\
+         f=$(am__strip_dir) \
+         rm -f "$(DESTDIR)$(grpythondir)/$$f"; \
+         rm -f "$(DESTDIR)$(grpythondir)/$${f}c"; \
+         rm -f "$(DESTDIR)$(grpythondir)/$${f}o"; \
+       done
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-recursive
+all-am: Makefile
+installdirs: installdirs-recursive
+installdirs-am:
+       for dir in "$(DESTDIR)$(grpythondir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am: install-grpythonPYTHON
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am: uninstall-grpythonPYTHON
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive dist-hook distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-grpythonPYTHON install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-ps install-ps-am \
+       install-strip installcheck installcheck-am installdirs \
+       installdirs-am maintainer-clean maintainer-clean-generic \
+       mostlyclean mostlyclean-generic mostlyclean-libtool pdf pdf-am \
+       ps ps-am tags tags-recursive uninstall uninstall-am \
+       uninstall-grpythonPYTHON
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gnuradio-core/src/python/gnuradio/blks2/Makefile.in b/gnuradio-core/src/python/gnuradio/blks2/Makefile.in
new file mode 100644 (file)
index 0000000..19c1e8c
--- /dev/null
@@ -0,0 +1,920 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2005,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(grblks2python_PYTHON) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(top_srcdir)/Makefile.common
+subdir = gnuradio-core/src/python/gnuradio/blks2
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(grblks2pythondir)"
+grblks2pythonPYTHON_INSTALL = $(INSTALL_DATA)
+py_compile = $(top_srcdir)/py-compile
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+
+# EXTRA_DIST = run_tests.in
+# TESTS = run_tests
+grblks2pythondir = $(grpythondir)/blks2
+grblks2python_PYTHON = \
+       __init__.py             
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gnuradio-core/src/python/gnuradio/blks2/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gnuradio-core/src/python/gnuradio/blks2/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-grblks2pythonPYTHON: $(grblks2python_PYTHON)
+       @$(NORMAL_INSTALL)
+       test -z "$(grblks2pythondir)" || $(MKDIR_P) "$(DESTDIR)$(grblks2pythondir)"
+       @list='$(grblks2python_PYTHON)'; dlist=''; for p in $$list; do\
+         if test -f "$$p"; then b=; else b="$(srcdir)/"; fi; \
+         if test -f $$b$$p; then \
+           f=$(am__strip_dir) \
+           dlist="$$dlist $$f"; \
+           echo " $(grblks2pythonPYTHON_INSTALL) '$$b$$p' '$(DESTDIR)$(grblks2pythondir)/$$f'"; \
+           $(grblks2pythonPYTHON_INSTALL) "$$b$$p" "$(DESTDIR)$(grblks2pythondir)/$$f"; \
+         else :; fi; \
+       done; \
+       if test -n "$$dlist"; then \
+         if test -z "$(DESTDIR)"; then \
+           PYTHON=$(PYTHON) $(py_compile) --basedir "$(grblks2pythondir)" $$dlist; \
+         else \
+           PYTHON=$(PYTHON) $(py_compile) --destdir "$(DESTDIR)" --basedir "$(grblks2pythondir)" $$dlist; \
+         fi; \
+       else :; fi
+
+uninstall-grblks2pythonPYTHON:
+       @$(NORMAL_UNINSTALL)
+       @list='$(grblks2python_PYTHON)'; dlist=''; for p in $$list; do\
+         f=$(am__strip_dir) \
+         rm -f "$(DESTDIR)$(grblks2pythondir)/$$f"; \
+         rm -f "$(DESTDIR)$(grblks2pythondir)/$${f}c"; \
+         rm -f "$(DESTDIR)$(grblks2pythondir)/$${f}o"; \
+       done
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile
+installdirs:
+       for dir in "$(DESTDIR)$(grblks2pythondir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-grblks2pythonPYTHON
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-grblks2pythonPYTHON
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am install-dvi \
+       install-dvi-am install-exec install-exec-am \
+       install-grblks2pythonPYTHON install-html install-html-am \
+       install-info install-info-am install-man install-pdf \
+       install-pdf-am install-ps install-ps-am install-strip \
+       installcheck installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am uninstall uninstall-am \
+       uninstall-grblks2pythonPYTHON
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gnuradio-core/src/python/gnuradio/blks2impl/Makefile.in b/gnuradio-core/src/python/gnuradio/blks2impl/Makefile.in
new file mode 100644 (file)
index 0000000..322e8ad
--- /dev/null
@@ -0,0 +1,953 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2005,2007,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(grblkspython_PYTHON) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(top_srcdir)/Makefile.common
+subdir = gnuradio-core/src/python/gnuradio/blks2impl
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(grblkspythondir)"
+grblkspythonPYTHON_INSTALL = $(INSTALL_DATA)
+py_compile = $(top_srcdir)/py-compile
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+
+# EXTRA_DIST = run_tests.in
+# TESTS = run_tests
+grblkspythondir = $(grpythondir)/blks2impl
+grblkspython_PYTHON = \
+       __init__.py             \
+       am_demod.py             \
+       channel_model.py        \
+       dbpsk.py                \
+       dqpsk.py                \
+       d8psk.py                \
+       filterbank.py           \
+       fm_demod.py             \
+       fm_emph.py              \
+       gmsk.py                 \
+       cpm.py                  \
+       logpwrfft.py            \
+       nbfm_rx.py              \
+       nbfm_tx.py              \
+       ofdm.py                 \
+       ofdm_receiver.py        \
+       ofdm_sync_fixed.py      \
+       ofdm_sync_pn.py         \
+       ofdm_sync_pnac.py       \
+       ofdm_sync_ml.py         \
+       pkt.py                  \
+       psk.py                  \
+       qam.py                  \
+       qam8.py                 \
+       qam16.py                \
+       qam64.py                \
+       qam256.py               \
+       rational_resampler.py   \
+       standard_squelch.py     \
+       stream_to_vector_decimator.py \
+       wfm_rcv.py              \
+       wfm_rcv_fmdet.py        \
+       wfm_rcv_pll.py          \
+       wfm_tx.py               
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gnuradio-core/src/python/gnuradio/blks2impl/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gnuradio-core/src/python/gnuradio/blks2impl/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-grblkspythonPYTHON: $(grblkspython_PYTHON)
+       @$(NORMAL_INSTALL)
+       test -z "$(grblkspythondir)" || $(MKDIR_P) "$(DESTDIR)$(grblkspythondir)"
+       @list='$(grblkspython_PYTHON)'; dlist=''; for p in $$list; do\
+         if test -f "$$p"; then b=; else b="$(srcdir)/"; fi; \
+         if test -f $$b$$p; then \
+           f=$(am__strip_dir) \
+           dlist="$$dlist $$f"; \
+           echo " $(grblkspythonPYTHON_INSTALL) '$$b$$p' '$(DESTDIR)$(grblkspythondir)/$$f'"; \
+           $(grblkspythonPYTHON_INSTALL) "$$b$$p" "$(DESTDIR)$(grblkspythondir)/$$f"; \
+         else :; fi; \
+       done; \
+       if test -n "$$dlist"; then \
+         if test -z "$(DESTDIR)"; then \
+           PYTHON=$(PYTHON) $(py_compile) --basedir "$(grblkspythondir)" $$dlist; \
+         else \
+           PYTHON=$(PYTHON) $(py_compile) --destdir "$(DESTDIR)" --basedir "$(grblkspythondir)" $$dlist; \
+         fi; \
+       else :; fi
+
+uninstall-grblkspythonPYTHON:
+       @$(NORMAL_UNINSTALL)
+       @list='$(grblkspython_PYTHON)'; dlist=''; for p in $$list; do\
+         f=$(am__strip_dir) \
+         rm -f "$(DESTDIR)$(grblkspythondir)/$$f"; \
+         rm -f "$(DESTDIR)$(grblkspythondir)/$${f}c"; \
+         rm -f "$(DESTDIR)$(grblkspythondir)/$${f}o"; \
+       done
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile
+installdirs:
+       for dir in "$(DESTDIR)$(grblkspythondir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-grblkspythonPYTHON
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-grblkspythonPYTHON
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am install-dvi \
+       install-dvi-am install-exec install-exec-am \
+       install-grblkspythonPYTHON install-html install-html-am \
+       install-info install-info-am install-man install-pdf \
+       install-pdf-am install-ps install-ps-am install-strip \
+       installcheck installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am uninstall uninstall-am \
+       uninstall-grblkspythonPYTHON
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gnuradio-core/src/python/gnuradio/gr/Makefile.in b/gnuradio-core/src/python/gnuradio/gr/Makefile.in
new file mode 100644 (file)
index 0000000..7a3132b
--- /dev/null
@@ -0,0 +1,1078 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004,2005,2006,2008 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(grgrpython_PYTHON) $(noinst_PYTHON) \
+       $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(srcdir)/run_tests.in $(top_srcdir)/Makefile.common
+subdir = gnuradio-core/src/python/gnuradio/gr
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES = run_tests
+SOURCES =
+DIST_SOURCES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(grgrpythondir)"
+grgrpythonPYTHON_INSTALL = $(INSTALL_DATA)
+py_compile = $(top_srcdir)/py-compile
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+EXTRA_DIST = \
+       run_tests.in            \
+       test_16bit_1chunk.wav
+
+TESTS = \
+       run_tests
+
+grgrpythondir = $(grpythondir)/gr
+grgrpython_PYTHON = \
+       __init__.py             \
+       exceptions.py           \
+       gr_threading.py         \
+       gr_threading_23.py      \
+       gr_threading_24.py      \
+       hier_block2.py          \
+       prefs.py                \
+       scheduler.py            \
+       top_block.py            \
+       pubsub.py
+
+noinst_PYTHON = \
+       benchmark_filters.py            \
+       qa_add_and_friends.py           \
+       qa_add_v_and_friends.py         \
+       qa_agc.py                       \
+       qa_argmax.py                    \
+       qa_bin_statistics.py            \
+       qa_classify.py                  \
+       qa_cma_equalizer.py             \
+       qa_complex_to_xxx.py            \
+       qa_constellation_decoder_cb.py  \
+       qa_correlate_access_code.py     \
+       qa_delay.py                     \
+       qa_diff_encoder.py              \
+       qa_diff_phasor_cc.py            \
+       qa_ecc_ccsds_27.py              \
+       qa_feval.py                     \
+       qa_fft.py                       \
+       qa_fft_filter.py                \
+       qa_filter_delay_fc.py           \
+       qa_fractional_interpolator.py   \
+       qa_frequency_modulator.py       \
+       qa_fsk_stuff.py                 \
+       qa_glfsr_source.py              \
+       qa_goertzel.py                  \
+       qa_head.py                      \
+       qa_hier_block2.py               \
+       qa_hilbert.py                   \
+       qa_iir.py                       \
+       qa_interleave.py                \
+       qa_interp_fir_filter.py         \
+       qa_kludge_copy.py               \
+       qa_kludged_imports.py           \
+       qa_max.py                       \
+       qa_message.py                   \
+       qa_mute.py                      \
+       qa_nlog10.py                    \
+       qa_noise.py                     \
+       qa_ofdm_insert_preamble.py      \
+       qa_packed_to_unpacked.py        \
+       qa_pipe_fittings.py             \
+       qa_pll_carriertracking.py       \
+       qa_pll_freqdet.py               \
+       qa_pll_refout.py                \
+       qa_pn_correlator_cc.py          \
+       qa_rational_resampler.py        \
+       qa_sig_source.py                \
+       qa_single_pole_iir.py           \
+       qa_single_pole_iir_cc.py        \
+       qa_skiphead.py                  \
+       qa_unpack_k_bits.py             \
+       qa_repeat.py                    \
+       qa_scrambler.py                 \
+       qa_vector_sink_source.py        
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gnuradio-core/src/python/gnuradio/gr/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gnuradio-core/src/python/gnuradio/gr/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+run_tests: $(top_builddir)/config.status $(srcdir)/run_tests.in
+       cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-grgrpythonPYTHON: $(grgrpython_PYTHON)
+       @$(NORMAL_INSTALL)
+       test -z "$(grgrpythondir)" || $(MKDIR_P) "$(DESTDIR)$(grgrpythondir)"
+       @list='$(grgrpython_PYTHON)'; dlist=''; for p in $$list; do\
+         if test -f "$$p"; then b=; else b="$(srcdir)/"; fi; \
+         if test -f $$b$$p; then \
+           f=$(am__strip_dir) \
+           dlist="$$dlist $$f"; \
+           echo " $(grgrpythonPYTHON_INSTALL) '$$b$$p' '$(DESTDIR)$(grgrpythondir)/$$f'"; \
+           $(grgrpythonPYTHON_INSTALL) "$$b$$p" "$(DESTDIR)$(grgrpythondir)/$$f"; \
+         else :; fi; \
+       done; \
+       if test -n "$$dlist"; then \
+         if test -z "$(DESTDIR)"; then \
+           PYTHON=$(PYTHON) $(py_compile) --basedir "$(grgrpythondir)" $$dlist; \
+         else \
+           PYTHON=$(PYTHON) $(py_compile) --destdir "$(DESTDIR)" --basedir "$(grgrpythondir)" $$dlist; \
+         fi; \
+       else :; fi
+
+uninstall-grgrpythonPYTHON:
+       @$(NORMAL_UNINSTALL)
+       @list='$(grgrpython_PYTHON)'; dlist=''; for p in $$list; do\
+         f=$(am__strip_dir) \
+         rm -f "$(DESTDIR)$(grgrpythondir)/$$f"; \
+         rm -f "$(DESTDIR)$(grgrpythondir)/$${f}c"; \
+         rm -f "$(DESTDIR)$(grgrpythondir)/$${f}o"; \
+       done
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+check-TESTS: $(TESTS)
+       @failed=0; all=0; xfail=0; xpass=0; skip=0; \
+       srcdir=$(srcdir); export srcdir; \
+       list=' $(TESTS) '; \
+       if test -n "$$list"; then \
+         for tst in $$list; do \
+           if test -f ./$$tst; then dir=./; \
+           elif test -f $$tst; then dir=; \
+           else dir="$(srcdir)/"; fi; \
+           if $(TESTS_ENVIRONMENT) $${dir}$$tst; then \
+             all=`expr $$all + 1`; \
+             case " $(XFAIL_TESTS) " in \
+             *[\ \     ]$$tst[\ \      ]*) \
+               xpass=`expr $$xpass + 1`; \
+               failed=`expr $$failed + 1`; \
+               echo "XPASS: $$tst"; \
+             ;; \
+             *) \
+               echo "PASS: $$tst"; \
+             ;; \
+             esac; \
+           elif test $$? -ne 77; then \
+             all=`expr $$all + 1`; \
+             case " $(XFAIL_TESTS) " in \
+             *[\ \     ]$$tst[\ \      ]*) \
+               xfail=`expr $$xfail + 1`; \
+               echo "XFAIL: $$tst"; \
+             ;; \
+             *) \
+               failed=`expr $$failed + 1`; \
+               echo "FAIL: $$tst"; \
+             ;; \
+             esac; \
+           else \
+             skip=`expr $$skip + 1`; \
+             echo "SKIP: $$tst"; \
+           fi; \
+         done; \
+         if test "$$all" -eq 1; then \
+           tests="test"; \
+           All=""; \
+         else \
+           tests="tests"; \
+           All="All "; \
+         fi; \
+         if test "$$failed" -eq 0; then \
+           if test "$$xfail" -eq 0; then \
+             banner="$$All$$all $$tests passed"; \
+           else \
+             if test "$$xfail" -eq 1; then failures=failure; else failures=failures; fi; \
+             banner="$$All$$all $$tests behaved as expected ($$xfail expected $$failures)"; \
+           fi; \
+         else \
+           if test "$$xpass" -eq 0; then \
+             banner="$$failed of $$all $$tests failed"; \
+           else \
+             if test "$$xpass" -eq 1; then passes=pass; else passes=passes; fi; \
+             banner="$$failed of $$all $$tests did not behave as expected ($$xpass unexpected $$passes)"; \
+           fi; \
+         fi; \
+         dashes="$$banner"; \
+         skipped=""; \
+         if test "$$skip" -ne 0; then \
+           if test "$$skip" -eq 1; then \
+             skipped="($$skip test was not run)"; \
+           else \
+             skipped="($$skip tests were not run)"; \
+           fi; \
+           test `echo "$$skipped" | wc -c` -le `echo "$$banner" | wc -c` || \
+             dashes="$$skipped"; \
+         fi; \
+         report=""; \
+         if test "$$failed" -ne 0 && test -n "$(PACKAGE_BUGREPORT)"; then \
+           report="Please report to $(PACKAGE_BUGREPORT)"; \
+           test `echo "$$report" | wc -c` -le `echo "$$banner" | wc -c` || \
+             dashes="$$report"; \
+         fi; \
+         dashes=`echo "$$dashes" | sed s/./=/g`; \
+         echo "$$dashes"; \
+         echo "$$banner"; \
+         test -z "$$skipped" || echo "$$skipped"; \
+         test -z "$$report" || echo "$$report"; \
+         echo "$$dashes"; \
+         test "$$failed" -eq 0; \
+       else :; fi
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+       $(MAKE) $(AM_MAKEFLAGS) check-TESTS
+check: check-am
+all-am: Makefile
+installdirs:
+       for dir in "$(DESTDIR)$(grgrpythondir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-grgrpythonPYTHON
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-grgrpythonPYTHON
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-TESTS check-am clean clean-generic \
+       clean-libtool dist-hook distclean distclean-generic \
+       distclean-libtool distdir dvi dvi-am html html-am info info-am \
+       install install-am install-data install-data-am install-dvi \
+       install-dvi-am install-exec install-exec-am \
+       install-grgrpythonPYTHON install-html install-html-am \
+       install-info install-info-am install-man install-pdf \
+       install-pdf-am install-ps install-ps-am install-strip \
+       installcheck installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am uninstall uninstall-am \
+       uninstall-grgrpythonPYTHON
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gnuradio-core/src/python/gnuradio/gru/Makefile.in b/gnuradio-core/src/python/gnuradio/gru/Makefile.in
new file mode 100644 (file)
index 0000000..1dfa86e
--- /dev/null
@@ -0,0 +1,920 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2005,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(grblkspython_PYTHON) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(top_srcdir)/Makefile.common
+subdir = gnuradio-core/src/python/gnuradio/gru
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(grblkspythondir)"
+grblkspythonPYTHON_INSTALL = $(INSTALL_DATA)
+py_compile = $(top_srcdir)/py-compile
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+
+# EXTRA_DIST = run_tests.in
+# TESTS = run_tests
+grblkspythondir = $(grpythondir)/gru
+grblkspython_PYTHON = \
+       __init__.py             
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gnuradio-core/src/python/gnuradio/gru/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gnuradio-core/src/python/gnuradio/gru/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-grblkspythonPYTHON: $(grblkspython_PYTHON)
+       @$(NORMAL_INSTALL)
+       test -z "$(grblkspythondir)" || $(MKDIR_P) "$(DESTDIR)$(grblkspythondir)"
+       @list='$(grblkspython_PYTHON)'; dlist=''; for p in $$list; do\
+         if test -f "$$p"; then b=; else b="$(srcdir)/"; fi; \
+         if test -f $$b$$p; then \
+           f=$(am__strip_dir) \
+           dlist="$$dlist $$f"; \
+           echo " $(grblkspythonPYTHON_INSTALL) '$$b$$p' '$(DESTDIR)$(grblkspythondir)/$$f'"; \
+           $(grblkspythonPYTHON_INSTALL) "$$b$$p" "$(DESTDIR)$(grblkspythondir)/$$f"; \
+         else :; fi; \
+       done; \
+       if test -n "$$dlist"; then \
+         if test -z "$(DESTDIR)"; then \
+           PYTHON=$(PYTHON) $(py_compile) --basedir "$(grblkspythondir)" $$dlist; \
+         else \
+           PYTHON=$(PYTHON) $(py_compile) --destdir "$(DESTDIR)" --basedir "$(grblkspythondir)" $$dlist; \
+         fi; \
+       else :; fi
+
+uninstall-grblkspythonPYTHON:
+       @$(NORMAL_UNINSTALL)
+       @list='$(grblkspython_PYTHON)'; dlist=''; for p in $$list; do\
+         f=$(am__strip_dir) \
+         rm -f "$(DESTDIR)$(grblkspythondir)/$$f"; \
+         rm -f "$(DESTDIR)$(grblkspythondir)/$${f}c"; \
+         rm -f "$(DESTDIR)$(grblkspythondir)/$${f}o"; \
+       done
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile
+installdirs:
+       for dir in "$(DESTDIR)$(grblkspythondir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-grblkspythonPYTHON
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-grblkspythonPYTHON
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am install-dvi \
+       install-dvi-am install-exec install-exec-am \
+       install-grblkspythonPYTHON install-html install-html-am \
+       install-info install-info-am install-man install-pdf \
+       install-pdf-am install-ps install-ps-am install-strip \
+       installcheck installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am uninstall uninstall-am \
+       uninstall-grblkspythonPYTHON
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gnuradio-core/src/python/gnuradio/gruimpl/Makefile.in b/gnuradio-core/src/python/gnuradio/gruimpl/Makefile.in
new file mode 100644 (file)
index 0000000..1467588
--- /dev/null
@@ -0,0 +1,930 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2005,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(grupython_PYTHON) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(top_srcdir)/Makefile.common
+subdir = gnuradio-core/src/python/gnuradio/gruimpl
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(grupythondir)"
+grupythonPYTHON_INSTALL = $(INSTALL_DATA)
+py_compile = $(top_srcdir)/py-compile
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+grupythondir = $(grpythondir)/gruimpl
+grupython_PYTHON = \
+       __init__.py                     \
+       crc.py                          \
+       freqz.py                        \
+       gnuplot_freqz.py                \
+       hexint.py                       \
+       listmisc.py                     \
+       mathmisc.py                     \
+       lmx2306.py                      \
+       msgq_runner.py                  \
+       os_read_exactly.py              \
+       sdr_1000.py                     \
+       seq_with_cursor.py              \
+       socket_stuff.py                 \
+       daemon.py
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gnuradio-core/src/python/gnuradio/gruimpl/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gnuradio-core/src/python/gnuradio/gruimpl/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-grupythonPYTHON: $(grupython_PYTHON)
+       @$(NORMAL_INSTALL)
+       test -z "$(grupythondir)" || $(MKDIR_P) "$(DESTDIR)$(grupythondir)"
+       @list='$(grupython_PYTHON)'; dlist=''; for p in $$list; do\
+         if test -f "$$p"; then b=; else b="$(srcdir)/"; fi; \
+         if test -f $$b$$p; then \
+           f=$(am__strip_dir) \
+           dlist="$$dlist $$f"; \
+           echo " $(grupythonPYTHON_INSTALL) '$$b$$p' '$(DESTDIR)$(grupythondir)/$$f'"; \
+           $(grupythonPYTHON_INSTALL) "$$b$$p" "$(DESTDIR)$(grupythondir)/$$f"; \
+         else :; fi; \
+       done; \
+       if test -n "$$dlist"; then \
+         if test -z "$(DESTDIR)"; then \
+           PYTHON=$(PYTHON) $(py_compile) --basedir "$(grupythondir)" $$dlist; \
+         else \
+           PYTHON=$(PYTHON) $(py_compile) --destdir "$(DESTDIR)" --basedir "$(grupythondir)" $$dlist; \
+         fi; \
+       else :; fi
+
+uninstall-grupythonPYTHON:
+       @$(NORMAL_UNINSTALL)
+       @list='$(grupython_PYTHON)'; dlist=''; for p in $$list; do\
+         f=$(am__strip_dir) \
+         rm -f "$(DESTDIR)$(grupythondir)/$$f"; \
+         rm -f "$(DESTDIR)$(grupythondir)/$${f}c"; \
+         rm -f "$(DESTDIR)$(grupythondir)/$${f}o"; \
+       done
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile
+installdirs:
+       for dir in "$(DESTDIR)$(grupythondir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-grupythonPYTHON
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-grupythonPYTHON
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am install-dvi \
+       install-dvi-am install-exec install-exec-am \
+       install-grupythonPYTHON install-html install-html-am \
+       install-info install-info-am install-man install-pdf \
+       install-pdf-am install-ps install-ps-am install-strip \
+       installcheck installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am uninstall uninstall-am \
+       uninstall-grupythonPYTHON
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gnuradio-core/src/python/gnuradio/vocoder/Makefile.in b/gnuradio-core/src/python/gnuradio/vocoder/Makefile.in
new file mode 100644 (file)
index 0000000..12a8c5b
--- /dev/null
@@ -0,0 +1,917 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004,2007 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(grvocoderpython_PYTHON) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(top_srcdir)/Makefile.common
+subdir = gnuradio-core/src/python/gnuradio/vocoder
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(grvocoderpythondir)"
+grvocoderpythonPYTHON_INSTALL = $(INSTALL_DATA)
+py_compile = $(top_srcdir)/py-compile
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+grvocoderpythondir = $(grpythondir)/vocoder
+grvocoderpython_PYTHON = \
+       __init__.py
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gnuradio-core/src/python/gnuradio/vocoder/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gnuradio-core/src/python/gnuradio/vocoder/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-grvocoderpythonPYTHON: $(grvocoderpython_PYTHON)
+       @$(NORMAL_INSTALL)
+       test -z "$(grvocoderpythondir)" || $(MKDIR_P) "$(DESTDIR)$(grvocoderpythondir)"
+       @list='$(grvocoderpython_PYTHON)'; dlist=''; for p in $$list; do\
+         if test -f "$$p"; then b=; else b="$(srcdir)/"; fi; \
+         if test -f $$b$$p; then \
+           f=$(am__strip_dir) \
+           dlist="$$dlist $$f"; \
+           echo " $(grvocoderpythonPYTHON_INSTALL) '$$b$$p' '$(DESTDIR)$(grvocoderpythondir)/$$f'"; \
+           $(grvocoderpythonPYTHON_INSTALL) "$$b$$p" "$(DESTDIR)$(grvocoderpythondir)/$$f"; \
+         else :; fi; \
+       done; \
+       if test -n "$$dlist"; then \
+         if test -z "$(DESTDIR)"; then \
+           PYTHON=$(PYTHON) $(py_compile) --basedir "$(grvocoderpythondir)" $$dlist; \
+         else \
+           PYTHON=$(PYTHON) $(py_compile) --destdir "$(DESTDIR)" --basedir "$(grvocoderpythondir)" $$dlist; \
+         fi; \
+       else :; fi
+
+uninstall-grvocoderpythonPYTHON:
+       @$(NORMAL_UNINSTALL)
+       @list='$(grvocoderpython_PYTHON)'; dlist=''; for p in $$list; do\
+         f=$(am__strip_dir) \
+         rm -f "$(DESTDIR)$(grvocoderpythondir)/$$f"; \
+         rm -f "$(DESTDIR)$(grvocoderpythondir)/$${f}c"; \
+         rm -f "$(DESTDIR)$(grvocoderpythondir)/$${f}o"; \
+       done
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile
+installdirs:
+       for dir in "$(DESTDIR)$(grvocoderpythondir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-grvocoderpythonPYTHON
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-grvocoderpythonPYTHON
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am install-dvi \
+       install-dvi-am install-exec install-exec-am \
+       install-grvocoderpythonPYTHON install-html install-html-am \
+       install-info install-info-am install-man install-pdf \
+       install-pdf-am install-ps install-ps-am install-strip \
+       installcheck installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am uninstall uninstall-am \
+       uninstall-grvocoderpythonPYTHON
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gnuradio-core/src/tests/Makefile.in b/gnuradio-core/src/tests/Makefile.in
new file mode 100644 (file)
index 0000000..b2ae18a
--- /dev/null
@@ -0,0 +1,1227 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2001,2008 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+TESTS = test_all$(EXEEXT)
+noinst_PROGRAMS = benchmark_dotprod_fff$(EXEEXT) \
+       benchmark_dotprod_fsf$(EXEEXT) benchmark_dotprod_fcc$(EXEEXT) \
+       benchmark_dotprod_scc$(EXEEXT) benchmark_dotprod_ccc$(EXEEXT) \
+       benchmark_dotprod_ccf$(EXEEXT) benchmark_nco$(EXEEXT) \
+       benchmark_vco$(EXEEXT) test_all$(EXEEXT) test_runtime$(EXEEXT) \
+       test_general$(EXEEXT) test_filter$(EXEEXT) \
+       test_vmcircbuf$(EXEEXT)
+subdir = gnuradio-core/src/tests
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+PROGRAMS = $(noinst_PROGRAMS)
+am_benchmark_dotprod_ccc_OBJECTS = benchmark_dotprod_ccc.$(OBJEXT)
+benchmark_dotprod_ccc_OBJECTS = $(am_benchmark_dotprod_ccc_OBJECTS)
+am__DEPENDENCIES_1 =
+am__DEPENDENCIES_2 = $(am__DEPENDENCIES_1)
+benchmark_dotprod_ccc_DEPENDENCIES = $(am__DEPENDENCIES_2)
+am_benchmark_dotprod_ccf_OBJECTS = benchmark_dotprod_ccf.$(OBJEXT)
+benchmark_dotprod_ccf_OBJECTS = $(am_benchmark_dotprod_ccf_OBJECTS)
+benchmark_dotprod_ccf_DEPENDENCIES = $(am__DEPENDENCIES_2)
+am_benchmark_dotprod_fcc_OBJECTS = benchmark_dotprod_fcc.$(OBJEXT)
+benchmark_dotprod_fcc_OBJECTS = $(am_benchmark_dotprod_fcc_OBJECTS)
+benchmark_dotprod_fcc_DEPENDENCIES = $(am__DEPENDENCIES_2)
+am_benchmark_dotprod_fff_OBJECTS = benchmark_dotprod_fff.$(OBJEXT)
+benchmark_dotprod_fff_OBJECTS = $(am_benchmark_dotprod_fff_OBJECTS)
+benchmark_dotprod_fff_DEPENDENCIES = $(am__DEPENDENCIES_2)
+am_benchmark_dotprod_fsf_OBJECTS = benchmark_dotprod_fsf.$(OBJEXT)
+benchmark_dotprod_fsf_OBJECTS = $(am_benchmark_dotprod_fsf_OBJECTS)
+benchmark_dotprod_fsf_DEPENDENCIES = $(am__DEPENDENCIES_2)
+am_benchmark_dotprod_scc_OBJECTS = benchmark_dotprod_scc.$(OBJEXT)
+benchmark_dotprod_scc_OBJECTS = $(am_benchmark_dotprod_scc_OBJECTS)
+benchmark_dotprod_scc_DEPENDENCIES = $(am__DEPENDENCIES_2)
+am_benchmark_nco_OBJECTS = benchmark_nco.$(OBJEXT)
+benchmark_nco_OBJECTS = $(am_benchmark_nco_OBJECTS)
+benchmark_nco_DEPENDENCIES = $(am__DEPENDENCIES_2)
+am_benchmark_vco_OBJECTS = benchmark_vco.$(OBJEXT)
+benchmark_vco_OBJECTS = $(am_benchmark_vco_OBJECTS)
+benchmark_vco_DEPENDENCIES = $(am__DEPENDENCIES_2)
+am_test_all_OBJECTS = test_all.$(OBJEXT)
+test_all_OBJECTS = $(am_test_all_OBJECTS)
+am__DEPENDENCIES_3 =  \
+       $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core-qa.la \
+       $(am__DEPENDENCIES_2)
+test_all_DEPENDENCIES = $(am__DEPENDENCIES_3)
+am_test_filter_OBJECTS = test_filter.$(OBJEXT)
+test_filter_OBJECTS = $(am_test_filter_OBJECTS)
+test_filter_DEPENDENCIES = $(am__DEPENDENCIES_3)
+am_test_general_OBJECTS = test_general.$(OBJEXT)
+test_general_OBJECTS = $(am_test_general_OBJECTS)
+test_general_DEPENDENCIES = $(am__DEPENDENCIES_3)
+am_test_runtime_OBJECTS = test_runtime.$(OBJEXT)
+test_runtime_OBJECTS = $(am_test_runtime_OBJECTS)
+test_runtime_DEPENDENCIES = $(am__DEPENDENCIES_3)
+am_test_vmcircbuf_OBJECTS = test_vmcircbuf.$(OBJEXT)
+test_vmcircbuf_OBJECTS = $(am_test_vmcircbuf_OBJECTS)
+test_vmcircbuf_DEPENDENCIES = $(am__DEPENDENCIES_3)
+SCRIPTS = $(noinst_SCRIPTS)
+DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
+depcomp = $(SHELL) $(top_srcdir)/depcomp
+am__depfiles_maybe = depfiles
+CXXCOMPILE = $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+LTCXXCOMPILE = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+CXXLD = $(CXX)
+CXXLINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CXXLD) $(AM_CXXFLAGS) $(CXXFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+SOURCES = $(benchmark_dotprod_ccc_SOURCES) \
+       $(benchmark_dotprod_ccf_SOURCES) \
+       $(benchmark_dotprod_fcc_SOURCES) \
+       $(benchmark_dotprod_fff_SOURCES) \
+       $(benchmark_dotprod_fsf_SOURCES) \
+       $(benchmark_dotprod_scc_SOURCES) $(benchmark_nco_SOURCES) \
+       $(benchmark_vco_SOURCES) $(test_all_SOURCES) \
+       $(test_filter_SOURCES) $(test_general_SOURCES) \
+       $(test_runtime_SOURCES) $(test_vmcircbuf_SOURCES)
+DIST_SOURCES = $(benchmark_dotprod_ccc_SOURCES) \
+       $(benchmark_dotprod_ccf_SOURCES) \
+       $(benchmark_dotprod_fcc_SOURCES) \
+       $(benchmark_dotprod_fff_SOURCES) \
+       $(benchmark_dotprod_fsf_SOURCES) \
+       $(benchmark_dotprod_scc_SOURCES) $(benchmark_nco_SOURCES) \
+       $(benchmark_vco_SOURCES) $(test_all_SOURCES) \
+       $(test_filter_SOURCES) $(test_general_SOURCES) \
+       $(test_runtime_SOURCES) $(test_vmcircbuf_SOURCES)
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+AM_CPPFLAGS = $(STD_DEFINES_AND_INCLUDES) $(CPPUNIT_INCLUDES) $(WITH_INCLUDES)
+
+#      test_atsc               
+
+#Test program to test setting up buffers using gr_test which can be run manually
+EXTRA_DIST = \
+       test_buffers.py \
+       benchmark_dotprod
+
+
+#bin_PROGRAMS = \
+#      test_all
+noinst_SCRIPTS = \
+       benchmark_dotprod
+
+LIBGNURADIO = $(GNURADIO_CORE_LA)
+LIBGNURADIOQA = $(top_builddir)/gnuradio-core/src/lib/libgnuradio-core-qa.la $(LIBGNURADIO)
+benchmark_dotprod_fff_SOURCES = benchmark_dotprod_fff.cc
+benchmark_dotprod_fff_LDADD = $(LIBGNURADIO)
+benchmark_dotprod_fsf_SOURCES = benchmark_dotprod_fsf.cc
+benchmark_dotprod_fsf_LDADD = $(LIBGNURADIO)
+benchmark_dotprod_ccf_SOURCES = benchmark_dotprod_ccf.cc
+benchmark_dotprod_ccf_LDADD = $(LIBGNURADIO)
+benchmark_dotprod_fcc_SOURCES = benchmark_dotprod_fcc.cc
+benchmark_dotprod_fcc_LDADD = $(LIBGNURADIO)
+benchmark_dotprod_scc_SOURCES = benchmark_dotprod_scc.cc
+benchmark_dotprod_scc_LDADD = $(LIBGNURADIO)
+benchmark_dotprod_ccc_SOURCES = benchmark_dotprod_ccc.cc
+benchmark_dotprod_ccc_LDADD = $(LIBGNURADIO)
+benchmark_nco_SOURCES = benchmark_nco.cc
+benchmark_nco_LDADD = $(LIBGNURADIO)
+benchmark_vco_SOURCES = benchmark_vco.cc
+benchmark_vco_LDADD = $(LIBGNURADIO)
+test_runtime_SOURCES = test_runtime.cc
+test_runtime_LDADD = $(LIBGNURADIOQA)
+test_general_SOURCES = test_general.cc
+test_general_LDADD = $(LIBGNURADIOQA)
+test_filter_SOURCES = test_filter.cc
+test_filter_LDADD = $(LIBGNURADIOQA)
+
+# test_atsc_SOURCES    = test_atsc.cc
+#test_atsc_LDADD       = $(LIBGNURADIOQA)
+test_vmcircbuf_SOURCES = test_vmcircbuf.cc
+test_vmcircbuf_LDADD = $(LIBGNURADIOQA)
+test_all_SOURCES = test_all.cc
+test_all_LDADD = $(LIBGNURADIOQA)
+all: all-am
+
+.SUFFIXES:
+.SUFFIXES: .cc .lo .o .obj
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gnuradio-core/src/tests/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gnuradio-core/src/tests/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+clean-noinstPROGRAMS:
+       @list='$(noinst_PROGRAMS)'; for p in $$list; do \
+         f=`echo $$p|sed 's/$(EXEEXT)$$//'`; \
+         echo " rm -f $$p $$f"; \
+         rm -f $$p $$f ; \
+       done
+benchmark_dotprod_ccc$(EXEEXT): $(benchmark_dotprod_ccc_OBJECTS) $(benchmark_dotprod_ccc_DEPENDENCIES) 
+       @rm -f benchmark_dotprod_ccc$(EXEEXT)
+       $(CXXLINK) $(benchmark_dotprod_ccc_OBJECTS) $(benchmark_dotprod_ccc_LDADD) $(LIBS)
+benchmark_dotprod_ccf$(EXEEXT): $(benchmark_dotprod_ccf_OBJECTS) $(benchmark_dotprod_ccf_DEPENDENCIES) 
+       @rm -f benchmark_dotprod_ccf$(EXEEXT)
+       $(CXXLINK) $(benchmark_dotprod_ccf_OBJECTS) $(benchmark_dotprod_ccf_LDADD) $(LIBS)
+benchmark_dotprod_fcc$(EXEEXT): $(benchmark_dotprod_fcc_OBJECTS) $(benchmark_dotprod_fcc_DEPENDENCIES) 
+       @rm -f benchmark_dotprod_fcc$(EXEEXT)
+       $(CXXLINK) $(benchmark_dotprod_fcc_OBJECTS) $(benchmark_dotprod_fcc_LDADD) $(LIBS)
+benchmark_dotprod_fff$(EXEEXT): $(benchmark_dotprod_fff_OBJECTS) $(benchmark_dotprod_fff_DEPENDENCIES) 
+       @rm -f benchmark_dotprod_fff$(EXEEXT)
+       $(CXXLINK) $(benchmark_dotprod_fff_OBJECTS) $(benchmark_dotprod_fff_LDADD) $(LIBS)
+benchmark_dotprod_fsf$(EXEEXT): $(benchmark_dotprod_fsf_OBJECTS) $(benchmark_dotprod_fsf_DEPENDENCIES) 
+       @rm -f benchmark_dotprod_fsf$(EXEEXT)
+       $(CXXLINK) $(benchmark_dotprod_fsf_OBJECTS) $(benchmark_dotprod_fsf_LDADD) $(LIBS)
+benchmark_dotprod_scc$(EXEEXT): $(benchmark_dotprod_scc_OBJECTS) $(benchmark_dotprod_scc_DEPENDENCIES) 
+       @rm -f benchmark_dotprod_scc$(EXEEXT)
+       $(CXXLINK) $(benchmark_dotprod_scc_OBJECTS) $(benchmark_dotprod_scc_LDADD) $(LIBS)
+benchmark_nco$(EXEEXT): $(benchmark_nco_OBJECTS) $(benchmark_nco_DEPENDENCIES) 
+       @rm -f benchmark_nco$(EXEEXT)
+       $(CXXLINK) $(benchmark_nco_OBJECTS) $(benchmark_nco_LDADD) $(LIBS)
+benchmark_vco$(EXEEXT): $(benchmark_vco_OBJECTS) $(benchmark_vco_DEPENDENCIES) 
+       @rm -f benchmark_vco$(EXEEXT)
+       $(CXXLINK) $(benchmark_vco_OBJECTS) $(benchmark_vco_LDADD) $(LIBS)
+test_all$(EXEEXT): $(test_all_OBJECTS) $(test_all_DEPENDENCIES) 
+       @rm -f test_all$(EXEEXT)
+       $(CXXLINK) $(test_all_OBJECTS) $(test_all_LDADD) $(LIBS)
+test_filter$(EXEEXT): $(test_filter_OBJECTS) $(test_filter_DEPENDENCIES) 
+       @rm -f test_filter$(EXEEXT)
+       $(CXXLINK) $(test_filter_OBJECTS) $(test_filter_LDADD) $(LIBS)
+test_general$(EXEEXT): $(test_general_OBJECTS) $(test_general_DEPENDENCIES) 
+       @rm -f test_general$(EXEEXT)
+       $(CXXLINK) $(test_general_OBJECTS) $(test_general_LDADD) $(LIBS)
+test_runtime$(EXEEXT): $(test_runtime_OBJECTS) $(test_runtime_DEPENDENCIES) 
+       @rm -f test_runtime$(EXEEXT)
+       $(CXXLINK) $(test_runtime_OBJECTS) $(test_runtime_LDADD) $(LIBS)
+test_vmcircbuf$(EXEEXT): $(test_vmcircbuf_OBJECTS) $(test_vmcircbuf_DEPENDENCIES) 
+       @rm -f test_vmcircbuf$(EXEEXT)
+       $(CXXLINK) $(test_vmcircbuf_OBJECTS) $(test_vmcircbuf_LDADD) $(LIBS)
+
+mostlyclean-compile:
+       -rm -f *.$(OBJEXT)
+
+distclean-compile:
+       -rm -f *.tab.c
+
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/benchmark_dotprod_ccc.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/benchmark_dotprod_ccf.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/benchmark_dotprod_fcc.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/benchmark_dotprod_fff.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/benchmark_dotprod_fsf.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/benchmark_dotprod_scc.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/benchmark_nco.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/benchmark_vco.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/test_all.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/test_filter.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/test_general.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/test_runtime.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/test_vmcircbuf.Po@am__quote@
+
+.cc.o:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ $<
+
+.cc.obj:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ `$(CYGPATH_W) '$<'`
+
+.cc.lo:
+@am__fastdepCXX_TRUE@  $(LTCXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LTCXXCOMPILE) -c -o $@ $<
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+check-TESTS: $(TESTS)
+       @failed=0; all=0; xfail=0; xpass=0; skip=0; \
+       srcdir=$(srcdir); export srcdir; \
+       list=' $(TESTS) '; \
+       if test -n "$$list"; then \
+         for tst in $$list; do \
+           if test -f ./$$tst; then dir=./; \
+           elif test -f $$tst; then dir=; \
+           else dir="$(srcdir)/"; fi; \
+           if $(TESTS_ENVIRONMENT) $${dir}$$tst; then \
+             all=`expr $$all + 1`; \
+             case " $(XFAIL_TESTS) " in \
+             *[\ \     ]$$tst[\ \      ]*) \
+               xpass=`expr $$xpass + 1`; \
+               failed=`expr $$failed + 1`; \
+               echo "XPASS: $$tst"; \
+             ;; \
+             *) \
+               echo "PASS: $$tst"; \
+             ;; \
+             esac; \
+           elif test $$? -ne 77; then \
+             all=`expr $$all + 1`; \
+             case " $(XFAIL_TESTS) " in \
+             *[\ \     ]$$tst[\ \      ]*) \
+               xfail=`expr $$xfail + 1`; \
+               echo "XFAIL: $$tst"; \
+             ;; \
+             *) \
+               failed=`expr $$failed + 1`; \
+               echo "FAIL: $$tst"; \
+             ;; \
+             esac; \
+           else \
+             skip=`expr $$skip + 1`; \
+             echo "SKIP: $$tst"; \
+           fi; \
+         done; \
+         if test "$$all" -eq 1; then \
+           tests="test"; \
+           All=""; \
+         else \
+           tests="tests"; \
+           All="All "; \
+         fi; \
+         if test "$$failed" -eq 0; then \
+           if test "$$xfail" -eq 0; then \
+             banner="$$All$$all $$tests passed"; \
+           else \
+             if test "$$xfail" -eq 1; then failures=failure; else failures=failures; fi; \
+             banner="$$All$$all $$tests behaved as expected ($$xfail expected $$failures)"; \
+           fi; \
+         else \
+           if test "$$xpass" -eq 0; then \
+             banner="$$failed of $$all $$tests failed"; \
+           else \
+             if test "$$xpass" -eq 1; then passes=pass; else passes=passes; fi; \
+             banner="$$failed of $$all $$tests did not behave as expected ($$xpass unexpected $$passes)"; \
+           fi; \
+         fi; \
+         dashes="$$banner"; \
+         skipped=""; \
+         if test "$$skip" -ne 0; then \
+           if test "$$skip" -eq 1; then \
+             skipped="($$skip test was not run)"; \
+           else \
+             skipped="($$skip tests were not run)"; \
+           fi; \
+           test `echo "$$skipped" | wc -c` -le `echo "$$banner" | wc -c` || \
+             dashes="$$skipped"; \
+         fi; \
+         report=""; \
+         if test "$$failed" -ne 0 && test -n "$(PACKAGE_BUGREPORT)"; then \
+           report="Please report to $(PACKAGE_BUGREPORT)"; \
+           test `echo "$$report" | wc -c` -le `echo "$$banner" | wc -c` || \
+             dashes="$$report"; \
+         fi; \
+         dashes=`echo "$$dashes" | sed s/./=/g`; \
+         echo "$$dashes"; \
+         echo "$$banner"; \
+         test -z "$$skipped" || echo "$$skipped"; \
+         test -z "$$report" || echo "$$report"; \
+         echo "$$dashes"; \
+         test "$$failed" -eq 0; \
+       else :; fi
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+       $(MAKE) $(AM_MAKEFLAGS) check-TESTS
+check: check-am
+all-am: Makefile $(PROGRAMS) $(SCRIPTS)
+installdirs:
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool clean-noinstPROGRAMS \
+       mostlyclean-am
+
+distclean: distclean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+distclean-am: clean-am distclean-compile distclean-generic \
+       distclean-tags
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-compile mostlyclean-generic \
+       mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: install-am install-strip
+
+.PHONY: CTAGS GTAGS all all-am check check-TESTS check-am clean \
+       clean-generic clean-libtool clean-noinstPROGRAMS ctags \
+       dist-hook distclean distclean-compile distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-compile \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       tags uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gnuradio-core/src/utils/Makefile.in b/gnuradio-core/src/utils/Makefile.in
new file mode 100644 (file)
index 0000000..74a1aca
--- /dev/null
@@ -0,0 +1,895 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2001 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+#
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gnuradio-core/src/utils
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+EXTRA_DIST = \
+       cic_comp_taps.m         \
+       db_width.m              \
+       filter_tools.m          \
+       plot_freq_response.m    \
+       plot_freq_response_db.m \
+       plot_freq_response_phase.m      \
+       plotfft.m               \
+       plotfftavgk.m           \
+       plotfftavgk_db.m        \
+       plotfftk.m              \
+       plotfftk_db.m           \
+       put_markers.m           \
+       read_char_binary.m      \
+       read_complex_binary.m   \
+       read_float_binary.m     \
+       read_int_binary.m       \
+       read_short_binary.m     \
+       read_cshort_binary.m    \
+       single_pole_iir.m       \
+       write_float_binary.m    \
+       write_short_binary.m
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gnuradio-core/src/utils/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gnuradio-core/src/utils/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile
+installdirs:
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am install-dvi \
+       install-dvi-am install-exec install-exec-am install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-ps install-ps-am \
+       install-strip installcheck installcheck-am installdirs \
+       maintainer-clean maintainer-clean-generic mostlyclean \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gnuradio-examples/Makefile.in b/gnuradio-examples/Makefile.in
new file mode 100644 (file)
index 0000000..0120bb9
--- /dev/null
@@ -0,0 +1,1030 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004,2007 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gnuradio-examples
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+SUBDIRS = python c++
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gnuradio-examples/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gnuradio-examples/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-recursive
+all-am: Makefile
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive dist-hook distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gnuradio-examples/c++/Makefile.in b/gnuradio-examples/c++/Makefile.in
new file mode 100644 (file)
index 0000000..406e8b5
--- /dev/null
@@ -0,0 +1,874 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2006,2008 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gnuradio-examples/c++
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gnuradio-examples/c++/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gnuradio-examples/c++/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile
+installdirs:
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am install-dvi \
+       install-dvi-am install-exec install-exec-am install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-ps install-ps-am \
+       install-strip installcheck installcheck-am installdirs \
+       maintainer-clean maintainer-clean-generic mostlyclean \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+
+#SUBDIRS = dial_tone
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gnuradio-examples/python/Makefile.in b/gnuradio-examples/python/Makefile.in
new file mode 100644 (file)
index 0000000..bb19fad
--- /dev/null
@@ -0,0 +1,1043 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004,2007,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gnuradio-examples/python
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+SUBDIRS = \
+       apps \
+       audio \
+       digital \
+       digital-bert \
+       digital_voice \
+       mp-sched \
+       multi-antenna \
+       multi_usrp \
+       network \
+       ofdm \
+       usrp \
+       usrp2
+
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gnuradio-examples/python/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gnuradio-examples/python/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-recursive
+all-am: Makefile
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive dist-hook distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gnuradio-examples/python/apps/Makefile.in b/gnuradio-examples/python/apps/Makefile.in
new file mode 100644 (file)
index 0000000..c13a446
--- /dev/null
@@ -0,0 +1,897 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2006 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+subdir = gnuradio-examples/python/apps
+DIST_COMMON = README $(srcdir)/Makefile.am $(srcdir)/Makefile.in
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+SUBDIRS = hf_explorer hf_radio
+EXTRA_DIST = README
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am  $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gnuradio-examples/python/apps/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gnuradio-examples/python/apps/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+check-am: all-am
+check: check-recursive
+all-am: Makefile
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am
+
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gnuradio-examples/python/apps/hf_explorer/Makefile.in b/gnuradio-examples/python/apps/hf_explorer/Makefile.in
new file mode 100644 (file)
index 0000000..6912eaa
--- /dev/null
@@ -0,0 +1,935 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2006,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = README $(dist_ourdata_DATA) $(dist_ourdata_SCRIPTS) \
+       $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gnuradio-examples/python/apps/hf_explorer
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+am__installdirs = "$(DESTDIR)$(ourdatadir)" "$(DESTDIR)$(ourdatadir)"
+dist_ourdataSCRIPT_INSTALL = $(INSTALL_SCRIPT)
+SCRIPTS = $(dist_ourdata_SCRIPTS)
+SOURCES =
+DIST_SOURCES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+dist_ourdataDATA_INSTALL = $(INSTALL_DATA)
+DATA = $(dist_ourdata_DATA)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+ourdatadir = $(exampledir)/hf_explorer
+dist_ourdata_DATA = \
+    README             \
+    hfx_help
+
+dist_ourdata_SCRIPTS = \
+    hfx2.py
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gnuradio-examples/python/apps/hf_explorer/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gnuradio-examples/python/apps/hf_explorer/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+install-dist_ourdataSCRIPTS: $(dist_ourdata_SCRIPTS)
+       @$(NORMAL_INSTALL)
+       test -z "$(ourdatadir)" || $(MKDIR_P) "$(DESTDIR)$(ourdatadir)"
+       @list='$(dist_ourdata_SCRIPTS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         if test -f $$d$$p; then \
+           f=`echo "$$p" | sed 's|^.*/||;$(transform)'`; \
+           echo " $(dist_ourdataSCRIPT_INSTALL) '$$d$$p' '$(DESTDIR)$(ourdatadir)/$$f'"; \
+           $(dist_ourdataSCRIPT_INSTALL) "$$d$$p" "$(DESTDIR)$(ourdatadir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-dist_ourdataSCRIPTS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_ourdata_SCRIPTS)'; for p in $$list; do \
+         f=`echo "$$p" | sed 's|^.*/||;$(transform)'`; \
+         echo " rm -f '$(DESTDIR)$(ourdatadir)/$$f'"; \
+         rm -f "$(DESTDIR)$(ourdatadir)/$$f"; \
+       done
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-dist_ourdataDATA: $(dist_ourdata_DATA)
+       @$(NORMAL_INSTALL)
+       test -z "$(ourdatadir)" || $(MKDIR_P) "$(DESTDIR)$(ourdatadir)"
+       @list='$(dist_ourdata_DATA)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(dist_ourdataDATA_INSTALL) '$$d$$p' '$(DESTDIR)$(ourdatadir)/$$f'"; \
+         $(dist_ourdataDATA_INSTALL) "$$d$$p" "$(DESTDIR)$(ourdatadir)/$$f"; \
+       done
+
+uninstall-dist_ourdataDATA:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_ourdata_DATA)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(ourdatadir)/$$f'"; \
+         rm -f "$(DESTDIR)$(ourdatadir)/$$f"; \
+       done
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile $(SCRIPTS) $(DATA)
+installdirs:
+       for dir in "$(DESTDIR)$(ourdatadir)" "$(DESTDIR)$(ourdatadir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-dist_ourdataDATA install-dist_ourdataSCRIPTS
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-dist_ourdataDATA uninstall-dist_ourdataSCRIPTS
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am \
+       install-dist_ourdataDATA install-dist_ourdataSCRIPTS \
+       install-dvi install-dvi-am install-exec install-exec-am \
+       install-html install-html-am install-info install-info-am \
+       install-man install-pdf install-pdf-am install-ps \
+       install-ps-am install-strip installcheck installcheck-am \
+       installdirs maintainer-clean maintainer-clean-generic \
+       mostlyclean mostlyclean-generic mostlyclean-libtool pdf pdf-am \
+       ps ps-am uninstall uninstall-am uninstall-dist_ourdataDATA \
+       uninstall-dist_ourdataSCRIPTS
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gnuradio-examples/python/apps/hf_radio/Makefile.in b/gnuradio-examples/python/apps/hf_radio/Makefile.in
new file mode 100644 (file)
index 0000000..26627a1
--- /dev/null
@@ -0,0 +1,943 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2006,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(dist_ourdata_DATA) $(dist_ourdata_SCRIPTS) \
+       $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gnuradio-examples/python/apps/hf_radio
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+am__installdirs = "$(DESTDIR)$(ourdatadir)" "$(DESTDIR)$(ourdatadir)"
+dist_ourdataSCRIPT_INSTALL = $(INSTALL_SCRIPT)
+SCRIPTS = $(dist_ourdata_SCRIPTS)
+SOURCES =
+DIST_SOURCES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+dist_ourdataDATA_INSTALL = $(INSTALL_DATA)
+DATA = $(dist_ourdata_DATA)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+ourdatadir = $(exampledir)/hf_radio
+dist_ourdata_DATA = \
+    hfir.sci   \
+    radio.xml  \
+    input.py   \
+    output.py  \
+    README.TXT \
+    ssbagc.py  \
+    ssbdemod.py \
+    startup.py \
+    ssb_taps
+
+dist_ourdata_SCRIPTS = \
+    radio.py   \
+    ui.py
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gnuradio-examples/python/apps/hf_radio/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gnuradio-examples/python/apps/hf_radio/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+install-dist_ourdataSCRIPTS: $(dist_ourdata_SCRIPTS)
+       @$(NORMAL_INSTALL)
+       test -z "$(ourdatadir)" || $(MKDIR_P) "$(DESTDIR)$(ourdatadir)"
+       @list='$(dist_ourdata_SCRIPTS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         if test -f $$d$$p; then \
+           f=`echo "$$p" | sed 's|^.*/||;$(transform)'`; \
+           echo " $(dist_ourdataSCRIPT_INSTALL) '$$d$$p' '$(DESTDIR)$(ourdatadir)/$$f'"; \
+           $(dist_ourdataSCRIPT_INSTALL) "$$d$$p" "$(DESTDIR)$(ourdatadir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-dist_ourdataSCRIPTS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_ourdata_SCRIPTS)'; for p in $$list; do \
+         f=`echo "$$p" | sed 's|^.*/||;$(transform)'`; \
+         echo " rm -f '$(DESTDIR)$(ourdatadir)/$$f'"; \
+         rm -f "$(DESTDIR)$(ourdatadir)/$$f"; \
+       done
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-dist_ourdataDATA: $(dist_ourdata_DATA)
+       @$(NORMAL_INSTALL)
+       test -z "$(ourdatadir)" || $(MKDIR_P) "$(DESTDIR)$(ourdatadir)"
+       @list='$(dist_ourdata_DATA)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(dist_ourdataDATA_INSTALL) '$$d$$p' '$(DESTDIR)$(ourdatadir)/$$f'"; \
+         $(dist_ourdataDATA_INSTALL) "$$d$$p" "$(DESTDIR)$(ourdatadir)/$$f"; \
+       done
+
+uninstall-dist_ourdataDATA:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_ourdata_DATA)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(ourdatadir)/$$f'"; \
+         rm -f "$(DESTDIR)$(ourdatadir)/$$f"; \
+       done
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile $(SCRIPTS) $(DATA)
+installdirs:
+       for dir in "$(DESTDIR)$(ourdatadir)" "$(DESTDIR)$(ourdatadir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-dist_ourdataDATA install-dist_ourdataSCRIPTS
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-dist_ourdataDATA uninstall-dist_ourdataSCRIPTS
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am \
+       install-dist_ourdataDATA install-dist_ourdataSCRIPTS \
+       install-dvi install-dvi-am install-exec install-exec-am \
+       install-html install-html-am install-info install-info-am \
+       install-man install-pdf install-pdf-am install-ps \
+       install-ps-am install-strip installcheck installcheck-am \
+       installdirs maintainer-clean maintainer-clean-generic \
+       mostlyclean mostlyclean-generic mostlyclean-libtool pdf pdf-am \
+       ps ps-am uninstall uninstall-am uninstall-dist_ourdataDATA \
+       uninstall-dist_ourdataSCRIPTS
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gnuradio-examples/python/audio/Makefile.in b/gnuradio-examples/python/audio/Makefile.in
new file mode 100644 (file)
index 0000000..e2e6d9a
--- /dev/null
@@ -0,0 +1,914 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(dist_ourdata_SCRIPTS) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(top_srcdir)/Makefile.common
+subdir = gnuradio-examples/python/audio
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+am__installdirs = "$(DESTDIR)$(ourdatadir)"
+dist_ourdataSCRIPT_INSTALL = $(INSTALL_SCRIPT)
+SCRIPTS = $(dist_ourdata_SCRIPTS)
+SOURCES =
+DIST_SOURCES =
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+ourdatadir = $(exampledir)/audio
+dist_ourdata_SCRIPTS = \
+       audio_copy.py           \
+       audio_fft.py            \
+       audio_play.py           \
+       audio_to_file.py        \
+       dial_tone.py            \
+       dial_tone_daemon.py     \
+       dial_tone_wav.py        \
+       mono_tone.py            \
+       multi_tone.py           \
+       noise.py                \
+       spectrum_inversion.py   \
+       test_resampler.py
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gnuradio-examples/python/audio/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gnuradio-examples/python/audio/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+install-dist_ourdataSCRIPTS: $(dist_ourdata_SCRIPTS)
+       @$(NORMAL_INSTALL)
+       test -z "$(ourdatadir)" || $(MKDIR_P) "$(DESTDIR)$(ourdatadir)"
+       @list='$(dist_ourdata_SCRIPTS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         if test -f $$d$$p; then \
+           f=`echo "$$p" | sed 's|^.*/||;$(transform)'`; \
+           echo " $(dist_ourdataSCRIPT_INSTALL) '$$d$$p' '$(DESTDIR)$(ourdatadir)/$$f'"; \
+           $(dist_ourdataSCRIPT_INSTALL) "$$d$$p" "$(DESTDIR)$(ourdatadir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-dist_ourdataSCRIPTS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_ourdata_SCRIPTS)'; for p in $$list; do \
+         f=`echo "$$p" | sed 's|^.*/||;$(transform)'`; \
+         echo " rm -f '$(DESTDIR)$(ourdatadir)/$$f'"; \
+         rm -f "$(DESTDIR)$(ourdatadir)/$$f"; \
+       done
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile $(SCRIPTS)
+installdirs:
+       for dir in "$(DESTDIR)$(ourdatadir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-dist_ourdataSCRIPTS
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-dist_ourdataSCRIPTS
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am \
+       install-dist_ourdataSCRIPTS install-dvi install-dvi-am \
+       install-exec install-exec-am install-html install-html-am \
+       install-info install-info-am install-man install-pdf \
+       install-pdf-am install-ps install-ps-am install-strip \
+       installcheck installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am uninstall uninstall-am \
+       uninstall-dist_ourdataSCRIPTS
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gnuradio-examples/python/digital-bert/Makefile.in b/gnuradio-examples/python/digital-bert/Makefile.in
new file mode 100644 (file)
index 0000000..219d0ac
--- /dev/null
@@ -0,0 +1,937 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = README $(dist_ourdata_DATA) $(dist_ourdata_SCRIPTS) \
+       $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gnuradio-examples/python/digital-bert
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+am__installdirs = "$(DESTDIR)$(ourdatadir)" "$(DESTDIR)$(ourdatadir)"
+dist_ourdataSCRIPT_INSTALL = $(INSTALL_SCRIPT)
+SCRIPTS = $(dist_ourdata_SCRIPTS)
+SOURCES =
+DIST_SOURCES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+dist_ourdataDATA_INSTALL = $(INSTALL_DATA)
+DATA = $(dist_ourdata_DATA)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+ourdatadir = $(exampledir)/digital-bert
+dist_ourdata_DATA = \
+       README                  \
+       receive_path.py         \
+       transmit_path.py
+
+dist_ourdata_SCRIPTS = \
+       benchmark_rx.py         \
+       benchmark_tx.py
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gnuradio-examples/python/digital-bert/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gnuradio-examples/python/digital-bert/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+install-dist_ourdataSCRIPTS: $(dist_ourdata_SCRIPTS)
+       @$(NORMAL_INSTALL)
+       test -z "$(ourdatadir)" || $(MKDIR_P) "$(DESTDIR)$(ourdatadir)"
+       @list='$(dist_ourdata_SCRIPTS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         if test -f $$d$$p; then \
+           f=`echo "$$p" | sed 's|^.*/||;$(transform)'`; \
+           echo " $(dist_ourdataSCRIPT_INSTALL) '$$d$$p' '$(DESTDIR)$(ourdatadir)/$$f'"; \
+           $(dist_ourdataSCRIPT_INSTALL) "$$d$$p" "$(DESTDIR)$(ourdatadir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-dist_ourdataSCRIPTS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_ourdata_SCRIPTS)'; for p in $$list; do \
+         f=`echo "$$p" | sed 's|^.*/||;$(transform)'`; \
+         echo " rm -f '$(DESTDIR)$(ourdatadir)/$$f'"; \
+         rm -f "$(DESTDIR)$(ourdatadir)/$$f"; \
+       done
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-dist_ourdataDATA: $(dist_ourdata_DATA)
+       @$(NORMAL_INSTALL)
+       test -z "$(ourdatadir)" || $(MKDIR_P) "$(DESTDIR)$(ourdatadir)"
+       @list='$(dist_ourdata_DATA)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(dist_ourdataDATA_INSTALL) '$$d$$p' '$(DESTDIR)$(ourdatadir)/$$f'"; \
+         $(dist_ourdataDATA_INSTALL) "$$d$$p" "$(DESTDIR)$(ourdatadir)/$$f"; \
+       done
+
+uninstall-dist_ourdataDATA:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_ourdata_DATA)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(ourdatadir)/$$f'"; \
+         rm -f "$(DESTDIR)$(ourdatadir)/$$f"; \
+       done
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile $(SCRIPTS) $(DATA)
+installdirs:
+       for dir in "$(DESTDIR)$(ourdatadir)" "$(DESTDIR)$(ourdatadir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-dist_ourdataDATA install-dist_ourdataSCRIPTS
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-dist_ourdataDATA uninstall-dist_ourdataSCRIPTS
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am \
+       install-dist_ourdataDATA install-dist_ourdataSCRIPTS \
+       install-dvi install-dvi-am install-exec install-exec-am \
+       install-html install-html-am install-info install-info-am \
+       install-man install-pdf install-pdf-am install-ps \
+       install-ps-am install-strip installcheck installcheck-am \
+       installdirs maintainer-clean maintainer-clean-generic \
+       mostlyclean mostlyclean-generic mostlyclean-libtool pdf pdf-am \
+       ps ps-am uninstall uninstall-am uninstall-dist_ourdataDATA \
+       uninstall-dist_ourdataSCRIPTS
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gnuradio-examples/python/digital/Makefile.in b/gnuradio-examples/python/digital/Makefile.in
new file mode 100644 (file)
index 0000000..48f3023
--- /dev/null
@@ -0,0 +1,954 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = README $(dist_ourdata_DATA) $(dist_ourdata_SCRIPTS) \
+       $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gnuradio-examples/python/digital
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+am__installdirs = "$(DESTDIR)$(ourdatadir)" "$(DESTDIR)$(ourdatadir)"
+dist_ourdataSCRIPT_INSTALL = $(INSTALL_SCRIPT)
+SCRIPTS = $(dist_ourdata_SCRIPTS)
+SOURCES =
+DIST_SOURCES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+dist_ourdataDATA_INSTALL = $(INSTALL_DATA)
+DATA = $(dist_ourdata_DATA)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+ourdatadir = $(exampledir)/digital
+dist_ourdata_DATA = \
+       README                  \
+       generic_usrp.py         \
+       pick_bitrate.py         \
+       qt_digital_window.ui    \
+       qt_digital_window.py    \
+       qt_rx_window.ui         \
+       qt_rx_window.py         \
+       receive_path.py         \
+       transmit_path.py        \
+       usrp_options.py \
+       usrp_receive_path.py \
+       usrp_transmit_path.py
+
+dist_ourdata_SCRIPTS = \
+       benchmark_loopback.py   \
+       benchmark_rx.py         \
+       benchmark_tx.py         \
+       benchmark_qt_rx.py      \
+       benchmark_qt_loopback.py\
+       gen_whitener.py         \
+       rx_voice.py             \
+       run_length.py           \
+       tunnel.py               \
+       tx_voice.py
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gnuradio-examples/python/digital/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gnuradio-examples/python/digital/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+install-dist_ourdataSCRIPTS: $(dist_ourdata_SCRIPTS)
+       @$(NORMAL_INSTALL)
+       test -z "$(ourdatadir)" || $(MKDIR_P) "$(DESTDIR)$(ourdatadir)"
+       @list='$(dist_ourdata_SCRIPTS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         if test -f $$d$$p; then \
+           f=`echo "$$p" | sed 's|^.*/||;$(transform)'`; \
+           echo " $(dist_ourdataSCRIPT_INSTALL) '$$d$$p' '$(DESTDIR)$(ourdatadir)/$$f'"; \
+           $(dist_ourdataSCRIPT_INSTALL) "$$d$$p" "$(DESTDIR)$(ourdatadir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-dist_ourdataSCRIPTS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_ourdata_SCRIPTS)'; for p in $$list; do \
+         f=`echo "$$p" | sed 's|^.*/||;$(transform)'`; \
+         echo " rm -f '$(DESTDIR)$(ourdatadir)/$$f'"; \
+         rm -f "$(DESTDIR)$(ourdatadir)/$$f"; \
+       done
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-dist_ourdataDATA: $(dist_ourdata_DATA)
+       @$(NORMAL_INSTALL)
+       test -z "$(ourdatadir)" || $(MKDIR_P) "$(DESTDIR)$(ourdatadir)"
+       @list='$(dist_ourdata_DATA)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(dist_ourdataDATA_INSTALL) '$$d$$p' '$(DESTDIR)$(ourdatadir)/$$f'"; \
+         $(dist_ourdataDATA_INSTALL) "$$d$$p" "$(DESTDIR)$(ourdatadir)/$$f"; \
+       done
+
+uninstall-dist_ourdataDATA:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_ourdata_DATA)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(ourdatadir)/$$f'"; \
+         rm -f "$(DESTDIR)$(ourdatadir)/$$f"; \
+       done
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile $(SCRIPTS) $(DATA)
+installdirs:
+       for dir in "$(DESTDIR)$(ourdatadir)" "$(DESTDIR)$(ourdatadir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-dist_ourdataDATA install-dist_ourdataSCRIPTS
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-dist_ourdataDATA uninstall-dist_ourdataSCRIPTS
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am \
+       install-dist_ourdataDATA install-dist_ourdataSCRIPTS \
+       install-dvi install-dvi-am install-exec install-exec-am \
+       install-html install-html-am install-info install-info-am \
+       install-man install-pdf install-pdf-am install-ps \
+       install-ps-am install-strip installcheck installcheck-am \
+       installdirs maintainer-clean maintainer-clean-generic \
+       mostlyclean mostlyclean-generic mostlyclean-libtool pdf pdf-am \
+       ps ps-am uninstall uninstall-am uninstall-dist_ourdataDATA \
+       uninstall-dist_ourdataSCRIPTS
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gnuradio-examples/python/digital/generic_usrp.py b/gnuradio-examples/python/digital/generic_usrp.py
new file mode 100644 (file)
index 0000000..c7ccbe5
--- /dev/null
@@ -0,0 +1,239 @@
+#
+# Copyright 2009 Free Software Foundation, Inc.
+#
+# This file is part of GNU Radio
+#
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+#
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+#
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+#
+
+USRP1_TYPE = 'usrp1'
+USRP2_TYPE = 'usrp2'
+DUMMY_TYPE = 'dummy'
+#usrp2 rates common for decim and interp
+_USRP2_RATES = range(4, 128+1, 1) + range(130, 256+1, 2) + range(260, 512+1, 4)
+#dummy common rates
+_DUMMY_XRATES = range(4, 512, 2)
+_DUMMY_CONVERTER_RATE = 100e6
+#dummy freq result
+class _dummy_freq_result(object):
+    def __init__(self, target_freq):
+        self.baseband_freq = target_freq
+        self.dxc_freq = 0
+        self.residual_freq = 0
+from gnuradio import gr, usrp, usrp2
+
+########################################################################
+# generic usrp common stuff
+########################################################################
+class _generic_usrp_base(object):
+
+    def __init__(self, which=0, subdev_spec=None, interface="", mac_addr="",
+        fusb_block_size=0, fusb_nblocks=0, usrpx=None, lo_offset=None, gain=None):
+        self._lo_offset = lo_offset
+        #usrp options
+        self._which = which
+        self._subdev_spec = subdev_spec
+        #usrp2 options
+        self._interface = interface
+        self._mac_addr = mac_addr
+        #fusb options
+        self._fusb_block_size = fusb_block_size
+        self._fusb_nblocks = fusb_nblocks
+        #pick which usrp model
+        if usrpx == '0': self._setup_usrpx(DUMMY_TYPE)
+        elif usrpx == '1' or self._subdev_spec: self._setup_usrpx(USRP1_TYPE)
+        elif usrpx == '2' or self._mac_addr: self._setup_usrpx(USRP2_TYPE)
+        else: #automatic
+            try: self._setup_usrpx(USRP2_TYPE)
+            except:
+                try: self._setup_usrpx(USRP1_TYPE)
+                except: raise Exception, 'Failed to automatically setup a usrp device.'
+        #post usrp setup
+        if self._lo_offset is not None:
+            self.set_lo_offset(self._lo_offset)
+        self.set_gain(gain)
+        self.set_auto_tr(True)
+
+    def _setup_usrpx(self, type):
+        """
+        Call the appropriate setup method.
+        @param type the usrp type constant
+        """
+        self._type = type
+        if self._type == USRP1_TYPE: self._setup_usrp1()
+        elif self._type == USRP2_TYPE: self._setup_usrp2()
+        elif self._type == DUMMY_TYPE: self._setup_dummy()
+
+    def __str__(self):
+        if self._type == USRP1_TYPE: return self._subdev.side_and_name()
+        elif self._type == USRP2_TYPE:
+            return 'Interface: %s    MAC Address: %s    D-Board ID: 0x%.2x'%(
+                self._u.interface_name(), self._u.mac_addr(), self._u.daughterboard_id())
+        elif self._type == DUMMY_TYPE: return 'Dummy USRP Device'
+
+    def gain(self): return self._gain
+
+    def set_gain(self, gain=None):
+        #automatic gain calculation
+        r = self.gain_range()
+        if gain is None: gain = (r[0] + r[1])/2 # set gain to midpoint
+        #set gain for usrp
+        self._gain = gain
+        if self._type == USRP1_TYPE: return self._subdev.set_gain(gain)
+        elif self._type == USRP2_TYPE: return self._u.set_gain(gain)
+        elif self._type == DUMMY_TYPE: return True
+
+    def gain_range(self):
+        if self._type == USRP1_TYPE: return self._subdev.gain_range()
+        elif self._type == USRP2_TYPE: return self._u.gain_range()
+        elif self._type == DUMMY_TYPE: return (0, 0, 0)
+
+    def set_center_freq(self, target_freq):
+        if self._type == USRP1_TYPE:
+            return self._u.tune(self._dxc, self._subdev, target_freq)
+        elif self._type == USRP2_TYPE:
+            return self._u.set_center_freq(target_freq)
+        elif self._type == DUMMY_TYPE: return _dummy_freq_result(target_freq)
+
+    def freq_range(self):
+        if self._type == USRP1_TYPE: return self._subdev.freq_range()
+        elif self._type == USRP2_TYPE: return self._u.freq_range()
+        elif self._type == DUMMY_TYPE: return (-10e9, 10e9, 100e3)
+
+    def set_lo_offset(self, lo_offset):
+        if self._type == USRP1_TYPE: return self._subdev.set_lo_offset(lo_offset)
+        elif self._type == USRP2_TYPE: return self._u.set_lo_offset(lo_offset)
+        elif self._type == DUMMY_TYPE: return True
+
+    def set_auto_tr(self, enable):
+        if self._type == USRP1_TYPE: return self._subdev.set_auto_tr(enable)
+
+    def __del__(self):
+        try: # Avoid weak reference error
+            del self._u
+            del self._subdev
+        except: pass
+
+########################################################################
+# generic usrp source
+########################################################################
+class generic_usrp_source_c(_generic_usrp_base, gr.hier_block2):
+    """
+    Create a generic usrp source that represents usrp and usrp2.
+    Take usrp and usrp2 constructor arguments and try to figure out usrp or usrp2.
+    Provide generic access methods so the API looks the same for both.
+    """
+
+    def __init__(self, **kwargs):
+        gr.hier_block2.__init__(self, "generic_usrp_source",
+            gr.io_signature(0, 0, 0), # Input signature
+            gr.io_signature(1, 1, gr.sizeof_gr_complex)) # Output signature
+        _generic_usrp_base.__init__(self, **kwargs)
+        self.connect(self._u, self)
+
+    ####################################################################
+    # generic access methods
+    ####################################################################
+    def set_decim(self, decim):
+        if decim not in self.get_decim_rates(): return False
+        if self._type == USRP1_TYPE: return self._u.set_decim_rate(decim)
+        elif self._type == USRP2_TYPE: return self._u.set_decim(decim)
+        elif self._type == DUMMY_TYPE: return True
+
+    def get_decim_rates(self):
+        if self._type == USRP1_TYPE: return range(8, 256+1, 2) #default firmware w/ hb filters
+        if self._type == USRP2_TYPE: return _USRP2_RATES
+        elif self._type == DUMMY_TYPE: return _DUMMY_XRATES
+
+    def adc_rate(self):
+        if self._type == USRP1_TYPE: return self._u.adc_rate()
+        if self._type == USRP2_TYPE: return self._u.adc_rate()
+        elif self._type == DUMMY_TYPE: return _DUMMY_CONVERTER_RATE
+
+    ####################################################################
+    # setup usrp methods
+    ####################################################################
+    def _setup_usrp1(self):
+        self._u = usrp.source_c (self._which,
+                                fusb_block_size=self._fusb_block_size,
+                                fusb_nblocks=self._fusb_nblocks)
+        # determine the daughterboard subdevice we're using
+        if self._subdev_spec is None:
+            self._subdev_spec = usrp.pick_rx_subdevice(self._u)
+        self._subdev = usrp.selected_subdev(self._u, self._subdev_spec)
+        self._u.set_mux(usrp.determine_rx_mux_value(self._u, self._subdev_spec))
+        self._dxc = 0
+
+    def _setup_usrp2(self):
+        self._u = usrp2.source_32fc(self._interface, self._mac_addr)
+
+    def _setup_dummy(self): self._u = gr.null_source(gr.sizeof_gr_complex)
+
+########################################################################
+# generic usrp sink
+########################################################################
+class generic_usrp_sink_c(_generic_usrp_base, gr.hier_block2):
+    """
+    Create a generic usrp sink that represents usrp and usrp2.
+    Take usrp and usrp2 constructor arguments and try to figure out usrp or usrp2.
+    Provide generic access methods so the API looks the same for both.
+    """
+
+    def __init__(self, **kwargs):
+        gr.hier_block2.__init__(self, "generic_usrp_sink",
+            gr.io_signature(1, 1, gr.sizeof_gr_complex), # Input signature
+            gr.io_signature(0, 0, 0)) # Output signature
+        _generic_usrp_base.__init__(self, **kwargs)
+        if self._type == USRP1_TYPE: #scale 0.0 to 1.0 input for usrp1
+            self.connect(self, gr.multiply_const_cc((2**15)-1), self._u)
+        else: self.connect(self, self._u)
+
+    ####################################################################
+    # generic access methods
+    ####################################################################
+    def set_interp(self, interp):
+        if interp not in self.get_interp_rates(): return False
+        if self._type == USRP1_TYPE: return self._u.set_interp_rate(interp)
+        elif self._type == USRP2_TYPE: return self._u.set_interp(interp)
+        elif self._type == DUMMY_TYPE: return True
+
+    def get_interp_rates(self):
+        if self._type == USRP1_TYPE: return range(16, 512+1, 4)
+        if self._type == USRP2_TYPE: return _USRP2_RATES
+        elif self._type == DUMMY_TYPE: return _DUMMY_XRATES
+
+    def dac_rate(self):
+        if self._type == USRP1_TYPE: return self._u.dac_rate()
+        if self._type == USRP2_TYPE: return self._u.dac_rate()
+        elif self._type == DUMMY_TYPE: return _DUMMY_CONVERTER_RATE
+
+    ####################################################################
+    # setup usrp methods
+    ####################################################################
+    def _setup_usrp1(self):
+        self._u = usrp.sink_c (self._which,
+                                fusb_block_size=self._fusb_block_size,
+                                fusb_nblocks=self._fusb_nblocks)
+        # determine the daughterboard subdevice we're using
+        if self._subdev_spec is None:
+            self._subdev_spec = usrp.pick_tx_subdevice(self._u)
+        self._subdev = usrp.selected_subdev(self._u, self._subdev_spec)
+        self._u.set_mux(usrp.determine_tx_mux_value(self._u, self._subdev_spec))
+        self._dxc = self._subdev.which()
+
+    def _setup_usrp2(self): self._u = usrp2.sink_32fc(self._interface, self._mac_addr)
+
+    def _setup_dummy(self): self._u = gr.null_sink(gr.sizeof_gr_complex)
diff --git a/gnuradio-examples/python/digital/usrp_options.py b/gnuradio-examples/python/digital/usrp_options.py
new file mode 100644 (file)
index 0000000..380ef60
--- /dev/null
@@ -0,0 +1,123 @@
+#
+# Copyright 2009 Free Software Foundation, Inc.
+#
+# This file is part of GNU Radio
+#
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+#
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+#
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+#
+
+_parser_to_groups_dict = dict()
+class _parser_groups(object):
+    def __init__(self, parser):
+        self.usrpx_grp = parser.add_option_group("General USRP Options")
+        self.usrp1_grp = parser.add_option_group("USRP1 Specific Options")
+        self.usrp1exp_grp = parser.add_option_group("USRP1 Expert Options")
+        self.usrp2_grp = parser.add_option_group("USRP2 Specific Options")
+
+import generic_usrp
+
+def _add_options(parser):
+    """
+    Add options to manually choose between usrp or usrp2.
+    Add options for usb. Add options common to source and sink.
+    @param parser: instance of OptionParser
+    @return the parser group
+    """
+    #cache groups so they dont get added twice on tranceiver apps
+    if not _parser_to_groups_dict.has_key(parser): _parser_to_groups_dict[parser] = _parser_groups(parser)
+    pg = _parser_to_groups_dict[parser]
+    #pick usrp or usrp2
+    pg.usrpx_grp.add_option("-u", "--usrpx", type="string", default=None,
+                      help="specify which usrp model: 1 for USRP, 2 for USRP2 [default=auto]")
+    #fast usb options
+    pg.usrp1exp_grp.add_option("-B", "--fusb-block-size", type="int", default=0,
+                      help="specify fast usb block size [default=%default]")
+    pg.usrp1exp_grp.add_option("-N", "--fusb-nblocks", type="int", default=0,
+                      help="specify number of fast usb blocks [default=%default]")
+    #lo offset
+    pg.usrpx_grp.add_option("--lo-offset", type="eng_float", default=None,
+                      help="set LO Offset in Hz [default=automatic].")
+    #usrp options
+    pg.usrp1_grp.add_option("-w", "--which", type="int", default=0,
+                      help="select USRP board [default=%default]")
+    #usrp2 options
+    pg.usrp2_grp.add_option("-e", "--interface", type="string", default="eth0",
+                      help="Use USRP2 at specified Ethernet interface [default=%default]")
+    pg.usrp2_grp.add_option("-a", "--mac-addr", type="string", default="",
+                      help="Use USRP2 at specified MAC address [default=None]")
+    return pg
+
+def add_rx_options(parser):
+    """
+    Add receive specific usrp options.
+    @param parser: instance of OptionParser
+    """
+    pg = _add_options(parser)
+    pg.usrp1_grp.add_option("-R", "--rx-subdev-spec", type="subdev", default=None,
+                      help="select USRP Rx side A or B")
+    pg.usrpx_grp.add_option("--rx-gain", type="eng_float", default=None, metavar="GAIN",
+                      help="set receiver gain in dB [default=midpoint].  See also --show-rx-gain-range")
+    pg.usrpx_grp.add_option("--show-rx-gain-range", action="store_true", default=False, 
+                      help="print min and max Rx gain available on selected daughterboard")
+    pg.usrpx_grp.add_option("-d", "--decim", type="intx", default=None,
+                      help="set fpga decimation rate to DECIM [default=%default]")
+
+def create_usrp_source(options):
+    u = generic_usrp.generic_usrp_source_c(
+        usrpx=options.usrpx,
+        which=options.which,
+        subdev_spec=options.rx_subdev_spec,
+        interface=options.interface,
+        mac_addr=options.mac_addr,
+        fusb_block_size=options.fusb_block_size,
+        fusb_nblocks=options.fusb_nblocks,
+        lo_offset=options.lo_offset,
+        gain=options.rx_gain,
+    )
+    if options.show_rx_gain_range:
+        print "Rx Gain Range: minimum = %g, maximum = %g, step size = %g"%tuple(u.gain_range())
+    return u
+
+def add_tx_options(parser):
+    """
+    Add transmit specific usrp options.
+    @param parser: instance of OptionParser
+    """
+    pg = _add_options(parser)
+    pg.usrp1_grp.add_option("-T", "--tx-subdev-spec", type="subdev", default=None,
+                      help="select USRP Rx side A or B")
+    pg.usrpx_grp.add_option("--tx-gain", type="eng_float", default=None, metavar="GAIN",
+                      help="set transmitter gain in dB [default=midpoint].  See also --show-tx-gain-range")
+    pg.usrpx_grp.add_option("--show-tx-gain-range", action="store_true", default=False, 
+                      help="print min and max Tx gain available on selected daughterboard")
+    pg.usrpx_grp.add_option("-i", "--interp", type="intx", default=None,
+                      help="set fpga interpolation rate to INTERP [default=%default]")
+
+def create_usrp_sink(options):
+    u = generic_usrp.generic_usrp_sink_c(
+        usrpx=options.usrpx,
+        which=options.which,
+        subdev_spec=options.tx_subdev_spec,
+        interface=options.interface,
+        mac_addr=options.mac_addr,
+        fusb_block_size=options.fusb_block_size,
+        fusb_nblocks=options.fusb_nblocks,
+        lo_offset=options.lo_offset,
+        gain=options.tx_gain,
+    )
+    if options.show_tx_gain_range:
+        print "Tx Gain Range: minimum = %g, maximum = %g, step size = %g"%tuple(u.gain_range())
+    return u
diff --git a/gnuradio-examples/python/digital_voice/Makefile.in b/gnuradio-examples/python/digital_voice/Makefile.in
new file mode 100644 (file)
index 0000000..62f60f4
--- /dev/null
@@ -0,0 +1,904 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004,2005,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(dist_ourdata_SCRIPTS) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(top_srcdir)/Makefile.common
+subdir = gnuradio-examples/python/digital_voice
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+am__installdirs = "$(DESTDIR)$(ourdatadir)"
+dist_ourdataSCRIPT_INSTALL = $(INSTALL_SCRIPT)
+SCRIPTS = $(dist_ourdata_SCRIPTS)
+SOURCES =
+DIST_SOURCES =
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+ourdatadir = $(exampledir)/digital_voice
+dist_ourdata_SCRIPTS = \
+       encdec.py       \
+       cvsd_test.py
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gnuradio-examples/python/digital_voice/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gnuradio-examples/python/digital_voice/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+install-dist_ourdataSCRIPTS: $(dist_ourdata_SCRIPTS)
+       @$(NORMAL_INSTALL)
+       test -z "$(ourdatadir)" || $(MKDIR_P) "$(DESTDIR)$(ourdatadir)"
+       @list='$(dist_ourdata_SCRIPTS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         if test -f $$d$$p; then \
+           f=`echo "$$p" | sed 's|^.*/||;$(transform)'`; \
+           echo " $(dist_ourdataSCRIPT_INSTALL) '$$d$$p' '$(DESTDIR)$(ourdatadir)/$$f'"; \
+           $(dist_ourdataSCRIPT_INSTALL) "$$d$$p" "$(DESTDIR)$(ourdatadir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-dist_ourdataSCRIPTS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_ourdata_SCRIPTS)'; for p in $$list; do \
+         f=`echo "$$p" | sed 's|^.*/||;$(transform)'`; \
+         echo " rm -f '$(DESTDIR)$(ourdatadir)/$$f'"; \
+         rm -f "$(DESTDIR)$(ourdatadir)/$$f"; \
+       done
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile $(SCRIPTS)
+installdirs:
+       for dir in "$(DESTDIR)$(ourdatadir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-dist_ourdataSCRIPTS
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-dist_ourdataSCRIPTS
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am \
+       install-dist_ourdataSCRIPTS install-dvi install-dvi-am \
+       install-exec install-exec-am install-html install-html-am \
+       install-info install-info-am install-man install-pdf \
+       install-pdf-am install-ps install-ps-am install-strip \
+       installcheck installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am uninstall uninstall-am \
+       uninstall-dist_ourdataSCRIPTS
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gnuradio-examples/python/mp-sched/Makefile.in b/gnuradio-examples/python/mp-sched/Makefile.in
new file mode 100644 (file)
index 0000000..166b5e0
--- /dev/null
@@ -0,0 +1,936 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License along
+# with this program; if not, write to the Free Software Foundation, Inc.,
+# 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+#
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = README $(dist_ourdata_DATA) $(dist_ourdata_SCRIPTS) \
+       $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gnuradio-examples/python/mp-sched
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+am__installdirs = "$(DESTDIR)$(ourdatadir)" "$(DESTDIR)$(ourdatadir)"
+dist_ourdataSCRIPT_INSTALL = $(INSTALL_SCRIPT)
+SCRIPTS = $(dist_ourdata_SCRIPTS)
+SOURCES =
+DIST_SOURCES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+dist_ourdataDATA_INSTALL = $(INSTALL_DATA)
+DATA = $(dist_ourdata_DATA)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+ourdatadir = $(exampledir)/mp-sched
+dist_ourdata_DATA = \
+       README
+
+dist_ourdata_SCRIPTS = \
+       plot_flops.py           \
+       run_synthetic.py        \
+       synthetic.py            \
+       wfm_rcv_pll_to_wav.py
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gnuradio-examples/python/mp-sched/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gnuradio-examples/python/mp-sched/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+install-dist_ourdataSCRIPTS: $(dist_ourdata_SCRIPTS)
+       @$(NORMAL_INSTALL)
+       test -z "$(ourdatadir)" || $(MKDIR_P) "$(DESTDIR)$(ourdatadir)"
+       @list='$(dist_ourdata_SCRIPTS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         if test -f $$d$$p; then \
+           f=`echo "$$p" | sed 's|^.*/||;$(transform)'`; \
+           echo " $(dist_ourdataSCRIPT_INSTALL) '$$d$$p' '$(DESTDIR)$(ourdatadir)/$$f'"; \
+           $(dist_ourdataSCRIPT_INSTALL) "$$d$$p" "$(DESTDIR)$(ourdatadir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-dist_ourdataSCRIPTS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_ourdata_SCRIPTS)'; for p in $$list; do \
+         f=`echo "$$p" | sed 's|^.*/||;$(transform)'`; \
+         echo " rm -f '$(DESTDIR)$(ourdatadir)/$$f'"; \
+         rm -f "$(DESTDIR)$(ourdatadir)/$$f"; \
+       done
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-dist_ourdataDATA: $(dist_ourdata_DATA)
+       @$(NORMAL_INSTALL)
+       test -z "$(ourdatadir)" || $(MKDIR_P) "$(DESTDIR)$(ourdatadir)"
+       @list='$(dist_ourdata_DATA)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(dist_ourdataDATA_INSTALL) '$$d$$p' '$(DESTDIR)$(ourdatadir)/$$f'"; \
+         $(dist_ourdataDATA_INSTALL) "$$d$$p" "$(DESTDIR)$(ourdatadir)/$$f"; \
+       done
+
+uninstall-dist_ourdataDATA:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_ourdata_DATA)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(ourdatadir)/$$f'"; \
+         rm -f "$(DESTDIR)$(ourdatadir)/$$f"; \
+       done
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile $(SCRIPTS) $(DATA)
+installdirs:
+       for dir in "$(DESTDIR)$(ourdatadir)" "$(DESTDIR)$(ourdatadir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-dist_ourdataDATA install-dist_ourdataSCRIPTS
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-dist_ourdataDATA uninstall-dist_ourdataSCRIPTS
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am \
+       install-dist_ourdataDATA install-dist_ourdataSCRIPTS \
+       install-dvi install-dvi-am install-exec install-exec-am \
+       install-html install-html-am install-info install-info-am \
+       install-man install-pdf install-pdf-am install-ps \
+       install-ps-am install-strip installcheck installcheck-am \
+       installdirs maintainer-clean maintainer-clean-generic \
+       mostlyclean mostlyclean-generic mostlyclean-libtool pdf pdf-am \
+       ps ps-am uninstall uninstall-am uninstall-dist_ourdataDATA \
+       uninstall-dist_ourdataSCRIPTS
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gnuradio-examples/python/multi-antenna/Makefile.in b/gnuradio-examples/python/multi-antenna/Makefile.in
new file mode 100644 (file)
index 0000000..d001a3f
--- /dev/null
@@ -0,0 +1,905 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2006,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(dist_ourdata_SCRIPTS) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(top_srcdir)/Makefile.common
+subdir = gnuradio-examples/python/multi-antenna
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+am__installdirs = "$(DESTDIR)$(ourdatadir)"
+dist_ourdataSCRIPT_INSTALL = $(INSTALL_SCRIPT)
+SCRIPTS = $(dist_ourdata_SCRIPTS)
+SOURCES =
+DIST_SOURCES =
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+ourdatadir = $(exampledir)/multi-antenna
+dist_ourdata_SCRIPTS = \
+    multi_fft.py       \
+    multi_file.py      \
+    multi_scope.py
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gnuradio-examples/python/multi-antenna/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gnuradio-examples/python/multi-antenna/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+install-dist_ourdataSCRIPTS: $(dist_ourdata_SCRIPTS)
+       @$(NORMAL_INSTALL)
+       test -z "$(ourdatadir)" || $(MKDIR_P) "$(DESTDIR)$(ourdatadir)"
+       @list='$(dist_ourdata_SCRIPTS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         if test -f $$d$$p; then \
+           f=`echo "$$p" | sed 's|^.*/||;$(transform)'`; \
+           echo " $(dist_ourdataSCRIPT_INSTALL) '$$d$$p' '$(DESTDIR)$(ourdatadir)/$$f'"; \
+           $(dist_ourdataSCRIPT_INSTALL) "$$d$$p" "$(DESTDIR)$(ourdatadir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-dist_ourdataSCRIPTS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_ourdata_SCRIPTS)'; for p in $$list; do \
+         f=`echo "$$p" | sed 's|^.*/||;$(transform)'`; \
+         echo " rm -f '$(DESTDIR)$(ourdatadir)/$$f'"; \
+         rm -f "$(DESTDIR)$(ourdatadir)/$$f"; \
+       done
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile $(SCRIPTS)
+installdirs:
+       for dir in "$(DESTDIR)$(ourdatadir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-dist_ourdataSCRIPTS
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-dist_ourdataSCRIPTS
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am \
+       install-dist_ourdataSCRIPTS install-dvi install-dvi-am \
+       install-exec install-exec-am install-html install-html-am \
+       install-info install-info-am install-man install-pdf \
+       install-pdf-am install-ps install-ps-am install-strip \
+       installcheck installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am uninstall uninstall-am \
+       uninstall-dist_ourdataSCRIPTS
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gnuradio-examples/python/multi_usrp/Makefile.in b/gnuradio-examples/python/multi_usrp/Makefile.in
new file mode 100644 (file)
index 0000000..16cd3a5
--- /dev/null
@@ -0,0 +1,935 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2006,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = README $(dist_ourdata_DATA) $(dist_ourdata_SCRIPTS) \
+       $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gnuradio-examples/python/multi_usrp
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+am__installdirs = "$(DESTDIR)$(ourdatadir)" "$(DESTDIR)$(ourdatadir)"
+dist_ourdataSCRIPT_INSTALL = $(INSTALL_SCRIPT)
+SCRIPTS = $(dist_ourdata_SCRIPTS)
+SOURCES =
+DIST_SOURCES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+dist_ourdataDATA_INSTALL = $(INSTALL_DATA)
+DATA = $(dist_ourdata_DATA)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+ourdatadir = $(exampledir)/multi_usrp
+dist_ourdata_DATA = \
+       README
+
+dist_ourdata_SCRIPTS = \
+       multi_usrp_oscope.py    \
+       multi_usrp_rx_cfile.py
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gnuradio-examples/python/multi_usrp/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gnuradio-examples/python/multi_usrp/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+install-dist_ourdataSCRIPTS: $(dist_ourdata_SCRIPTS)
+       @$(NORMAL_INSTALL)
+       test -z "$(ourdatadir)" || $(MKDIR_P) "$(DESTDIR)$(ourdatadir)"
+       @list='$(dist_ourdata_SCRIPTS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         if test -f $$d$$p; then \
+           f=`echo "$$p" | sed 's|^.*/||;$(transform)'`; \
+           echo " $(dist_ourdataSCRIPT_INSTALL) '$$d$$p' '$(DESTDIR)$(ourdatadir)/$$f'"; \
+           $(dist_ourdataSCRIPT_INSTALL) "$$d$$p" "$(DESTDIR)$(ourdatadir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-dist_ourdataSCRIPTS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_ourdata_SCRIPTS)'; for p in $$list; do \
+         f=`echo "$$p" | sed 's|^.*/||;$(transform)'`; \
+         echo " rm -f '$(DESTDIR)$(ourdatadir)/$$f'"; \
+         rm -f "$(DESTDIR)$(ourdatadir)/$$f"; \
+       done
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-dist_ourdataDATA: $(dist_ourdata_DATA)
+       @$(NORMAL_INSTALL)
+       test -z "$(ourdatadir)" || $(MKDIR_P) "$(DESTDIR)$(ourdatadir)"
+       @list='$(dist_ourdata_DATA)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(dist_ourdataDATA_INSTALL) '$$d$$p' '$(DESTDIR)$(ourdatadir)/$$f'"; \
+         $(dist_ourdataDATA_INSTALL) "$$d$$p" "$(DESTDIR)$(ourdatadir)/$$f"; \
+       done
+
+uninstall-dist_ourdataDATA:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_ourdata_DATA)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(ourdatadir)/$$f'"; \
+         rm -f "$(DESTDIR)$(ourdatadir)/$$f"; \
+       done
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile $(SCRIPTS) $(DATA)
+installdirs:
+       for dir in "$(DESTDIR)$(ourdatadir)" "$(DESTDIR)$(ourdatadir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-dist_ourdataDATA install-dist_ourdataSCRIPTS
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-dist_ourdataDATA uninstall-dist_ourdataSCRIPTS
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am \
+       install-dist_ourdataDATA install-dist_ourdataSCRIPTS \
+       install-dvi install-dvi-am install-exec install-exec-am \
+       install-html install-html-am install-info install-info-am \
+       install-man install-pdf install-pdf-am install-ps \
+       install-ps-am install-strip installcheck installcheck-am \
+       installdirs maintainer-clean maintainer-clean-generic \
+       mostlyclean mostlyclean-generic mostlyclean-libtool pdf pdf-am \
+       ps ps-am uninstall uninstall-am uninstall-dist_ourdataDATA \
+       uninstall-dist_ourdataSCRIPTS
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gnuradio-examples/python/network/Makefile.in b/gnuradio-examples/python/network/Makefile.in
new file mode 100644 (file)
index 0000000..0703358
--- /dev/null
@@ -0,0 +1,908 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2007,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(dist_ourdata_SCRIPTS) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(top_srcdir)/Makefile.common
+subdir = gnuradio-examples/python/network
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+am__installdirs = "$(DESTDIR)$(ourdatadir)"
+dist_ourdataSCRIPT_INSTALL = $(INSTALL_SCRIPT)
+SCRIPTS = $(dist_ourdata_SCRIPTS)
+SOURCES =
+DIST_SOURCES =
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+ourdatadir = $(exampledir)/network
+dist_ourdata_SCRIPTS = \
+       audio_sink.py           \
+       audio_source.py         \
+       dial_tone_sink.py       \
+       dial_tone_source.py     \
+       vector_sink.py          \
+       vector_source.py
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gnuradio-examples/python/network/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gnuradio-examples/python/network/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+install-dist_ourdataSCRIPTS: $(dist_ourdata_SCRIPTS)
+       @$(NORMAL_INSTALL)
+       test -z "$(ourdatadir)" || $(MKDIR_P) "$(DESTDIR)$(ourdatadir)"
+       @list='$(dist_ourdata_SCRIPTS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         if test -f $$d$$p; then \
+           f=`echo "$$p" | sed 's|^.*/||;$(transform)'`; \
+           echo " $(dist_ourdataSCRIPT_INSTALL) '$$d$$p' '$(DESTDIR)$(ourdatadir)/$$f'"; \
+           $(dist_ourdataSCRIPT_INSTALL) "$$d$$p" "$(DESTDIR)$(ourdatadir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-dist_ourdataSCRIPTS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_ourdata_SCRIPTS)'; for p in $$list; do \
+         f=`echo "$$p" | sed 's|^.*/||;$(transform)'`; \
+         echo " rm -f '$(DESTDIR)$(ourdatadir)/$$f'"; \
+         rm -f "$(DESTDIR)$(ourdatadir)/$$f"; \
+       done
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile $(SCRIPTS)
+installdirs:
+       for dir in "$(DESTDIR)$(ourdatadir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-dist_ourdataSCRIPTS
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-dist_ourdataSCRIPTS
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am \
+       install-dist_ourdataSCRIPTS install-dvi install-dvi-am \
+       install-exec install-exec-am install-html install-html-am \
+       install-info install-info-am install-man install-pdf \
+       install-pdf-am install-ps install-ps-am install-strip \
+       installcheck installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am uninstall uninstall-am \
+       uninstall-dist_ourdataSCRIPTS
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gnuradio-examples/python/ofdm/Makefile.in b/gnuradio-examples/python/ofdm/Makefile.in
new file mode 100644 (file)
index 0000000..0455e92
--- /dev/null
@@ -0,0 +1,945 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2007,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(dist_ourdata_DATA) $(dist_ourdata_SCRIPTS) \
+       $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gnuradio-examples/python/ofdm
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+am__installdirs = "$(DESTDIR)$(ourdatadir)" "$(DESTDIR)$(ourdatadir)"
+dist_ourdataSCRIPT_INSTALL = $(INSTALL_SCRIPT)
+SCRIPTS = $(dist_ourdata_SCRIPTS)
+SOURCES =
+DIST_SOURCES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+dist_ourdataDATA_INSTALL = $(INSTALL_DATA)
+DATA = $(dist_ourdata_DATA)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+ourdatadir = $(exampledir)/ofdm
+dist_ourdata_DATA = \
+       ofdm_sync.m             \
+       ofdm_sync_pn.m          \
+       fusb_options.py         \
+       plot_ofdm.m             \
+       pick_bitrate.py         \
+       receive_path.py         \
+       transmit_path.py
+
+dist_ourdata_SCRIPTS = \
+       benchmark_ofdm.py       \
+       benchmark_ofdm_rx.py    \
+       benchmark_ofdm_tx.py    \
+       fftshift.py             \
+       ofdm_mod_demod_test.py  \
+       tunnel.py
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gnuradio-examples/python/ofdm/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gnuradio-examples/python/ofdm/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+install-dist_ourdataSCRIPTS: $(dist_ourdata_SCRIPTS)
+       @$(NORMAL_INSTALL)
+       test -z "$(ourdatadir)" || $(MKDIR_P) "$(DESTDIR)$(ourdatadir)"
+       @list='$(dist_ourdata_SCRIPTS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         if test -f $$d$$p; then \
+           f=`echo "$$p" | sed 's|^.*/||;$(transform)'`; \
+           echo " $(dist_ourdataSCRIPT_INSTALL) '$$d$$p' '$(DESTDIR)$(ourdatadir)/$$f'"; \
+           $(dist_ourdataSCRIPT_INSTALL) "$$d$$p" "$(DESTDIR)$(ourdatadir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-dist_ourdataSCRIPTS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_ourdata_SCRIPTS)'; for p in $$list; do \
+         f=`echo "$$p" | sed 's|^.*/||;$(transform)'`; \
+         echo " rm -f '$(DESTDIR)$(ourdatadir)/$$f'"; \
+         rm -f "$(DESTDIR)$(ourdatadir)/$$f"; \
+       done
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-dist_ourdataDATA: $(dist_ourdata_DATA)
+       @$(NORMAL_INSTALL)
+       test -z "$(ourdatadir)" || $(MKDIR_P) "$(DESTDIR)$(ourdatadir)"
+       @list='$(dist_ourdata_DATA)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(dist_ourdataDATA_INSTALL) '$$d$$p' '$(DESTDIR)$(ourdatadir)/$$f'"; \
+         $(dist_ourdataDATA_INSTALL) "$$d$$p" "$(DESTDIR)$(ourdatadir)/$$f"; \
+       done
+
+uninstall-dist_ourdataDATA:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_ourdata_DATA)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(ourdatadir)/$$f'"; \
+         rm -f "$(DESTDIR)$(ourdatadir)/$$f"; \
+       done
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile $(SCRIPTS) $(DATA)
+installdirs:
+       for dir in "$(DESTDIR)$(ourdatadir)" "$(DESTDIR)$(ourdatadir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-dist_ourdataDATA install-dist_ourdataSCRIPTS
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-dist_ourdataDATA uninstall-dist_ourdataSCRIPTS
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am \
+       install-dist_ourdataDATA install-dist_ourdataSCRIPTS \
+       install-dvi install-dvi-am install-exec install-exec-am \
+       install-html install-html-am install-info install-info-am \
+       install-man install-pdf install-pdf-am install-ps \
+       install-ps-am install-strip installcheck installcheck-am \
+       installdirs maintainer-clean maintainer-clean-generic \
+       mostlyclean mostlyclean-generic mostlyclean-libtool pdf pdf-am \
+       ps ps-am uninstall uninstall-am uninstall-dist_ourdataDATA \
+       uninstall-dist_ourdataSCRIPTS
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gnuradio-examples/python/usrp/Makefile.in b/gnuradio-examples/python/usrp/Makefile.in
new file mode 100644 (file)
index 0000000..f215d2b
--- /dev/null
@@ -0,0 +1,922 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004,2005,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(dist_ourdata_SCRIPTS) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(top_srcdir)/Makefile.common
+subdir = gnuradio-examples/python/usrp
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+am__installdirs = "$(DESTDIR)$(ourdatadir)"
+dist_ourdataSCRIPT_INSTALL = $(INSTALL_SCRIPT)
+SCRIPTS = $(dist_ourdata_SCRIPTS)
+SOURCES =
+DIST_SOURCES =
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+ourdatadir = $(exampledir)/usrp
+dist_ourdata_SCRIPTS = \
+       fm_tx_2_daughterboards.py       \
+       fm_tx4.py                       \
+       max_power.py                    \
+       test_dft_analysis.py            \
+       test_dft_synth.py               \
+       usrp_benchmark_usb.py           \
+       usrp_nbfm_ptt.py                \
+       usrp_nbfm_rcv.py                \
+       usrp_spectrum_sense.py          \
+       usrp_test_loop_lfsr.py          \
+       usrp_tv_rcv_nogui.py            \
+       usrp_tv_rcv.py                  \
+       usrp_wfm_rcv.py                 \
+       usrp_wfm_rcv_nogui.py           \
+       usrp_wfm_rcv_fmdet.py           \
+       usrp_wfm_rcv_pll.py             \
+       usrp_wfm_rcv_sca.py             \
+       usrp_wfm_rcv2_nogui.py          \
+       usrp_wxapt_rcv.py               \
+       usrp_am_mw_rcv.py
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gnuradio-examples/python/usrp/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gnuradio-examples/python/usrp/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+install-dist_ourdataSCRIPTS: $(dist_ourdata_SCRIPTS)
+       @$(NORMAL_INSTALL)
+       test -z "$(ourdatadir)" || $(MKDIR_P) "$(DESTDIR)$(ourdatadir)"
+       @list='$(dist_ourdata_SCRIPTS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         if test -f $$d$$p; then \
+           f=`echo "$$p" | sed 's|^.*/||;$(transform)'`; \
+           echo " $(dist_ourdataSCRIPT_INSTALL) '$$d$$p' '$(DESTDIR)$(ourdatadir)/$$f'"; \
+           $(dist_ourdataSCRIPT_INSTALL) "$$d$$p" "$(DESTDIR)$(ourdatadir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-dist_ourdataSCRIPTS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_ourdata_SCRIPTS)'; for p in $$list; do \
+         f=`echo "$$p" | sed 's|^.*/||;$(transform)'`; \
+         echo " rm -f '$(DESTDIR)$(ourdatadir)/$$f'"; \
+         rm -f "$(DESTDIR)$(ourdatadir)/$$f"; \
+       done
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile $(SCRIPTS)
+installdirs:
+       for dir in "$(DESTDIR)$(ourdatadir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-dist_ourdataSCRIPTS
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-dist_ourdataSCRIPTS
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am \
+       install-dist_ourdataSCRIPTS install-dvi install-dvi-am \
+       install-exec install-exec-am install-html install-html-am \
+       install-info install-info-am install-man install-pdf \
+       install-pdf-am install-ps install-ps-am install-strip \
+       installcheck installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am uninstall uninstall-am \
+       uninstall-dist_ourdataSCRIPTS
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gnuradio-examples/python/usrp2/Makefile.in b/gnuradio-examples/python/usrp2/Makefile.in
new file mode 100644 (file)
index 0000000..015cfce
--- /dev/null
@@ -0,0 +1,936 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004,2005,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(dist_ourdata_DATA) $(dist_ourdata_SCRIPTS) \
+       $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gnuradio-examples/python/usrp2
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+am__installdirs = "$(DESTDIR)$(ourdatadir)" "$(DESTDIR)$(ourdatadir)"
+dist_ourdataSCRIPT_INSTALL = $(INSTALL_SCRIPT)
+SCRIPTS = $(dist_ourdata_SCRIPTS)
+SOURCES =
+DIST_SOURCES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+dist_ourdataDATA_INSTALL = $(INSTALL_DATA)
+DATA = $(dist_ourdata_DATA)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+ourdatadir = $(exampledir)/usrp2
+dist_ourdata_DATA = \
+       qt_wfm_interface.ui \
+       qt_wfm_interface.py
+
+dist_ourdata_SCRIPTS = \
+       usrp2_wfm_qt.py \
+       usrp2_wfm_rcv.py
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gnuradio-examples/python/usrp2/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gnuradio-examples/python/usrp2/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+install-dist_ourdataSCRIPTS: $(dist_ourdata_SCRIPTS)
+       @$(NORMAL_INSTALL)
+       test -z "$(ourdatadir)" || $(MKDIR_P) "$(DESTDIR)$(ourdatadir)"
+       @list='$(dist_ourdata_SCRIPTS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         if test -f $$d$$p; then \
+           f=`echo "$$p" | sed 's|^.*/||;$(transform)'`; \
+           echo " $(dist_ourdataSCRIPT_INSTALL) '$$d$$p' '$(DESTDIR)$(ourdatadir)/$$f'"; \
+           $(dist_ourdataSCRIPT_INSTALL) "$$d$$p" "$(DESTDIR)$(ourdatadir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-dist_ourdataSCRIPTS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_ourdata_SCRIPTS)'; for p in $$list; do \
+         f=`echo "$$p" | sed 's|^.*/||;$(transform)'`; \
+         echo " rm -f '$(DESTDIR)$(ourdatadir)/$$f'"; \
+         rm -f "$(DESTDIR)$(ourdatadir)/$$f"; \
+       done
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-dist_ourdataDATA: $(dist_ourdata_DATA)
+       @$(NORMAL_INSTALL)
+       test -z "$(ourdatadir)" || $(MKDIR_P) "$(DESTDIR)$(ourdatadir)"
+       @list='$(dist_ourdata_DATA)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(dist_ourdataDATA_INSTALL) '$$d$$p' '$(DESTDIR)$(ourdatadir)/$$f'"; \
+         $(dist_ourdataDATA_INSTALL) "$$d$$p" "$(DESTDIR)$(ourdatadir)/$$f"; \
+       done
+
+uninstall-dist_ourdataDATA:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_ourdata_DATA)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(ourdatadir)/$$f'"; \
+         rm -f "$(DESTDIR)$(ourdatadir)/$$f"; \
+       done
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile $(SCRIPTS) $(DATA)
+installdirs:
+       for dir in "$(DESTDIR)$(ourdatadir)" "$(DESTDIR)$(ourdatadir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-dist_ourdataDATA install-dist_ourdataSCRIPTS
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-dist_ourdataDATA uninstall-dist_ourdataSCRIPTS
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am \
+       install-dist_ourdataDATA install-dist_ourdataSCRIPTS \
+       install-dvi install-dvi-am install-exec install-exec-am \
+       install-html install-html-am install-info install-info-am \
+       install-man install-pdf install-pdf-am install-ps \
+       install-ps-am install-strip installcheck installcheck-am \
+       installdirs maintainer-clean maintainer-clean-generic \
+       mostlyclean mostlyclean-generic mostlyclean-libtool pdf pdf-am \
+       ps ps-am uninstall uninstall-am uninstall-dist_ourdataDATA \
+       uninstall-dist_ourdataSCRIPTS
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-audio-alsa/Makefile.in b/gr-audio-alsa/Makefile.in
new file mode 100644 (file)
index 0000000..d216892
--- /dev/null
@@ -0,0 +1,1090 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004,2006,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(dist_etc_DATA) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(srcdir)/gr-audio-alsa.pc.in \
+       $(top_srcdir)/Makefile.common
+subdir = gr-audio-alsa
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES = gr-audio-alsa.pc
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(etcdir)" "$(DESTDIR)$(pkgconfigdir)"
+dist_etcDATA_INSTALL = $(INSTALL_DATA)
+pkgconfigDATA_INSTALL = $(INSTALL_DATA)
+DATA = $(dist_etc_DATA) $(pkgconfig_DATA)
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+EXTRA_DIST = \
+    gr-audio-alsa.pc.in
+
+SUBDIRS = src
+pkgconfigdir = $(libdir)/pkgconfig
+pkgconfig_DATA = gr-audio-alsa.pc
+etcdir = $(gr_sysconfdir)
+dist_etc_DATA = gr-audio-alsa.conf
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-audio-alsa/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-audio-alsa/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+gr-audio-alsa.pc: $(top_builddir)/config.status $(srcdir)/gr-audio-alsa.pc.in
+       cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-dist_etcDATA: $(dist_etc_DATA)
+       @$(NORMAL_INSTALL)
+       test -z "$(etcdir)" || $(MKDIR_P) "$(DESTDIR)$(etcdir)"
+       @list='$(dist_etc_DATA)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(dist_etcDATA_INSTALL) '$$d$$p' '$(DESTDIR)$(etcdir)/$$f'"; \
+         $(dist_etcDATA_INSTALL) "$$d$$p" "$(DESTDIR)$(etcdir)/$$f"; \
+       done
+
+uninstall-dist_etcDATA:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_etc_DATA)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(etcdir)/$$f'"; \
+         rm -f "$(DESTDIR)$(etcdir)/$$f"; \
+       done
+install-pkgconfigDATA: $(pkgconfig_DATA)
+       @$(NORMAL_INSTALL)
+       test -z "$(pkgconfigdir)" || $(MKDIR_P) "$(DESTDIR)$(pkgconfigdir)"
+       @list='$(pkgconfig_DATA)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(pkgconfigDATA_INSTALL) '$$d$$p' '$(DESTDIR)$(pkgconfigdir)/$$f'"; \
+         $(pkgconfigDATA_INSTALL) "$$d$$p" "$(DESTDIR)$(pkgconfigdir)/$$f"; \
+       done
+
+uninstall-pkgconfigDATA:
+       @$(NORMAL_UNINSTALL)
+       @list='$(pkgconfig_DATA)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(pkgconfigdir)/$$f'"; \
+         rm -f "$(DESTDIR)$(pkgconfigdir)/$$f"; \
+       done
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-recursive
+all-am: Makefile $(DATA)
+installdirs: installdirs-recursive
+installdirs-am:
+       for dir in "$(DESTDIR)$(etcdir)" "$(DESTDIR)$(pkgconfigdir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am: install-dist_etcDATA install-pkgconfigDATA
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am: uninstall-dist_etcDATA uninstall-pkgconfigDATA
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive dist-hook distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dist_etcDATA install-dvi \
+       install-dvi-am install-exec install-exec-am install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-pkgconfigDATA install-ps \
+       install-ps-am install-strip installcheck installcheck-am \
+       installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am uninstall-dist_etcDATA \
+       uninstall-pkgconfigDATA
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-audio-alsa/gr-audio-alsa.pc.in b/gr-audio-alsa/gr-audio-alsa.pc.in
new file mode 100644 (file)
index 0000000..69b6e8c
--- /dev/null
@@ -0,0 +1,11 @@
+prefix=@prefix@
+exec_prefix=@exec_prefix@
+libdir=@libdir@
+includedir=@includedir@
+
+Name: gnuradio-audio-alsa
+Description: The GNU Radio block for the ALSA sound system
+Requires: gnuradio-core
+Version: @VERSION@
+Libs: -L${libdir} -lgnuradio-audio-alsa
+Cflags: -I${includedir}
diff --git a/gr-audio-alsa/src/Makefile.in b/gr-audio-alsa/src/Makefile.in
new file mode 100644 (file)
index 0000000..9544374
--- /dev/null
@@ -0,0 +1,1480 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# Makefile.swig.gen for audio_alsa.i
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(audio_alsa_python_PYTHON) \
+       $(audio_alsa_swiginclude_HEADERS) $(grinclude_HEADERS) \
+       $(noinst_HEADERS) $(noinst_PYTHON) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(srcdir)/Makefile.swig.gen \
+       $(srcdir)/run_tests.in $(top_srcdir)/Makefile.common \
+       $(top_srcdir)/Makefile.swig
+subdir = gr-audio-alsa/src
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES = run_tests
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(audio_alsa_pylibdir)" \
+       "$(DESTDIR)$(libdir)" "$(DESTDIR)$(audio_alsa_pythondir)" \
+       "$(DESTDIR)$(audio_alsa_swigincludedir)" \
+       "$(DESTDIR)$(grincludedir)"
+audio_alsa_pylibLTLIBRARIES_INSTALL = $(INSTALL)
+libLTLIBRARIES_INSTALL = $(INSTALL)
+LTLIBRARIES = $(audio_alsa_pylib_LTLIBRARIES) $(lib_LTLIBRARIES)
+am__DEPENDENCIES_1 =
+_audio_alsa_la_DEPENDENCIES = $(am__DEPENDENCIES_1) \
+       $(audio_alsa_la_swig_libadd)
+am__audio_alsa_la_OBJECTS = _audio_alsa_la-audio_alsa.lo
+_audio_alsa_la_OBJECTS = $(am__audio_alsa_la_OBJECTS)
+_audio_alsa_la_LINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) \
+       $(LIBTOOLFLAGS) --mode=link $(CXXLD) \
+       $(_audio_alsa_la_CXXFLAGS) $(CXXFLAGS) \
+       $(_audio_alsa_la_LDFLAGS) $(LDFLAGS) -o $@
+libgnuradio_audio_alsa_la_DEPENDENCIES = $(am__DEPENDENCIES_1) \
+       $(am__DEPENDENCIES_1)
+am_libgnuradio_audio_alsa_la_OBJECTS = audio_alsa_sink.lo \
+       audio_alsa_source.lo gri_alsa.lo
+libgnuradio_audio_alsa_la_OBJECTS =  \
+       $(am_libgnuradio_audio_alsa_la_OBJECTS)
+libgnuradio_audio_alsa_la_LINK = $(LIBTOOL) --tag=CXX \
+       $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=link $(CXXLD) \
+       $(AM_CXXFLAGS) $(CXXFLAGS) \
+       $(libgnuradio_audio_alsa_la_LDFLAGS) $(LDFLAGS) -o $@
+DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
+depcomp = $(SHELL) $(top_srcdir)/depcomp
+am__depfiles_maybe = depfiles
+CXXCOMPILE = $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+LTCXXCOMPILE = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+CXXLD = $(CXX)
+CXXLINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CXXLD) $(AM_CXXFLAGS) $(CXXFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+SOURCES = $(_audio_alsa_la_SOURCES) \
+       $(libgnuradio_audio_alsa_la_SOURCES)
+DIST_SOURCES = $(_audio_alsa_la_SOURCES) \
+       $(libgnuradio_audio_alsa_la_SOURCES)
+audio_alsa_pythonPYTHON_INSTALL = $(INSTALL_DATA)
+py_compile = $(top_srcdir)/py-compile
+audio_alsa_swigincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+grincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+HEADERS = $(audio_alsa_swiginclude_HEADERS) $(grinclude_HEADERS) \
+       $(noinst_HEADERS)
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = $(DEPDIR)/audio_alsa-generate-*
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp \
+       *.loT $(DEPDIR)/*.S*
+EXTRA_DIST = run_tests.in
+TESTS = run_tests
+DISTCLEANFILES = run_tests
+
+# C/C++ headers get installed in ${prefix}/include/gnuradio
+grinclude_HEADERS = \
+       audio_alsa_sink.h               \
+       audio_alsa_source.h
+
+noinst_HEADERS = \
+       gri_alsa.h
+
+noinst_PYTHON = \
+       qa_alsa.py
+
+AM_CPPFLAGS = $(STD_DEFINES_AND_INCLUDES) $(PYTHON_CPPFLAGS) $(WITH_INCLUDES)
+
+###################################
+# ALSA library, including OS interface, sink, and source
+lib_LTLIBRARIES = libgnuradio-audio-alsa.la
+libgnuradio_audio_alsa_la_SOURCES = \
+       audio_alsa_sink.cc              \
+       audio_alsa_source.cc            \
+       gri_alsa.cc
+
+libgnuradio_audio_alsa_la_LIBADD = \
+       $(GNURADIO_CORE_LA)             \
+       $(ALSA_LIBS)
+
+libgnuradio_audio_alsa_la_LDFLAGS = \
+       $(NO_UNDEFINED)
+
+
+###################################
+# SWIG Python interface and library
+TOP_SWIG_IFILES = \
+       audio_alsa.i
+
+
+# Install so that they end up available as:
+#   import gnuradio.audio_alsa
+# This ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+audio_alsa_pythondir_category = \
+       gnuradio
+
+
+# additional libraries for linking with the SWIG-generated library
+audio_alsa_la_swig_libadd = \
+       libgnuradio-audio-alsa.la
+
+SWIG_PYTHON_FLAGS = \
+       -fvirtual       \
+       -python         \
+       -modern         \
+       -keyword        \
+       -w511           \
+       -outdir .
+
+STD_SWIG_PYTHON_ARGS = \
+       $(SWIG_PYTHON_FLAGS)                    \
+       $(STD_DEFINES_AND_INCLUDES)             \
+       $(WITH_SWIG_INCLUDES)                   \
+       $(WITH_INCLUDES)
+
+STD_SWIG_LA_LD_FLAGS = \
+       $(PYTHON_LDFLAGS)       \
+       -module                 \
+       -avoid-version          \
+       $(NO_UNDEFINED)
+
+STD_SWIG_LA_LIB_ADD = \
+       -lstdc++
+
+STD_SWIG_CXX_FLAGS = @swig_CXXFLAGS@
+SUFFIXES = .i
+swig_built_sources = audio_alsa.py audio_alsa.cc
+audio_alsa_pythondir = $(pythondir)/$(audio_alsa_pythondir_category)
+audio_alsa_pylibdir = $(pyexecdir)/$(audio_alsa_pylibdir_category)
+audio_alsa_swigincludedir = $(swigincludedir)
+audio_alsa_swiginclude_HEADERS = \
+       audio_alsa.i                    \
+       $(audio_alsa_swiginclude_headers)
+
+audio_alsa_pylib_LTLIBRARIES = \
+       _audio_alsa.la
+
+_audio_alsa_la_SOURCES = \
+       audio_alsa.cc                   \
+       $(audio_alsa_la_swig_sources)
+
+_audio_alsa_la_LIBADD = \
+       $(STD_SWIG_LA_LIB_ADD)          \
+       $(audio_alsa_la_swig_libadd)
+
+_audio_alsa_la_LDFLAGS = \
+       $(STD_SWIG_LA_LD_FLAGS)         \
+       $(audio_alsa_la_swig_ldflags)
+
+_audio_alsa_la_CXXFLAGS = \
+       $(STD_SWIG_CXX_FLAGS)           \
+       $(audio_alsa_la_swig_cxxflags)
+
+audio_alsa_python_PYTHON = \
+       audio_alsa.py                   \
+       $(audio_alsa_python)
+
+
+# add some of the variables generated inside the Makefile.swig.gen
+BUILT_SOURCES = $(swig_built_sources)
+
+# Do not distribute the output of SWIG
+no_dist_files = $(swig_built_sources)
+all: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) all-am
+
+.SUFFIXES:
+.SUFFIXES: .i .cc .lo .o .obj
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(top_srcdir)/Makefile.swig $(srcdir)/Makefile.swig.gen $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-audio-alsa/src/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-audio-alsa/src/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+run_tests: $(top_builddir)/config.status $(srcdir)/run_tests.in
+       cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@
+install-audio_alsa_pylibLTLIBRARIES: $(audio_alsa_pylib_LTLIBRARIES)
+       @$(NORMAL_INSTALL)
+       test -z "$(audio_alsa_pylibdir)" || $(MKDIR_P) "$(DESTDIR)$(audio_alsa_pylibdir)"
+       @list='$(audio_alsa_pylib_LTLIBRARIES)'; for p in $$list; do \
+         if test -f $$p; then \
+           f=$(am__strip_dir) \
+           echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(audio_alsa_pylibLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) '$$p' '$(DESTDIR)$(audio_alsa_pylibdir)/$$f'"; \
+           $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(audio_alsa_pylibLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) "$$p" "$(DESTDIR)$(audio_alsa_pylibdir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-audio_alsa_pylibLTLIBRARIES:
+       @$(NORMAL_UNINSTALL)
+       @list='$(audio_alsa_pylib_LTLIBRARIES)'; for p in $$list; do \
+         p=$(am__strip_dir) \
+         echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f '$(DESTDIR)$(audio_alsa_pylibdir)/$$p'"; \
+         $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f "$(DESTDIR)$(audio_alsa_pylibdir)/$$p"; \
+       done
+
+clean-audio_alsa_pylibLTLIBRARIES:
+       -test -z "$(audio_alsa_pylib_LTLIBRARIES)" || rm -f $(audio_alsa_pylib_LTLIBRARIES)
+       @list='$(audio_alsa_pylib_LTLIBRARIES)'; for p in $$list; do \
+         dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
+         test "$$dir" != "$$p" || dir=.; \
+         echo "rm -f \"$${dir}/so_locations\""; \
+         rm -f "$${dir}/so_locations"; \
+       done
+install-libLTLIBRARIES: $(lib_LTLIBRARIES)
+       @$(NORMAL_INSTALL)
+       test -z "$(libdir)" || $(MKDIR_P) "$(DESTDIR)$(libdir)"
+       @list='$(lib_LTLIBRARIES)'; for p in $$list; do \
+         if test -f $$p; then \
+           f=$(am__strip_dir) \
+           echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(libLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) '$$p' '$(DESTDIR)$(libdir)/$$f'"; \
+           $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(libLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) "$$p" "$(DESTDIR)$(libdir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-libLTLIBRARIES:
+       @$(NORMAL_UNINSTALL)
+       @list='$(lib_LTLIBRARIES)'; for p in $$list; do \
+         p=$(am__strip_dir) \
+         echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f '$(DESTDIR)$(libdir)/$$p'"; \
+         $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f "$(DESTDIR)$(libdir)/$$p"; \
+       done
+
+clean-libLTLIBRARIES:
+       -test -z "$(lib_LTLIBRARIES)" || rm -f $(lib_LTLIBRARIES)
+       @list='$(lib_LTLIBRARIES)'; for p in $$list; do \
+         dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
+         test "$$dir" != "$$p" || dir=.; \
+         echo "rm -f \"$${dir}/so_locations\""; \
+         rm -f "$${dir}/so_locations"; \
+       done
+_audio_alsa.la: $(_audio_alsa_la_OBJECTS) $(_audio_alsa_la_DEPENDENCIES) 
+       $(_audio_alsa_la_LINK) -rpath $(audio_alsa_pylibdir) $(_audio_alsa_la_OBJECTS) $(_audio_alsa_la_LIBADD) $(LIBS)
+libgnuradio-audio-alsa.la: $(libgnuradio_audio_alsa_la_OBJECTS) $(libgnuradio_audio_alsa_la_DEPENDENCIES) 
+       $(libgnuradio_audio_alsa_la_LINK) -rpath $(libdir) $(libgnuradio_audio_alsa_la_OBJECTS) $(libgnuradio_audio_alsa_la_LIBADD) $(LIBS)
+
+mostlyclean-compile:
+       -rm -f *.$(OBJEXT)
+
+distclean-compile:
+       -rm -f *.tab.c
+
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_audio_alsa_la-audio_alsa.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/audio_alsa_sink.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/audio_alsa_source.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gri_alsa.Plo@am__quote@
+
+.cc.o:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ $<
+
+.cc.obj:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ `$(CYGPATH_W) '$<'`
+
+.cc.lo:
+@am__fastdepCXX_TRUE@  $(LTCXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LTCXXCOMPILE) -c -o $@ $<
+
+_audio_alsa_la-audio_alsa.lo: audio_alsa.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_audio_alsa_la_CXXFLAGS) $(CXXFLAGS) -MT _audio_alsa_la-audio_alsa.lo -MD -MP -MF $(DEPDIR)/_audio_alsa_la-audio_alsa.Tpo -c -o _audio_alsa_la-audio_alsa.lo `test -f 'audio_alsa.cc' || echo '$(srcdir)/'`audio_alsa.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_audio_alsa_la-audio_alsa.Tpo $(DEPDIR)/_audio_alsa_la-audio_alsa.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='audio_alsa.cc' object='_audio_alsa_la-audio_alsa.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_audio_alsa_la_CXXFLAGS) $(CXXFLAGS) -c -o _audio_alsa_la-audio_alsa.lo `test -f 'audio_alsa.cc' || echo '$(srcdir)/'`audio_alsa.cc
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-audio_alsa_pythonPYTHON: $(audio_alsa_python_PYTHON)
+       @$(NORMAL_INSTALL)
+       test -z "$(audio_alsa_pythondir)" || $(MKDIR_P) "$(DESTDIR)$(audio_alsa_pythondir)"
+       @list='$(audio_alsa_python_PYTHON)'; dlist=''; for p in $$list; do\
+         if test -f "$$p"; then b=; else b="$(srcdir)/"; fi; \
+         if test -f $$b$$p; then \
+           f=$(am__strip_dir) \
+           dlist="$$dlist $$f"; \
+           echo " $(audio_alsa_pythonPYTHON_INSTALL) '$$b$$p' '$(DESTDIR)$(audio_alsa_pythondir)/$$f'"; \
+           $(audio_alsa_pythonPYTHON_INSTALL) "$$b$$p" "$(DESTDIR)$(audio_alsa_pythondir)/$$f"; \
+         else :; fi; \
+       done; \
+       if test -n "$$dlist"; then \
+         if test -z "$(DESTDIR)"; then \
+           PYTHON=$(PYTHON) $(py_compile) --basedir "$(audio_alsa_pythondir)" $$dlist; \
+         else \
+           PYTHON=$(PYTHON) $(py_compile) --destdir "$(DESTDIR)" --basedir "$(audio_alsa_pythondir)" $$dlist; \
+         fi; \
+       else :; fi
+
+uninstall-audio_alsa_pythonPYTHON:
+       @$(NORMAL_UNINSTALL)
+       @list='$(audio_alsa_python_PYTHON)'; dlist=''; for p in $$list; do\
+         f=$(am__strip_dir) \
+         rm -f "$(DESTDIR)$(audio_alsa_pythondir)/$$f"; \
+         rm -f "$(DESTDIR)$(audio_alsa_pythondir)/$${f}c"; \
+         rm -f "$(DESTDIR)$(audio_alsa_pythondir)/$${f}o"; \
+       done
+install-audio_alsa_swigincludeHEADERS: $(audio_alsa_swiginclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(audio_alsa_swigincludedir)" || $(MKDIR_P) "$(DESTDIR)$(audio_alsa_swigincludedir)"
+       @list='$(audio_alsa_swiginclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(audio_alsa_swigincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(audio_alsa_swigincludedir)/$$f'"; \
+         $(audio_alsa_swigincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(audio_alsa_swigincludedir)/$$f"; \
+       done
+
+uninstall-audio_alsa_swigincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(audio_alsa_swiginclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(audio_alsa_swigincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(audio_alsa_swigincludedir)/$$f"; \
+       done
+install-grincludeHEADERS: $(grinclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(grincludedir)" || $(MKDIR_P) "$(DESTDIR)$(grincludedir)"
+       @list='$(grinclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(grincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(grincludedir)/$$f'"; \
+         $(grincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(grincludedir)/$$f"; \
+       done
+
+uninstall-grincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(grinclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(grincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(grincludedir)/$$f"; \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+check-TESTS: $(TESTS)
+       @failed=0; all=0; xfail=0; xpass=0; skip=0; \
+       srcdir=$(srcdir); export srcdir; \
+       list=' $(TESTS) '; \
+       if test -n "$$list"; then \
+         for tst in $$list; do \
+           if test -f ./$$tst; then dir=./; \
+           elif test -f $$tst; then dir=; \
+           else dir="$(srcdir)/"; fi; \
+           if $(TESTS_ENVIRONMENT) $${dir}$$tst; then \
+             all=`expr $$all + 1`; \
+             case " $(XFAIL_TESTS) " in \
+             *[\ \     ]$$tst[\ \      ]*) \
+               xpass=`expr $$xpass + 1`; \
+               failed=`expr $$failed + 1`; \
+               echo "XPASS: $$tst"; \
+             ;; \
+             *) \
+               echo "PASS: $$tst"; \
+             ;; \
+             esac; \
+           elif test $$? -ne 77; then \
+             all=`expr $$all + 1`; \
+             case " $(XFAIL_TESTS) " in \
+             *[\ \     ]$$tst[\ \      ]*) \
+               xfail=`expr $$xfail + 1`; \
+               echo "XFAIL: $$tst"; \
+             ;; \
+             *) \
+               failed=`expr $$failed + 1`; \
+               echo "FAIL: $$tst"; \
+             ;; \
+             esac; \
+           else \
+             skip=`expr $$skip + 1`; \
+             echo "SKIP: $$tst"; \
+           fi; \
+         done; \
+         if test "$$all" -eq 1; then \
+           tests="test"; \
+           All=""; \
+         else \
+           tests="tests"; \
+           All="All "; \
+         fi; \
+         if test "$$failed" -eq 0; then \
+           if test "$$xfail" -eq 0; then \
+             banner="$$All$$all $$tests passed"; \
+           else \
+             if test "$$xfail" -eq 1; then failures=failure; else failures=failures; fi; \
+             banner="$$All$$all $$tests behaved as expected ($$xfail expected $$failures)"; \
+           fi; \
+         else \
+           if test "$$xpass" -eq 0; then \
+             banner="$$failed of $$all $$tests failed"; \
+           else \
+             if test "$$xpass" -eq 1; then passes=pass; else passes=passes; fi; \
+             banner="$$failed of $$all $$tests did not behave as expected ($$xpass unexpected $$passes)"; \
+           fi; \
+         fi; \
+         dashes="$$banner"; \
+         skipped=""; \
+         if test "$$skip" -ne 0; then \
+           if test "$$skip" -eq 1; then \
+             skipped="($$skip test was not run)"; \
+           else \
+             skipped="($$skip tests were not run)"; \
+           fi; \
+           test `echo "$$skipped" | wc -c` -le `echo "$$banner" | wc -c` || \
+             dashes="$$skipped"; \
+         fi; \
+         report=""; \
+         if test "$$failed" -ne 0 && test -n "$(PACKAGE_BUGREPORT)"; then \
+           report="Please report to $(PACKAGE_BUGREPORT)"; \
+           test `echo "$$report" | wc -c` -le `echo "$$banner" | wc -c` || \
+             dashes="$$report"; \
+         fi; \
+         dashes=`echo "$$dashes" | sed s/./=/g`; \
+         echo "$$dashes"; \
+         echo "$$banner"; \
+         test -z "$$skipped" || echo "$$skipped"; \
+         test -z "$$report" || echo "$$report"; \
+         echo "$$dashes"; \
+         test "$$failed" -eq 0; \
+       else :; fi
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+       $(MAKE) $(AM_MAKEFLAGS) check-TESTS
+check: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) check-am
+all-am: Makefile $(LTLIBRARIES) $(HEADERS)
+installdirs:
+       for dir in "$(DESTDIR)$(audio_alsa_pylibdir)" "$(DESTDIR)$(libdir)" "$(DESTDIR)$(audio_alsa_pythondir)" "$(DESTDIR)$(audio_alsa_swigincludedir)" "$(DESTDIR)$(grincludedir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+       -test -z "$(DISTCLEANFILES)" || rm -f $(DISTCLEANFILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+       -test -z "$(BUILT_SOURCES)" || rm -f $(BUILT_SOURCES)
+clean: clean-am
+
+clean-am: clean-audio_alsa_pylibLTLIBRARIES clean-generic \
+       clean-libLTLIBRARIES clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+distclean-am: clean-am distclean-compile distclean-generic \
+       distclean-tags
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-audio_alsa_pylibLTLIBRARIES \
+       install-audio_alsa_pythonPYTHON \
+       install-audio_alsa_swigincludeHEADERS install-grincludeHEADERS
+
+install-dvi: install-dvi-am
+
+install-exec-am: install-libLTLIBRARIES
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-compile mostlyclean-generic \
+       mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-audio_alsa_pylibLTLIBRARIES \
+       uninstall-audio_alsa_pythonPYTHON \
+       uninstall-audio_alsa_swigincludeHEADERS \
+       uninstall-grincludeHEADERS uninstall-libLTLIBRARIES
+
+.MAKE: install-am install-strip
+
+.PHONY: CTAGS GTAGS all all-am check check-TESTS check-am clean \
+       clean-audio_alsa_pylibLTLIBRARIES clean-generic \
+       clean-libLTLIBRARIES clean-libtool ctags dist-hook distclean \
+       distclean-compile distclean-generic distclean-libtool \
+       distclean-tags distdir dvi dvi-am html html-am info info-am \
+       install install-am install-audio_alsa_pylibLTLIBRARIES \
+       install-audio_alsa_pythonPYTHON \
+       install-audio_alsa_swigincludeHEADERS install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-grincludeHEADERS install-html \
+       install-html-am install-info install-info-am \
+       install-libLTLIBRARIES install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-compile \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       tags uninstall uninstall-am \
+       uninstall-audio_alsa_pylibLTLIBRARIES \
+       uninstall-audio_alsa_pythonPYTHON \
+       uninstall-audio_alsa_swigincludeHEADERS \
+       uninstall-grincludeHEADERS uninstall-libLTLIBRARIES
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+
+generate-makefile-swig $(srcdir)/Makefile.swig.gen: $(top_srcdir)/Makefile.swig.gen.t
+       @do_recreate=0; \
+       if test -f $(srcdir)/Makefile.swig.gen; then \
+               if $(RM) $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                       if touch $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                               do_recreate=1; \
+                       fi; \
+               fi; \
+       else \
+               if touch $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                       do_recreate=1; \
+               fi; \
+       fi; \
+       if test "$$do_recreate" == "1"; then \
+               echo "Regenerating $(srcdir)/Makefile.swig.gen"; \
+               for TFILE in $(TOP_SWIG_IFILES); do \
+                       TNAME=`python -c "import os.path as op; (dN, fN) = op.split ('$$TFILE'); (fbN, fE) = op.splitext (fN); print fbN;"`; \
+                       $(SED) -e 's|@NAME@|'$$TNAME'|g;' < $(top_srcdir)/Makefile.swig.gen.t >> $(srcdir)/Makefile.swig.gen; \
+                       echo "" >> $(srcdir)/Makefile.swig.gen; \
+               done; \
+       else \
+               echo "Cannot recreate $(srcdir)/Makefile.swig.gen because the directory or file is write-protected."; \
+               exit -1; \
+       fi;
+
+audio_alsa_pythondir_category ?= gnuradio/audio_alsa
+audio_alsa_pylibdir_category ?= $(audio_alsa_pythondir_category)
+
+audio_alsa.h audio_alsa.py audio_alsa.cc: audio_alsa.i
+       trap 'rm -rf $(DEPDIR)/audio_alsa-generate-*' 1 2 13 15; \
+       if mkdir $(DEPDIR)/audio_alsa-generate-lock 2>/dev/null; then \
+               rm -f $(DEPDIR)/audio_alsa-generate-stamp; \
+               $(MAKE) $(AM_MAKEFLAGS) $(DEPDIR)/audio_alsa-generate-stamp WHAT=$<; \
+               rmdir $(DEPDIR)/audio_alsa-generate-lock; \
+       else \
+               while test -d $(DEPDIR)/audio_alsa-generate-lock; do \
+                       sleep 1; \
+               done; \
+               test -f $(DEPDIR)/audio_alsa-generate-stamp; \
+               exit $$?; \
+       fi;
+
+$(DEPDIR)/audio_alsa-generate-stamp:
+       if $(SWIG) $(STD_SWIG_PYTHON_ARGS) $(audio_alsa_swig_args) \
+               -MD -MF $(DEPDIR)/audio_alsa.Std \
+               -module audio_alsa -o audio_alsa.cc $(WHAT); then \
+           if test $(host_os) = mingw32; then \
+               $(RM) $(DEPDIR)/audio_alsa.Sd; \
+               $(SED) 's,\\\\,/,g' < $(DEPDIR)/audio_alsa.Std \
+                       > $(DEPDIR)/audio_alsa.Sd; \
+               $(RM) $(DEPDIR)/audio_alsa.Std; \
+               $(MV) $(DEPDIR)/audio_alsa.Sd $(DEPDIR)/audio_alsa.Std; \
+           fi; \
+       else \
+           $(RM) $(DEPDIR)/audio_alsa.S*; exit 1; \
+       fi;
+       $(RM) $(DEPDIR)/audio_alsa.d
+       cp $(DEPDIR)/audio_alsa.Std $(DEPDIR)/audio_alsa.d
+       echo "" >> $(DEPDIR)/audio_alsa.d
+       $(SED) -e '1d;s, \\,,g;s, ,,g' < $(DEPDIR)/audio_alsa.Std | \
+               awk '{ printf "%s:\n\n", $$0 }' >> $(DEPDIR)/audio_alsa.d
+       $(RM) $(DEPDIR)/audio_alsa.Std
+       touch $(DEPDIR)/audio_alsa-generate-stamp
+
+# KLUDGE: Force runtime include of a SWIG dependency file.  This is
+# not guaranteed to be portable, but will probably work.  If it works,
+# we have accurate dependencies for our swig stuff, which is good.
+
+@am__include@ @am__quote@./$(DEPDIR)/audio_alsa.d@am__quote@
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-audio-jack/Makefile.in b/gr-audio-jack/Makefile.in
new file mode 100644 (file)
index 0000000..318522f
--- /dev/null
@@ -0,0 +1,1063 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(dist_etc_DATA) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(top_srcdir)/Makefile.common
+subdir = gr-audio-jack
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(etcdir)"
+dist_etcDATA_INSTALL = $(INSTALL_DATA)
+DATA = $(dist_etc_DATA)
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+SUBDIRS = src
+etcdir = $(gr_sysconfdir)
+dist_etc_DATA = gr-audio-jack.conf
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-audio-jack/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-audio-jack/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-dist_etcDATA: $(dist_etc_DATA)
+       @$(NORMAL_INSTALL)
+       test -z "$(etcdir)" || $(MKDIR_P) "$(DESTDIR)$(etcdir)"
+       @list='$(dist_etc_DATA)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(dist_etcDATA_INSTALL) '$$d$$p' '$(DESTDIR)$(etcdir)/$$f'"; \
+         $(dist_etcDATA_INSTALL) "$$d$$p" "$(DESTDIR)$(etcdir)/$$f"; \
+       done
+
+uninstall-dist_etcDATA:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_etc_DATA)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(etcdir)/$$f'"; \
+         rm -f "$(DESTDIR)$(etcdir)/$$f"; \
+       done
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-recursive
+all-am: Makefile $(DATA)
+installdirs: installdirs-recursive
+installdirs-am:
+       for dir in "$(DESTDIR)$(etcdir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am: install-dist_etcDATA
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am: uninstall-dist_etcDATA
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive dist-hook distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dist_etcDATA install-dvi \
+       install-dvi-am install-exec install-exec-am install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-ps install-ps-am \
+       install-strip installcheck installcheck-am installdirs \
+       installdirs-am maintainer-clean maintainer-clean-generic \
+       mostlyclean mostlyclean-generic mostlyclean-libtool pdf pdf-am \
+       ps ps-am tags tags-recursive uninstall uninstall-am \
+       uninstall-dist_etcDATA
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-audio-jack/src/Makefile.in b/gr-audio-jack/src/Makefile.in
new file mode 100644 (file)
index 0000000..50a0cfc
--- /dev/null
@@ -0,0 +1,1454 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2005,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# Makefile.swig.gen for audio_jack.i
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(audio_jack_python_PYTHON) \
+       $(audio_jack_swiginclude_HEADERS) $(grinclude_HEADERS) \
+       $(noinst_HEADERS) $(noinst_PYTHON) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(srcdir)/Makefile.swig.gen \
+       $(srcdir)/run_tests.in $(top_srcdir)/Makefile.common \
+       $(top_srcdir)/Makefile.swig
+subdir = gr-audio-jack/src
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES = run_tests
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(audio_jack_pylibdir)" \
+       "$(DESTDIR)$(audio_jack_pythondir)" \
+       "$(DESTDIR)$(audio_jack_swigincludedir)" \
+       "$(DESTDIR)$(grincludedir)"
+audio_jack_pylibLTLIBRARIES_INSTALL = $(INSTALL)
+LTLIBRARIES = $(audio_jack_pylib_LTLIBRARIES)
+am__DEPENDENCIES_1 =
+am__DEPENDENCIES_2 = $(am__DEPENDENCIES_1) $(am__DEPENDENCIES_1)
+_audio_jack_la_DEPENDENCIES = $(am__DEPENDENCIES_1) \
+       $(am__DEPENDENCIES_2)
+am__objects_1 = _audio_jack_la-audio_jack_sink.lo \
+       _audio_jack_la-audio_jack_source.lo _audio_jack_la-gri_jack.lo
+am__audio_jack_la_OBJECTS = _audio_jack_la-audio_jack.lo \
+       $(am__objects_1)
+_audio_jack_la_OBJECTS = $(am__audio_jack_la_OBJECTS)
+_audio_jack_la_LINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) \
+       $(LIBTOOLFLAGS) --mode=link $(CXXLD) \
+       $(_audio_jack_la_CXXFLAGS) $(CXXFLAGS) \
+       $(_audio_jack_la_LDFLAGS) $(LDFLAGS) -o $@
+DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
+depcomp = $(SHELL) $(top_srcdir)/depcomp
+am__depfiles_maybe = depfiles
+CXXCOMPILE = $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+LTCXXCOMPILE = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+CXXLD = $(CXX)
+CXXLINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CXXLD) $(AM_CXXFLAGS) $(CXXFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+SOURCES = $(_audio_jack_la_SOURCES)
+DIST_SOURCES = $(_audio_jack_la_SOURCES)
+audio_jack_pythonPYTHON_INSTALL = $(INSTALL_DATA)
+py_compile = $(top_srcdir)/py-compile
+audio_jack_swigincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+grincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+HEADERS = $(audio_jack_swiginclude_HEADERS) $(grinclude_HEADERS) \
+       $(noinst_HEADERS)
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = $(DEPDIR)/audio_jack-generate-*
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp \
+       *.loT $(DEPDIR)/*.S*
+EXTRA_DIST = run_tests.in
+TESTS = run_tests
+DISTCLEANFILES = run_tests
+
+# C/C++ headers get installed in ${prefix}/include/gnuradio
+grinclude_HEADERS = \
+       audio_jack_sink.h               \
+       audio_jack_source.h
+
+noinst_HEADERS = \
+       gri_jack.h
+
+noinst_PYTHON = \
+       qa_jack.py
+
+AM_CPPFLAGS = $(STD_DEFINES_AND_INCLUDES) $(PYTHON_CPPFLAGS) $(WITH_INCLUDES)
+
+###################################
+# SWIG Python interface and library
+TOP_SWIG_IFILES = \
+       audio_jack.i
+
+
+# Install so that they end up available as:
+#   import gnuradio.audio_jack
+# This ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+audio_jack_pythondir_category = \
+       gnuradio
+
+
+# additional sources for the SWIG-generated library
+audio_jack_la_swig_sources = \
+       audio_jack_sink.cc              \
+       audio_jack_source.cc            \
+       gri_jack.cc
+
+
+# additional libraries for linking with the SWIG-generated library
+audio_jack_la_swig_libadd = \
+       $(GNURADIO_CORE_LA)             \
+       $(JACK_LIBS)
+
+SWIG_PYTHON_FLAGS = \
+       -fvirtual       \
+       -python         \
+       -modern         \
+       -keyword        \
+       -w511           \
+       -outdir .
+
+STD_SWIG_PYTHON_ARGS = \
+       $(SWIG_PYTHON_FLAGS)                    \
+       $(STD_DEFINES_AND_INCLUDES)             \
+       $(WITH_SWIG_INCLUDES)                   \
+       $(WITH_INCLUDES)
+
+STD_SWIG_LA_LD_FLAGS = \
+       $(PYTHON_LDFLAGS)       \
+       -module                 \
+       -avoid-version          \
+       $(NO_UNDEFINED)
+
+STD_SWIG_LA_LIB_ADD = \
+       -lstdc++
+
+STD_SWIG_CXX_FLAGS = @swig_CXXFLAGS@
+SUFFIXES = .i
+swig_built_sources = audio_jack.py audio_jack.cc
+audio_jack_pythondir = $(pythondir)/$(audio_jack_pythondir_category)
+audio_jack_pylibdir = $(pyexecdir)/$(audio_jack_pylibdir_category)
+audio_jack_swigincludedir = $(swigincludedir)
+audio_jack_swiginclude_HEADERS = \
+       audio_jack.i                    \
+       $(audio_jack_swiginclude_headers)
+
+audio_jack_pylib_LTLIBRARIES = \
+       _audio_jack.la
+
+_audio_jack_la_SOURCES = \
+       audio_jack.cc                   \
+       $(audio_jack_la_swig_sources)
+
+_audio_jack_la_LIBADD = \
+       $(STD_SWIG_LA_LIB_ADD)          \
+       $(audio_jack_la_swig_libadd)
+
+_audio_jack_la_LDFLAGS = \
+       $(STD_SWIG_LA_LD_FLAGS)         \
+       $(audio_jack_la_swig_ldflags)
+
+_audio_jack_la_CXXFLAGS = \
+       $(STD_SWIG_CXX_FLAGS)           \
+       $(audio_jack_la_swig_cxxflags)
+
+audio_jack_python_PYTHON = \
+       audio_jack.py                   \
+       $(audio_jack_python)
+
+
+# add some of the variables generated inside the Makefile.swig.gen
+BUILT_SOURCES = $(swig_built_sources)
+
+# Do not distribute the output of SWIG
+no_dist_files = $(swig_built_sources)
+all: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) all-am
+
+.SUFFIXES:
+.SUFFIXES: .i .cc .lo .o .obj
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(top_srcdir)/Makefile.swig $(srcdir)/Makefile.swig.gen $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-audio-jack/src/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-audio-jack/src/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+run_tests: $(top_builddir)/config.status $(srcdir)/run_tests.in
+       cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@
+install-audio_jack_pylibLTLIBRARIES: $(audio_jack_pylib_LTLIBRARIES)
+       @$(NORMAL_INSTALL)
+       test -z "$(audio_jack_pylibdir)" || $(MKDIR_P) "$(DESTDIR)$(audio_jack_pylibdir)"
+       @list='$(audio_jack_pylib_LTLIBRARIES)'; for p in $$list; do \
+         if test -f $$p; then \
+           f=$(am__strip_dir) \
+           echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(audio_jack_pylibLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) '$$p' '$(DESTDIR)$(audio_jack_pylibdir)/$$f'"; \
+           $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(audio_jack_pylibLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) "$$p" "$(DESTDIR)$(audio_jack_pylibdir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-audio_jack_pylibLTLIBRARIES:
+       @$(NORMAL_UNINSTALL)
+       @list='$(audio_jack_pylib_LTLIBRARIES)'; for p in $$list; do \
+         p=$(am__strip_dir) \
+         echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f '$(DESTDIR)$(audio_jack_pylibdir)/$$p'"; \
+         $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f "$(DESTDIR)$(audio_jack_pylibdir)/$$p"; \
+       done
+
+clean-audio_jack_pylibLTLIBRARIES:
+       -test -z "$(audio_jack_pylib_LTLIBRARIES)" || rm -f $(audio_jack_pylib_LTLIBRARIES)
+       @list='$(audio_jack_pylib_LTLIBRARIES)'; for p in $$list; do \
+         dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
+         test "$$dir" != "$$p" || dir=.; \
+         echo "rm -f \"$${dir}/so_locations\""; \
+         rm -f "$${dir}/so_locations"; \
+       done
+_audio_jack.la: $(_audio_jack_la_OBJECTS) $(_audio_jack_la_DEPENDENCIES) 
+       $(_audio_jack_la_LINK) -rpath $(audio_jack_pylibdir) $(_audio_jack_la_OBJECTS) $(_audio_jack_la_LIBADD) $(LIBS)
+
+mostlyclean-compile:
+       -rm -f *.$(OBJEXT)
+
+distclean-compile:
+       -rm -f *.tab.c
+
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_audio_jack_la-audio_jack.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_audio_jack_la-audio_jack_sink.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_audio_jack_la-audio_jack_source.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_audio_jack_la-gri_jack.Plo@am__quote@
+
+.cc.o:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ $<
+
+.cc.obj:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ `$(CYGPATH_W) '$<'`
+
+.cc.lo:
+@am__fastdepCXX_TRUE@  $(LTCXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LTCXXCOMPILE) -c -o $@ $<
+
+_audio_jack_la-audio_jack.lo: audio_jack.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_audio_jack_la_CXXFLAGS) $(CXXFLAGS) -MT _audio_jack_la-audio_jack.lo -MD -MP -MF $(DEPDIR)/_audio_jack_la-audio_jack.Tpo -c -o _audio_jack_la-audio_jack.lo `test -f 'audio_jack.cc' || echo '$(srcdir)/'`audio_jack.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_audio_jack_la-audio_jack.Tpo $(DEPDIR)/_audio_jack_la-audio_jack.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='audio_jack.cc' object='_audio_jack_la-audio_jack.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_audio_jack_la_CXXFLAGS) $(CXXFLAGS) -c -o _audio_jack_la-audio_jack.lo `test -f 'audio_jack.cc' || echo '$(srcdir)/'`audio_jack.cc
+
+_audio_jack_la-audio_jack_sink.lo: audio_jack_sink.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_audio_jack_la_CXXFLAGS) $(CXXFLAGS) -MT _audio_jack_la-audio_jack_sink.lo -MD -MP -MF $(DEPDIR)/_audio_jack_la-audio_jack_sink.Tpo -c -o _audio_jack_la-audio_jack_sink.lo `test -f 'audio_jack_sink.cc' || echo '$(srcdir)/'`audio_jack_sink.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_audio_jack_la-audio_jack_sink.Tpo $(DEPDIR)/_audio_jack_la-audio_jack_sink.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='audio_jack_sink.cc' object='_audio_jack_la-audio_jack_sink.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_audio_jack_la_CXXFLAGS) $(CXXFLAGS) -c -o _audio_jack_la-audio_jack_sink.lo `test -f 'audio_jack_sink.cc' || echo '$(srcdir)/'`audio_jack_sink.cc
+
+_audio_jack_la-audio_jack_source.lo: audio_jack_source.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_audio_jack_la_CXXFLAGS) $(CXXFLAGS) -MT _audio_jack_la-audio_jack_source.lo -MD -MP -MF $(DEPDIR)/_audio_jack_la-audio_jack_source.Tpo -c -o _audio_jack_la-audio_jack_source.lo `test -f 'audio_jack_source.cc' || echo '$(srcdir)/'`audio_jack_source.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_audio_jack_la-audio_jack_source.Tpo $(DEPDIR)/_audio_jack_la-audio_jack_source.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='audio_jack_source.cc' object='_audio_jack_la-audio_jack_source.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_audio_jack_la_CXXFLAGS) $(CXXFLAGS) -c -o _audio_jack_la-audio_jack_source.lo `test -f 'audio_jack_source.cc' || echo '$(srcdir)/'`audio_jack_source.cc
+
+_audio_jack_la-gri_jack.lo: gri_jack.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_audio_jack_la_CXXFLAGS) $(CXXFLAGS) -MT _audio_jack_la-gri_jack.lo -MD -MP -MF $(DEPDIR)/_audio_jack_la-gri_jack.Tpo -c -o _audio_jack_la-gri_jack.lo `test -f 'gri_jack.cc' || echo '$(srcdir)/'`gri_jack.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_audio_jack_la-gri_jack.Tpo $(DEPDIR)/_audio_jack_la-gri_jack.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='gri_jack.cc' object='_audio_jack_la-gri_jack.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_audio_jack_la_CXXFLAGS) $(CXXFLAGS) -c -o _audio_jack_la-gri_jack.lo `test -f 'gri_jack.cc' || echo '$(srcdir)/'`gri_jack.cc
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-audio_jack_pythonPYTHON: $(audio_jack_python_PYTHON)
+       @$(NORMAL_INSTALL)
+       test -z "$(audio_jack_pythondir)" || $(MKDIR_P) "$(DESTDIR)$(audio_jack_pythondir)"
+       @list='$(audio_jack_python_PYTHON)'; dlist=''; for p in $$list; do\
+         if test -f "$$p"; then b=; else b="$(srcdir)/"; fi; \
+         if test -f $$b$$p; then \
+           f=$(am__strip_dir) \
+           dlist="$$dlist $$f"; \
+           echo " $(audio_jack_pythonPYTHON_INSTALL) '$$b$$p' '$(DESTDIR)$(audio_jack_pythondir)/$$f'"; \
+           $(audio_jack_pythonPYTHON_INSTALL) "$$b$$p" "$(DESTDIR)$(audio_jack_pythondir)/$$f"; \
+         else :; fi; \
+       done; \
+       if test -n "$$dlist"; then \
+         if test -z "$(DESTDIR)"; then \
+           PYTHON=$(PYTHON) $(py_compile) --basedir "$(audio_jack_pythondir)" $$dlist; \
+         else \
+           PYTHON=$(PYTHON) $(py_compile) --destdir "$(DESTDIR)" --basedir "$(audio_jack_pythondir)" $$dlist; \
+         fi; \
+       else :; fi
+
+uninstall-audio_jack_pythonPYTHON:
+       @$(NORMAL_UNINSTALL)
+       @list='$(audio_jack_python_PYTHON)'; dlist=''; for p in $$list; do\
+         f=$(am__strip_dir) \
+         rm -f "$(DESTDIR)$(audio_jack_pythondir)/$$f"; \
+         rm -f "$(DESTDIR)$(audio_jack_pythondir)/$${f}c"; \
+         rm -f "$(DESTDIR)$(audio_jack_pythondir)/$${f}o"; \
+       done
+install-audio_jack_swigincludeHEADERS: $(audio_jack_swiginclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(audio_jack_swigincludedir)" || $(MKDIR_P) "$(DESTDIR)$(audio_jack_swigincludedir)"
+       @list='$(audio_jack_swiginclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(audio_jack_swigincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(audio_jack_swigincludedir)/$$f'"; \
+         $(audio_jack_swigincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(audio_jack_swigincludedir)/$$f"; \
+       done
+
+uninstall-audio_jack_swigincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(audio_jack_swiginclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(audio_jack_swigincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(audio_jack_swigincludedir)/$$f"; \
+       done
+install-grincludeHEADERS: $(grinclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(grincludedir)" || $(MKDIR_P) "$(DESTDIR)$(grincludedir)"
+       @list='$(grinclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(grincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(grincludedir)/$$f'"; \
+         $(grincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(grincludedir)/$$f"; \
+       done
+
+uninstall-grincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(grinclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(grincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(grincludedir)/$$f"; \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+check-TESTS: $(TESTS)
+       @failed=0; all=0; xfail=0; xpass=0; skip=0; \
+       srcdir=$(srcdir); export srcdir; \
+       list=' $(TESTS) '; \
+       if test -n "$$list"; then \
+         for tst in $$list; do \
+           if test -f ./$$tst; then dir=./; \
+           elif test -f $$tst; then dir=; \
+           else dir="$(srcdir)/"; fi; \
+           if $(TESTS_ENVIRONMENT) $${dir}$$tst; then \
+             all=`expr $$all + 1`; \
+             case " $(XFAIL_TESTS) " in \
+             *[\ \     ]$$tst[\ \      ]*) \
+               xpass=`expr $$xpass + 1`; \
+               failed=`expr $$failed + 1`; \
+               echo "XPASS: $$tst"; \
+             ;; \
+             *) \
+               echo "PASS: $$tst"; \
+             ;; \
+             esac; \
+           elif test $$? -ne 77; then \
+             all=`expr $$all + 1`; \
+             case " $(XFAIL_TESTS) " in \
+             *[\ \     ]$$tst[\ \      ]*) \
+               xfail=`expr $$xfail + 1`; \
+               echo "XFAIL: $$tst"; \
+             ;; \
+             *) \
+               failed=`expr $$failed + 1`; \
+               echo "FAIL: $$tst"; \
+             ;; \
+             esac; \
+           else \
+             skip=`expr $$skip + 1`; \
+             echo "SKIP: $$tst"; \
+           fi; \
+         done; \
+         if test "$$all" -eq 1; then \
+           tests="test"; \
+           All=""; \
+         else \
+           tests="tests"; \
+           All="All "; \
+         fi; \
+         if test "$$failed" -eq 0; then \
+           if test "$$xfail" -eq 0; then \
+             banner="$$All$$all $$tests passed"; \
+           else \
+             if test "$$xfail" -eq 1; then failures=failure; else failures=failures; fi; \
+             banner="$$All$$all $$tests behaved as expected ($$xfail expected $$failures)"; \
+           fi; \
+         else \
+           if test "$$xpass" -eq 0; then \
+             banner="$$failed of $$all $$tests failed"; \
+           else \
+             if test "$$xpass" -eq 1; then passes=pass; else passes=passes; fi; \
+             banner="$$failed of $$all $$tests did not behave as expected ($$xpass unexpected $$passes)"; \
+           fi; \
+         fi; \
+         dashes="$$banner"; \
+         skipped=""; \
+         if test "$$skip" -ne 0; then \
+           if test "$$skip" -eq 1; then \
+             skipped="($$skip test was not run)"; \
+           else \
+             skipped="($$skip tests were not run)"; \
+           fi; \
+           test `echo "$$skipped" | wc -c` -le `echo "$$banner" | wc -c` || \
+             dashes="$$skipped"; \
+         fi; \
+         report=""; \
+         if test "$$failed" -ne 0 && test -n "$(PACKAGE_BUGREPORT)"; then \
+           report="Please report to $(PACKAGE_BUGREPORT)"; \
+           test `echo "$$report" | wc -c` -le `echo "$$banner" | wc -c` || \
+             dashes="$$report"; \
+         fi; \
+         dashes=`echo "$$dashes" | sed s/./=/g`; \
+         echo "$$dashes"; \
+         echo "$$banner"; \
+         test -z "$$skipped" || echo "$$skipped"; \
+         test -z "$$report" || echo "$$report"; \
+         echo "$$dashes"; \
+         test "$$failed" -eq 0; \
+       else :; fi
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+       $(MAKE) $(AM_MAKEFLAGS) check-TESTS
+check: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) check-am
+all-am: Makefile $(LTLIBRARIES) $(HEADERS)
+installdirs:
+       for dir in "$(DESTDIR)$(audio_jack_pylibdir)" "$(DESTDIR)$(audio_jack_pythondir)" "$(DESTDIR)$(audio_jack_swigincludedir)" "$(DESTDIR)$(grincludedir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+       -test -z "$(DISTCLEANFILES)" || rm -f $(DISTCLEANFILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+       -test -z "$(BUILT_SOURCES)" || rm -f $(BUILT_SOURCES)
+clean: clean-am
+
+clean-am: clean-audio_jack_pylibLTLIBRARIES clean-generic \
+       clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+distclean-am: clean-am distclean-compile distclean-generic \
+       distclean-tags
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-audio_jack_pylibLTLIBRARIES \
+       install-audio_jack_pythonPYTHON \
+       install-audio_jack_swigincludeHEADERS install-grincludeHEADERS
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-compile mostlyclean-generic \
+       mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-audio_jack_pylibLTLIBRARIES \
+       uninstall-audio_jack_pythonPYTHON \
+       uninstall-audio_jack_swigincludeHEADERS \
+       uninstall-grincludeHEADERS
+
+.MAKE: install-am install-strip
+
+.PHONY: CTAGS GTAGS all all-am check check-TESTS check-am clean \
+       clean-audio_jack_pylibLTLIBRARIES clean-generic clean-libtool \
+       ctags dist-hook distclean distclean-compile distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am \
+       install-audio_jack_pylibLTLIBRARIES \
+       install-audio_jack_pythonPYTHON \
+       install-audio_jack_swigincludeHEADERS install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-grincludeHEADERS install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-ps install-ps-am \
+       install-strip installcheck installcheck-am installdirs \
+       maintainer-clean maintainer-clean-generic mostlyclean \
+       mostlyclean-compile mostlyclean-generic mostlyclean-libtool \
+       pdf pdf-am ps ps-am tags uninstall uninstall-am \
+       uninstall-audio_jack_pylibLTLIBRARIES \
+       uninstall-audio_jack_pythonPYTHON \
+       uninstall-audio_jack_swigincludeHEADERS \
+       uninstall-grincludeHEADERS
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+
+generate-makefile-swig $(srcdir)/Makefile.swig.gen: $(top_srcdir)/Makefile.swig.gen.t
+       @do_recreate=0; \
+       if test -f $(srcdir)/Makefile.swig.gen; then \
+               if $(RM) $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                       if touch $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                               do_recreate=1; \
+                       fi; \
+               fi; \
+       else \
+               if touch $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                       do_recreate=1; \
+               fi; \
+       fi; \
+       if test "$$do_recreate" == "1"; then \
+               echo "Regenerating $(srcdir)/Makefile.swig.gen"; \
+               for TFILE in $(TOP_SWIG_IFILES); do \
+                       TNAME=`python -c "import os.path as op; (dN, fN) = op.split ('$$TFILE'); (fbN, fE) = op.splitext (fN); print fbN;"`; \
+                       $(SED) -e 's|@NAME@|'$$TNAME'|g;' < $(top_srcdir)/Makefile.swig.gen.t >> $(srcdir)/Makefile.swig.gen; \
+                       echo "" >> $(srcdir)/Makefile.swig.gen; \
+               done; \
+       else \
+               echo "Cannot recreate $(srcdir)/Makefile.swig.gen because the directory or file is write-protected."; \
+               exit -1; \
+       fi;
+
+audio_jack_pythondir_category ?= gnuradio/audio_jack
+audio_jack_pylibdir_category ?= $(audio_jack_pythondir_category)
+
+audio_jack.h audio_jack.py audio_jack.cc: audio_jack.i
+       trap 'rm -rf $(DEPDIR)/audio_jack-generate-*' 1 2 13 15; \
+       if mkdir $(DEPDIR)/audio_jack-generate-lock 2>/dev/null; then \
+               rm -f $(DEPDIR)/audio_jack-generate-stamp; \
+               $(MAKE) $(AM_MAKEFLAGS) $(DEPDIR)/audio_jack-generate-stamp WHAT=$<; \
+               rmdir $(DEPDIR)/audio_jack-generate-lock; \
+       else \
+               while test -d $(DEPDIR)/audio_jack-generate-lock; do \
+                       sleep 1; \
+               done; \
+               test -f $(DEPDIR)/audio_jack-generate-stamp; \
+               exit $$?; \
+       fi;
+
+$(DEPDIR)/audio_jack-generate-stamp:
+       if $(SWIG) $(STD_SWIG_PYTHON_ARGS) $(audio_jack_swig_args) \
+               -MD -MF $(DEPDIR)/audio_jack.Std \
+               -module audio_jack -o audio_jack.cc $(WHAT); then \
+           if test $(host_os) = mingw32; then \
+               $(RM) $(DEPDIR)/audio_jack.Sd; \
+               $(SED) 's,\\\\,/,g' < $(DEPDIR)/audio_jack.Std \
+                       > $(DEPDIR)/audio_jack.Sd; \
+               $(RM) $(DEPDIR)/audio_jack.Std; \
+               $(MV) $(DEPDIR)/audio_jack.Sd $(DEPDIR)/audio_jack.Std; \
+           fi; \
+       else \
+           $(RM) $(DEPDIR)/audio_jack.S*; exit 1; \
+       fi;
+       $(RM) $(DEPDIR)/audio_jack.d
+       cp $(DEPDIR)/audio_jack.Std $(DEPDIR)/audio_jack.d
+       echo "" >> $(DEPDIR)/audio_jack.d
+       $(SED) -e '1d;s, \\,,g;s, ,,g' < $(DEPDIR)/audio_jack.Std | \
+               awk '{ printf "%s:\n\n", $$0 }' >> $(DEPDIR)/audio_jack.d
+       $(RM) $(DEPDIR)/audio_jack.Std
+       touch $(DEPDIR)/audio_jack-generate-stamp
+
+# KLUDGE: Force runtime include of a SWIG dependency file.  This is
+# not guaranteed to be portable, but will probably work.  If it works,
+# we have accurate dependencies for our swig stuff, which is good.
+
+@am__include@ @am__quote@./$(DEPDIR)/audio_jack.d@am__quote@
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-audio-oss/Makefile.in b/gr-audio-oss/Makefile.in
new file mode 100644 (file)
index 0000000..e73f041
--- /dev/null
@@ -0,0 +1,1063 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004,2006 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(dist_etc_DATA) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(top_srcdir)/Makefile.common
+subdir = gr-audio-oss
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(etcdir)"
+dist_etcDATA_INSTALL = $(INSTALL_DATA)
+DATA = $(dist_etc_DATA)
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+SUBDIRS = src
+etcdir = $(gr_sysconfdir)
+dist_etc_DATA = gr-audio-oss.conf
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-audio-oss/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-audio-oss/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-dist_etcDATA: $(dist_etc_DATA)
+       @$(NORMAL_INSTALL)
+       test -z "$(etcdir)" || $(MKDIR_P) "$(DESTDIR)$(etcdir)"
+       @list='$(dist_etc_DATA)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(dist_etcDATA_INSTALL) '$$d$$p' '$(DESTDIR)$(etcdir)/$$f'"; \
+         $(dist_etcDATA_INSTALL) "$$d$$p" "$(DESTDIR)$(etcdir)/$$f"; \
+       done
+
+uninstall-dist_etcDATA:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_etc_DATA)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(etcdir)/$$f'"; \
+         rm -f "$(DESTDIR)$(etcdir)/$$f"; \
+       done
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-recursive
+all-am: Makefile $(DATA)
+installdirs: installdirs-recursive
+installdirs-am:
+       for dir in "$(DESTDIR)$(etcdir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am: install-dist_etcDATA
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am: uninstall-dist_etcDATA
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive dist-hook distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dist_etcDATA install-dvi \
+       install-dvi-am install-exec install-exec-am install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-ps install-ps-am \
+       install-strip installcheck installcheck-am installdirs \
+       installdirs-am maintainer-clean maintainer-clean-generic \
+       mostlyclean mostlyclean-generic mostlyclean-libtool pdf pdf-am \
+       ps ps-am tags tags-recursive uninstall uninstall-am \
+       uninstall-dist_etcDATA
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-audio-oss/src/Makefile.in b/gr-audio-oss/src/Makefile.in
new file mode 100644 (file)
index 0000000..f721f8f
--- /dev/null
@@ -0,0 +1,1436 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004,2005,2006,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# Makefile.swig.gen for audio_oss.i
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(audio_oss_python_PYTHON) \
+       $(audio_oss_swiginclude_HEADERS) $(grinclude_HEADERS) \
+       $(noinst_PYTHON) $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(srcdir)/Makefile.swig.gen $(srcdir)/run_tests.in \
+       $(top_srcdir)/Makefile.common $(top_srcdir)/Makefile.swig
+subdir = gr-audio-oss/src
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES = run_tests
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(audio_oss_pylibdir)" \
+       "$(DESTDIR)$(audio_oss_pythondir)" \
+       "$(DESTDIR)$(audio_oss_swigincludedir)" \
+       "$(DESTDIR)$(grincludedir)"
+audio_oss_pylibLTLIBRARIES_INSTALL = $(INSTALL)
+LTLIBRARIES = $(audio_oss_pylib_LTLIBRARIES)
+am__DEPENDENCIES_1 =
+am__DEPENDENCIES_2 = $(am__DEPENDENCIES_1) $(am__DEPENDENCIES_1)
+_audio_oss_la_DEPENDENCIES = $(am__DEPENDENCIES_1) \
+       $(am__DEPENDENCIES_2)
+am__objects_1 = _audio_oss_la-audio_oss_sink.lo \
+       _audio_oss_la-audio_oss_source.lo
+am__audio_oss_la_OBJECTS = _audio_oss_la-audio_oss.lo $(am__objects_1)
+_audio_oss_la_OBJECTS = $(am__audio_oss_la_OBJECTS)
+_audio_oss_la_LINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) \
+       $(LIBTOOLFLAGS) --mode=link $(CXXLD) $(_audio_oss_la_CXXFLAGS) \
+       $(CXXFLAGS) $(_audio_oss_la_LDFLAGS) $(LDFLAGS) -o $@
+DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
+depcomp = $(SHELL) $(top_srcdir)/depcomp
+am__depfiles_maybe = depfiles
+CXXCOMPILE = $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+LTCXXCOMPILE = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+CXXLD = $(CXX)
+CXXLINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CXXLD) $(AM_CXXFLAGS) $(CXXFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+SOURCES = $(_audio_oss_la_SOURCES)
+DIST_SOURCES = $(_audio_oss_la_SOURCES)
+audio_oss_pythonPYTHON_INSTALL = $(INSTALL_DATA)
+py_compile = $(top_srcdir)/py-compile
+audio_oss_swigincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+grincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+HEADERS = $(audio_oss_swiginclude_HEADERS) $(grinclude_HEADERS)
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = $(DEPDIR)/audio_oss-generate-*
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp \
+       *.loT $(DEPDIR)/*.S*
+EXTRA_DIST = run_tests.in
+TESTS = run_tests
+DISTCLEANFILES = run_tests
+
+# C/C++ headers get installed in ${prefix}/include/gnuradio
+grinclude_HEADERS = \
+       audio_oss_sink.h        \
+       audio_oss_source.h
+
+noinst_PYTHON = qa_oss.py
+AM_CPPFLAGS = $(STD_DEFINES_AND_INCLUDES) $(PYTHON_CPPFLAGS) $(WITH_INCLUDES)
+
+###################################
+# SWIG Python interface and library
+TOP_SWIG_IFILES = \
+       audio_oss.i
+
+
+# Install so that they end up available as:
+#   import gnuradio.audio_oss
+# This ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+audio_oss_pythondir_category = \
+       gnuradio
+
+
+# additional sources for the SWIG-generated library
+audio_oss_la_swig_sources = \
+       audio_oss_sink.cc       \
+       audio_oss_source.cc
+
+
+# additional libraries for linking with the SWIG-generated library
+audio_oss_la_swig_libadd = \
+       $(GNURADIO_CORE_LA)     \
+       $(OSS_LIBS)
+
+SWIG_PYTHON_FLAGS = \
+       -fvirtual       \
+       -python         \
+       -modern         \
+       -keyword        \
+       -w511           \
+       -outdir .
+
+STD_SWIG_PYTHON_ARGS = \
+       $(SWIG_PYTHON_FLAGS)                    \
+       $(STD_DEFINES_AND_INCLUDES)             \
+       $(WITH_SWIG_INCLUDES)                   \
+       $(WITH_INCLUDES)
+
+STD_SWIG_LA_LD_FLAGS = \
+       $(PYTHON_LDFLAGS)       \
+       -module                 \
+       -avoid-version          \
+       $(NO_UNDEFINED)
+
+STD_SWIG_LA_LIB_ADD = \
+       -lstdc++
+
+STD_SWIG_CXX_FLAGS = @swig_CXXFLAGS@
+SUFFIXES = .i
+swig_built_sources = audio_oss.py audio_oss.cc
+audio_oss_pythondir = $(pythondir)/$(audio_oss_pythondir_category)
+audio_oss_pylibdir = $(pyexecdir)/$(audio_oss_pylibdir_category)
+audio_oss_swigincludedir = $(swigincludedir)
+audio_oss_swiginclude_HEADERS = \
+       audio_oss.i                     \
+       $(audio_oss_swiginclude_headers)
+
+audio_oss_pylib_LTLIBRARIES = \
+       _audio_oss.la
+
+_audio_oss_la_SOURCES = \
+       audio_oss.cc                    \
+       $(audio_oss_la_swig_sources)
+
+_audio_oss_la_LIBADD = \
+       $(STD_SWIG_LA_LIB_ADD)          \
+       $(audio_oss_la_swig_libadd)
+
+_audio_oss_la_LDFLAGS = \
+       $(STD_SWIG_LA_LD_FLAGS)         \
+       $(audio_oss_la_swig_ldflags)
+
+_audio_oss_la_CXXFLAGS = \
+       $(STD_SWIG_CXX_FLAGS)           \
+       $(audio_oss_la_swig_cxxflags)
+
+audio_oss_python_PYTHON = \
+       audio_oss.py                    \
+       $(audio_oss_python)
+
+
+# add some of the variables generated inside the Makefile.swig.gen
+BUILT_SOURCES = $(swig_built_sources)
+
+# Do not distribute the output of SWIG
+no_dist_files = $(swig_built_sources)
+all: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) all-am
+
+.SUFFIXES:
+.SUFFIXES: .i .cc .lo .o .obj
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(top_srcdir)/Makefile.swig $(srcdir)/Makefile.swig.gen $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-audio-oss/src/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-audio-oss/src/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+run_tests: $(top_builddir)/config.status $(srcdir)/run_tests.in
+       cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@
+install-audio_oss_pylibLTLIBRARIES: $(audio_oss_pylib_LTLIBRARIES)
+       @$(NORMAL_INSTALL)
+       test -z "$(audio_oss_pylibdir)" || $(MKDIR_P) "$(DESTDIR)$(audio_oss_pylibdir)"
+       @list='$(audio_oss_pylib_LTLIBRARIES)'; for p in $$list; do \
+         if test -f $$p; then \
+           f=$(am__strip_dir) \
+           echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(audio_oss_pylibLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) '$$p' '$(DESTDIR)$(audio_oss_pylibdir)/$$f'"; \
+           $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(audio_oss_pylibLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) "$$p" "$(DESTDIR)$(audio_oss_pylibdir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-audio_oss_pylibLTLIBRARIES:
+       @$(NORMAL_UNINSTALL)
+       @list='$(audio_oss_pylib_LTLIBRARIES)'; for p in $$list; do \
+         p=$(am__strip_dir) \
+         echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f '$(DESTDIR)$(audio_oss_pylibdir)/$$p'"; \
+         $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f "$(DESTDIR)$(audio_oss_pylibdir)/$$p"; \
+       done
+
+clean-audio_oss_pylibLTLIBRARIES:
+       -test -z "$(audio_oss_pylib_LTLIBRARIES)" || rm -f $(audio_oss_pylib_LTLIBRARIES)
+       @list='$(audio_oss_pylib_LTLIBRARIES)'; for p in $$list; do \
+         dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
+         test "$$dir" != "$$p" || dir=.; \
+         echo "rm -f \"$${dir}/so_locations\""; \
+         rm -f "$${dir}/so_locations"; \
+       done
+_audio_oss.la: $(_audio_oss_la_OBJECTS) $(_audio_oss_la_DEPENDENCIES) 
+       $(_audio_oss_la_LINK) -rpath $(audio_oss_pylibdir) $(_audio_oss_la_OBJECTS) $(_audio_oss_la_LIBADD) $(LIBS)
+
+mostlyclean-compile:
+       -rm -f *.$(OBJEXT)
+
+distclean-compile:
+       -rm -f *.tab.c
+
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_audio_oss_la-audio_oss.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_audio_oss_la-audio_oss_sink.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_audio_oss_la-audio_oss_source.Plo@am__quote@
+
+.cc.o:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ $<
+
+.cc.obj:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ `$(CYGPATH_W) '$<'`
+
+.cc.lo:
+@am__fastdepCXX_TRUE@  $(LTCXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LTCXXCOMPILE) -c -o $@ $<
+
+_audio_oss_la-audio_oss.lo: audio_oss.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_audio_oss_la_CXXFLAGS) $(CXXFLAGS) -MT _audio_oss_la-audio_oss.lo -MD -MP -MF $(DEPDIR)/_audio_oss_la-audio_oss.Tpo -c -o _audio_oss_la-audio_oss.lo `test -f 'audio_oss.cc' || echo '$(srcdir)/'`audio_oss.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_audio_oss_la-audio_oss.Tpo $(DEPDIR)/_audio_oss_la-audio_oss.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='audio_oss.cc' object='_audio_oss_la-audio_oss.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_audio_oss_la_CXXFLAGS) $(CXXFLAGS) -c -o _audio_oss_la-audio_oss.lo `test -f 'audio_oss.cc' || echo '$(srcdir)/'`audio_oss.cc
+
+_audio_oss_la-audio_oss_sink.lo: audio_oss_sink.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_audio_oss_la_CXXFLAGS) $(CXXFLAGS) -MT _audio_oss_la-audio_oss_sink.lo -MD -MP -MF $(DEPDIR)/_audio_oss_la-audio_oss_sink.Tpo -c -o _audio_oss_la-audio_oss_sink.lo `test -f 'audio_oss_sink.cc' || echo '$(srcdir)/'`audio_oss_sink.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_audio_oss_la-audio_oss_sink.Tpo $(DEPDIR)/_audio_oss_la-audio_oss_sink.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='audio_oss_sink.cc' object='_audio_oss_la-audio_oss_sink.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_audio_oss_la_CXXFLAGS) $(CXXFLAGS) -c -o _audio_oss_la-audio_oss_sink.lo `test -f 'audio_oss_sink.cc' || echo '$(srcdir)/'`audio_oss_sink.cc
+
+_audio_oss_la-audio_oss_source.lo: audio_oss_source.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_audio_oss_la_CXXFLAGS) $(CXXFLAGS) -MT _audio_oss_la-audio_oss_source.lo -MD -MP -MF $(DEPDIR)/_audio_oss_la-audio_oss_source.Tpo -c -o _audio_oss_la-audio_oss_source.lo `test -f 'audio_oss_source.cc' || echo '$(srcdir)/'`audio_oss_source.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_audio_oss_la-audio_oss_source.Tpo $(DEPDIR)/_audio_oss_la-audio_oss_source.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='audio_oss_source.cc' object='_audio_oss_la-audio_oss_source.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_audio_oss_la_CXXFLAGS) $(CXXFLAGS) -c -o _audio_oss_la-audio_oss_source.lo `test -f 'audio_oss_source.cc' || echo '$(srcdir)/'`audio_oss_source.cc
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-audio_oss_pythonPYTHON: $(audio_oss_python_PYTHON)
+       @$(NORMAL_INSTALL)
+       test -z "$(audio_oss_pythondir)" || $(MKDIR_P) "$(DESTDIR)$(audio_oss_pythondir)"
+       @list='$(audio_oss_python_PYTHON)'; dlist=''; for p in $$list; do\
+         if test -f "$$p"; then b=; else b="$(srcdir)/"; fi; \
+         if test -f $$b$$p; then \
+           f=$(am__strip_dir) \
+           dlist="$$dlist $$f"; \
+           echo " $(audio_oss_pythonPYTHON_INSTALL) '$$b$$p' '$(DESTDIR)$(audio_oss_pythondir)/$$f'"; \
+           $(audio_oss_pythonPYTHON_INSTALL) "$$b$$p" "$(DESTDIR)$(audio_oss_pythondir)/$$f"; \
+         else :; fi; \
+       done; \
+       if test -n "$$dlist"; then \
+         if test -z "$(DESTDIR)"; then \
+           PYTHON=$(PYTHON) $(py_compile) --basedir "$(audio_oss_pythondir)" $$dlist; \
+         else \
+           PYTHON=$(PYTHON) $(py_compile) --destdir "$(DESTDIR)" --basedir "$(audio_oss_pythondir)" $$dlist; \
+         fi; \
+       else :; fi
+
+uninstall-audio_oss_pythonPYTHON:
+       @$(NORMAL_UNINSTALL)
+       @list='$(audio_oss_python_PYTHON)'; dlist=''; for p in $$list; do\
+         f=$(am__strip_dir) \
+         rm -f "$(DESTDIR)$(audio_oss_pythondir)/$$f"; \
+         rm -f "$(DESTDIR)$(audio_oss_pythondir)/$${f}c"; \
+         rm -f "$(DESTDIR)$(audio_oss_pythondir)/$${f}o"; \
+       done
+install-audio_oss_swigincludeHEADERS: $(audio_oss_swiginclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(audio_oss_swigincludedir)" || $(MKDIR_P) "$(DESTDIR)$(audio_oss_swigincludedir)"
+       @list='$(audio_oss_swiginclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(audio_oss_swigincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(audio_oss_swigincludedir)/$$f'"; \
+         $(audio_oss_swigincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(audio_oss_swigincludedir)/$$f"; \
+       done
+
+uninstall-audio_oss_swigincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(audio_oss_swiginclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(audio_oss_swigincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(audio_oss_swigincludedir)/$$f"; \
+       done
+install-grincludeHEADERS: $(grinclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(grincludedir)" || $(MKDIR_P) "$(DESTDIR)$(grincludedir)"
+       @list='$(grinclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(grincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(grincludedir)/$$f'"; \
+         $(grincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(grincludedir)/$$f"; \
+       done
+
+uninstall-grincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(grinclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(grincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(grincludedir)/$$f"; \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+check-TESTS: $(TESTS)
+       @failed=0; all=0; xfail=0; xpass=0; skip=0; \
+       srcdir=$(srcdir); export srcdir; \
+       list=' $(TESTS) '; \
+       if test -n "$$list"; then \
+         for tst in $$list; do \
+           if test -f ./$$tst; then dir=./; \
+           elif test -f $$tst; then dir=; \
+           else dir="$(srcdir)/"; fi; \
+           if $(TESTS_ENVIRONMENT) $${dir}$$tst; then \
+             all=`expr $$all + 1`; \
+             case " $(XFAIL_TESTS) " in \
+             *[\ \     ]$$tst[\ \      ]*) \
+               xpass=`expr $$xpass + 1`; \
+               failed=`expr $$failed + 1`; \
+               echo "XPASS: $$tst"; \
+             ;; \
+             *) \
+               echo "PASS: $$tst"; \
+             ;; \
+             esac; \
+           elif test $$? -ne 77; then \
+             all=`expr $$all + 1`; \
+             case " $(XFAIL_TESTS) " in \
+             *[\ \     ]$$tst[\ \      ]*) \
+               xfail=`expr $$xfail + 1`; \
+               echo "XFAIL: $$tst"; \
+             ;; \
+             *) \
+               failed=`expr $$failed + 1`; \
+               echo "FAIL: $$tst"; \
+             ;; \
+             esac; \
+           else \
+             skip=`expr $$skip + 1`; \
+             echo "SKIP: $$tst"; \
+           fi; \
+         done; \
+         if test "$$all" -eq 1; then \
+           tests="test"; \
+           All=""; \
+         else \
+           tests="tests"; \
+           All="All "; \
+         fi; \
+         if test "$$failed" -eq 0; then \
+           if test "$$xfail" -eq 0; then \
+             banner="$$All$$all $$tests passed"; \
+           else \
+             if test "$$xfail" -eq 1; then failures=failure; else failures=failures; fi; \
+             banner="$$All$$all $$tests behaved as expected ($$xfail expected $$failures)"; \
+           fi; \
+         else \
+           if test "$$xpass" -eq 0; then \
+             banner="$$failed of $$all $$tests failed"; \
+           else \
+             if test "$$xpass" -eq 1; then passes=pass; else passes=passes; fi; \
+             banner="$$failed of $$all $$tests did not behave as expected ($$xpass unexpected $$passes)"; \
+           fi; \
+         fi; \
+         dashes="$$banner"; \
+         skipped=""; \
+         if test "$$skip" -ne 0; then \
+           if test "$$skip" -eq 1; then \
+             skipped="($$skip test was not run)"; \
+           else \
+             skipped="($$skip tests were not run)"; \
+           fi; \
+           test `echo "$$skipped" | wc -c` -le `echo "$$banner" | wc -c` || \
+             dashes="$$skipped"; \
+         fi; \
+         report=""; \
+         if test "$$failed" -ne 0 && test -n "$(PACKAGE_BUGREPORT)"; then \
+           report="Please report to $(PACKAGE_BUGREPORT)"; \
+           test `echo "$$report" | wc -c` -le `echo "$$banner" | wc -c` || \
+             dashes="$$report"; \
+         fi; \
+         dashes=`echo "$$dashes" | sed s/./=/g`; \
+         echo "$$dashes"; \
+         echo "$$banner"; \
+         test -z "$$skipped" || echo "$$skipped"; \
+         test -z "$$report" || echo "$$report"; \
+         echo "$$dashes"; \
+         test "$$failed" -eq 0; \
+       else :; fi
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+       $(MAKE) $(AM_MAKEFLAGS) check-TESTS
+check: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) check-am
+all-am: Makefile $(LTLIBRARIES) $(HEADERS)
+installdirs:
+       for dir in "$(DESTDIR)$(audio_oss_pylibdir)" "$(DESTDIR)$(audio_oss_pythondir)" "$(DESTDIR)$(audio_oss_swigincludedir)" "$(DESTDIR)$(grincludedir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+       -test -z "$(DISTCLEANFILES)" || rm -f $(DISTCLEANFILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+       -test -z "$(BUILT_SOURCES)" || rm -f $(BUILT_SOURCES)
+clean: clean-am
+
+clean-am: clean-audio_oss_pylibLTLIBRARIES clean-generic clean-libtool \
+       mostlyclean-am
+
+distclean: distclean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+distclean-am: clean-am distclean-compile distclean-generic \
+       distclean-tags
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-audio_oss_pylibLTLIBRARIES \
+       install-audio_oss_pythonPYTHON \
+       install-audio_oss_swigincludeHEADERS install-grincludeHEADERS
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-compile mostlyclean-generic \
+       mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-audio_oss_pylibLTLIBRARIES \
+       uninstall-audio_oss_pythonPYTHON \
+       uninstall-audio_oss_swigincludeHEADERS \
+       uninstall-grincludeHEADERS
+
+.MAKE: install-am install-strip
+
+.PHONY: CTAGS GTAGS all all-am check check-TESTS check-am clean \
+       clean-audio_oss_pylibLTLIBRARIES clean-generic clean-libtool \
+       ctags dist-hook distclean distclean-compile distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am \
+       install-audio_oss_pylibLTLIBRARIES \
+       install-audio_oss_pythonPYTHON \
+       install-audio_oss_swigincludeHEADERS install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-grincludeHEADERS install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-ps install-ps-am \
+       install-strip installcheck installcheck-am installdirs \
+       maintainer-clean maintainer-clean-generic mostlyclean \
+       mostlyclean-compile mostlyclean-generic mostlyclean-libtool \
+       pdf pdf-am ps ps-am tags uninstall uninstall-am \
+       uninstall-audio_oss_pylibLTLIBRARIES \
+       uninstall-audio_oss_pythonPYTHON \
+       uninstall-audio_oss_swigincludeHEADERS \
+       uninstall-grincludeHEADERS
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+
+generate-makefile-swig $(srcdir)/Makefile.swig.gen: $(top_srcdir)/Makefile.swig.gen.t
+       @do_recreate=0; \
+       if test -f $(srcdir)/Makefile.swig.gen; then \
+               if $(RM) $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                       if touch $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                               do_recreate=1; \
+                       fi; \
+               fi; \
+       else \
+               if touch $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                       do_recreate=1; \
+               fi; \
+       fi; \
+       if test "$$do_recreate" == "1"; then \
+               echo "Regenerating $(srcdir)/Makefile.swig.gen"; \
+               for TFILE in $(TOP_SWIG_IFILES); do \
+                       TNAME=`python -c "import os.path as op; (dN, fN) = op.split ('$$TFILE'); (fbN, fE) = op.splitext (fN); print fbN;"`; \
+                       $(SED) -e 's|@NAME@|'$$TNAME'|g;' < $(top_srcdir)/Makefile.swig.gen.t >> $(srcdir)/Makefile.swig.gen; \
+                       echo "" >> $(srcdir)/Makefile.swig.gen; \
+               done; \
+       else \
+               echo "Cannot recreate $(srcdir)/Makefile.swig.gen because the directory or file is write-protected."; \
+               exit -1; \
+       fi;
+
+audio_oss_pythondir_category ?= gnuradio/audio_oss
+audio_oss_pylibdir_category ?= $(audio_oss_pythondir_category)
+
+audio_oss.h audio_oss.py audio_oss.cc: audio_oss.i
+       trap 'rm -rf $(DEPDIR)/audio_oss-generate-*' 1 2 13 15; \
+       if mkdir $(DEPDIR)/audio_oss-generate-lock 2>/dev/null; then \
+               rm -f $(DEPDIR)/audio_oss-generate-stamp; \
+               $(MAKE) $(AM_MAKEFLAGS) $(DEPDIR)/audio_oss-generate-stamp WHAT=$<; \
+               rmdir $(DEPDIR)/audio_oss-generate-lock; \
+       else \
+               while test -d $(DEPDIR)/audio_oss-generate-lock; do \
+                       sleep 1; \
+               done; \
+               test -f $(DEPDIR)/audio_oss-generate-stamp; \
+               exit $$?; \
+       fi;
+
+$(DEPDIR)/audio_oss-generate-stamp:
+       if $(SWIG) $(STD_SWIG_PYTHON_ARGS) $(audio_oss_swig_args) \
+               -MD -MF $(DEPDIR)/audio_oss.Std \
+               -module audio_oss -o audio_oss.cc $(WHAT); then \
+           if test $(host_os) = mingw32; then \
+               $(RM) $(DEPDIR)/audio_oss.Sd; \
+               $(SED) 's,\\\\,/,g' < $(DEPDIR)/audio_oss.Std \
+                       > $(DEPDIR)/audio_oss.Sd; \
+               $(RM) $(DEPDIR)/audio_oss.Std; \
+               $(MV) $(DEPDIR)/audio_oss.Sd $(DEPDIR)/audio_oss.Std; \
+           fi; \
+       else \
+           $(RM) $(DEPDIR)/audio_oss.S*; exit 1; \
+       fi;
+       $(RM) $(DEPDIR)/audio_oss.d
+       cp $(DEPDIR)/audio_oss.Std $(DEPDIR)/audio_oss.d
+       echo "" >> $(DEPDIR)/audio_oss.d
+       $(SED) -e '1d;s, \\,,g;s, ,,g' < $(DEPDIR)/audio_oss.Std | \
+               awk '{ printf "%s:\n\n", $$0 }' >> $(DEPDIR)/audio_oss.d
+       $(RM) $(DEPDIR)/audio_oss.Std
+       touch $(DEPDIR)/audio_oss-generate-stamp
+
+# KLUDGE: Force runtime include of a SWIG dependency file.  This is
+# not guaranteed to be portable, but will probably work.  If it works,
+# we have accurate dependencies for our swig stuff, which is good.
+
+@am__include@ @am__quote@./$(DEPDIR)/audio_oss.d@am__quote@
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-audio-osx/Makefile.in b/gr-audio-osx/Makefile.in
new file mode 100644 (file)
index 0000000..b88be62
--- /dev/null
@@ -0,0 +1,1031 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004,2006 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common TODO
+subdir = gr-audio-osx
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+EXTRA_DIST = README_OSX
+SUBDIRS = src
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-audio-osx/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-audio-osx/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-recursive
+all-am: Makefile
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive dist-hook distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-audio-osx/src/Makefile.in b/gr-audio-osx/src/Makefile.in
new file mode 100644 (file)
index 0000000..23f700d
--- /dev/null
@@ -0,0 +1,1452 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2006,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio.
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# Makefile.swig.gen for audio_osx.i
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(audio_osx_python_PYTHON) \
+       $(audio_osx_swiginclude_HEADERS) $(grinclude_HEADERS) \
+       $(noinst_HEADERS) $(noinst_PYTHON) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(srcdir)/Makefile.swig.gen \
+       $(srcdir)/run_tests.in $(top_srcdir)/Makefile.common \
+       $(top_srcdir)/Makefile.swig
+subdir = gr-audio-osx/src
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES = run_tests
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(audio_osx_pylibdir)" \
+       "$(DESTDIR)$(audio_osx_pythondir)" \
+       "$(DESTDIR)$(audio_osx_swigincludedir)" \
+       "$(DESTDIR)$(grincludedir)"
+audio_osx_pylibLTLIBRARIES_INSTALL = $(INSTALL)
+LTLIBRARIES = $(audio_osx_pylib_LTLIBRARIES)
+am__DEPENDENCIES_1 =
+am__DEPENDENCIES_2 = $(am__DEPENDENCIES_1)
+_audio_osx_la_DEPENDENCIES = $(am__DEPENDENCIES_1) \
+       $(am__DEPENDENCIES_2)
+am__objects_1 = _audio_osx_la-audio_osx_sink.lo \
+       _audio_osx_la-audio_osx_source.lo
+am__audio_osx_la_OBJECTS = _audio_osx_la-audio_osx.lo $(am__objects_1)
+_audio_osx_la_OBJECTS = $(am__audio_osx_la_OBJECTS)
+_audio_osx_la_LINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) \
+       $(LIBTOOLFLAGS) --mode=link $(CXXLD) $(_audio_osx_la_CXXFLAGS) \
+       $(CXXFLAGS) $(_audio_osx_la_LDFLAGS) $(LDFLAGS) -o $@
+DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
+depcomp = $(SHELL) $(top_srcdir)/depcomp
+am__depfiles_maybe = depfiles
+CXXCOMPILE = $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+LTCXXCOMPILE = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+CXXLD = $(CXX)
+CXXLINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CXXLD) $(AM_CXXFLAGS) $(CXXFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+SOURCES = $(_audio_osx_la_SOURCES)
+DIST_SOURCES = $(_audio_osx_la_SOURCES)
+audio_osx_pythonPYTHON_INSTALL = $(INSTALL_DATA)
+py_compile = $(top_srcdir)/py-compile
+audio_osx_swigincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+grincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+HEADERS = $(audio_osx_swiginclude_HEADERS) $(grinclude_HEADERS) \
+       $(noinst_HEADERS)
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = $(DEPDIR)/audio_osx-generate-*
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp \
+       *.loT $(DEPDIR)/*.S*
+EXTRA_DIST = run_tests.in
+TESTS = run_tests
+DISTCLEANFILES = run_tests
+AM_CPPFLAGS = $(STD_DEFINES_AND_INCLUDES) $(PYTHON_CPPFLAGS) $(WITH_INCLUDES)
+
+# C/C++ headers get installed in ${prefix}/include/gnuradio
+grinclude_HEADERS = \
+       audio_osx_sink.h                \
+       audio_osx_source.h
+
+noinst_HEADERS = \
+       audio_osx.h                     \
+       circular_buffer.h               \
+       mld_threads.h
+
+noinst_PYTHON = \
+       qa_osx.py                       \
+       test_audio_loop.py
+
+
+###################################
+# SWIG Python interface and library
+TOP_SWIG_IFILES = \
+       audio_osx.i
+
+
+# Install so that they end up available as:
+#   import gnuradio.audio_osx
+# This ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+audio_osx_pythondir_category = \
+       gnuradio
+
+
+# additional sources for the SWIG-generated library
+audio_osx_la_swig_sources = \
+       audio_osx_sink.cc               \
+       audio_osx_source.cc
+
+
+# additional libraries for linking with the SWIG-generated library
+audio_osx_la_swig_libadd = \
+       $(GNURADIO_CORE_LA)
+
+
+# additional LD flags for linking the SWIG-generated library
+audio_osx_la_swig_ldflags = \
+       -framework AudioUnit            \
+       -framework CoreAudio            \
+       -framework AudioToolbox
+
+SWIG_PYTHON_FLAGS = \
+       -fvirtual       \
+       -python         \
+       -modern         \
+       -keyword        \
+       -w511           \
+       -outdir .
+
+STD_SWIG_PYTHON_ARGS = \
+       $(SWIG_PYTHON_FLAGS)                    \
+       $(STD_DEFINES_AND_INCLUDES)             \
+       $(WITH_SWIG_INCLUDES)                   \
+       $(WITH_INCLUDES)
+
+STD_SWIG_LA_LD_FLAGS = \
+       $(PYTHON_LDFLAGS)       \
+       -module                 \
+       -avoid-version          \
+       $(NO_UNDEFINED)
+
+STD_SWIG_LA_LIB_ADD = \
+       -lstdc++
+
+STD_SWIG_CXX_FLAGS = @swig_CXXFLAGS@
+SUFFIXES = .i
+swig_built_sources = audio_osx.py audio_osx.cc
+audio_osx_pythondir = $(pythondir)/$(audio_osx_pythondir_category)
+audio_osx_pylibdir = $(pyexecdir)/$(audio_osx_pylibdir_category)
+audio_osx_swigincludedir = $(swigincludedir)
+audio_osx_swiginclude_HEADERS = \
+       audio_osx.i                     \
+       $(audio_osx_swiginclude_headers)
+
+audio_osx_pylib_LTLIBRARIES = \
+       _audio_osx.la
+
+_audio_osx_la_SOURCES = \
+       audio_osx.cc                    \
+       $(audio_osx_la_swig_sources)
+
+_audio_osx_la_LIBADD = \
+       $(STD_SWIG_LA_LIB_ADD)          \
+       $(audio_osx_la_swig_libadd)
+
+_audio_osx_la_LDFLAGS = \
+       $(STD_SWIG_LA_LD_FLAGS)         \
+       $(audio_osx_la_swig_ldflags)
+
+_audio_osx_la_CXXFLAGS = \
+       $(STD_SWIG_CXX_FLAGS)           \
+       $(audio_osx_la_swig_cxxflags)
+
+audio_osx_python_PYTHON = \
+       audio_osx.py                    \
+       $(audio_osx_python)
+
+
+# add some of the variables generated inside the Makefile.swig.gen
+BUILT_SOURCES = $(swig_built_sources)
+
+# Do not distribute the output of SWIG
+no_dist_files = $(swig_built_sources)
+all: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) all-am
+
+.SUFFIXES:
+.SUFFIXES: .i .cc .lo .o .obj
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(top_srcdir)/Makefile.swig $(srcdir)/Makefile.swig.gen $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-audio-osx/src/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-audio-osx/src/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+run_tests: $(top_builddir)/config.status $(srcdir)/run_tests.in
+       cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@
+install-audio_osx_pylibLTLIBRARIES: $(audio_osx_pylib_LTLIBRARIES)
+       @$(NORMAL_INSTALL)
+       test -z "$(audio_osx_pylibdir)" || $(MKDIR_P) "$(DESTDIR)$(audio_osx_pylibdir)"
+       @list='$(audio_osx_pylib_LTLIBRARIES)'; for p in $$list; do \
+         if test -f $$p; then \
+           f=$(am__strip_dir) \
+           echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(audio_osx_pylibLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) '$$p' '$(DESTDIR)$(audio_osx_pylibdir)/$$f'"; \
+           $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(audio_osx_pylibLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) "$$p" "$(DESTDIR)$(audio_osx_pylibdir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-audio_osx_pylibLTLIBRARIES:
+       @$(NORMAL_UNINSTALL)
+       @list='$(audio_osx_pylib_LTLIBRARIES)'; for p in $$list; do \
+         p=$(am__strip_dir) \
+         echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f '$(DESTDIR)$(audio_osx_pylibdir)/$$p'"; \
+         $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f "$(DESTDIR)$(audio_osx_pylibdir)/$$p"; \
+       done
+
+clean-audio_osx_pylibLTLIBRARIES:
+       -test -z "$(audio_osx_pylib_LTLIBRARIES)" || rm -f $(audio_osx_pylib_LTLIBRARIES)
+       @list='$(audio_osx_pylib_LTLIBRARIES)'; for p in $$list; do \
+         dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
+         test "$$dir" != "$$p" || dir=.; \
+         echo "rm -f \"$${dir}/so_locations\""; \
+         rm -f "$${dir}/so_locations"; \
+       done
+_audio_osx.la: $(_audio_osx_la_OBJECTS) $(_audio_osx_la_DEPENDENCIES) 
+       $(_audio_osx_la_LINK) -rpath $(audio_osx_pylibdir) $(_audio_osx_la_OBJECTS) $(_audio_osx_la_LIBADD) $(LIBS)
+
+mostlyclean-compile:
+       -rm -f *.$(OBJEXT)
+
+distclean-compile:
+       -rm -f *.tab.c
+
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_audio_osx_la-audio_osx.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_audio_osx_la-audio_osx_sink.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_audio_osx_la-audio_osx_source.Plo@am__quote@
+
+.cc.o:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ $<
+
+.cc.obj:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ `$(CYGPATH_W) '$<'`
+
+.cc.lo:
+@am__fastdepCXX_TRUE@  $(LTCXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LTCXXCOMPILE) -c -o $@ $<
+
+_audio_osx_la-audio_osx.lo: audio_osx.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_audio_osx_la_CXXFLAGS) $(CXXFLAGS) -MT _audio_osx_la-audio_osx.lo -MD -MP -MF $(DEPDIR)/_audio_osx_la-audio_osx.Tpo -c -o _audio_osx_la-audio_osx.lo `test -f 'audio_osx.cc' || echo '$(srcdir)/'`audio_osx.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_audio_osx_la-audio_osx.Tpo $(DEPDIR)/_audio_osx_la-audio_osx.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='audio_osx.cc' object='_audio_osx_la-audio_osx.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_audio_osx_la_CXXFLAGS) $(CXXFLAGS) -c -o _audio_osx_la-audio_osx.lo `test -f 'audio_osx.cc' || echo '$(srcdir)/'`audio_osx.cc
+
+_audio_osx_la-audio_osx_sink.lo: audio_osx_sink.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_audio_osx_la_CXXFLAGS) $(CXXFLAGS) -MT _audio_osx_la-audio_osx_sink.lo -MD -MP -MF $(DEPDIR)/_audio_osx_la-audio_osx_sink.Tpo -c -o _audio_osx_la-audio_osx_sink.lo `test -f 'audio_osx_sink.cc' || echo '$(srcdir)/'`audio_osx_sink.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_audio_osx_la-audio_osx_sink.Tpo $(DEPDIR)/_audio_osx_la-audio_osx_sink.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='audio_osx_sink.cc' object='_audio_osx_la-audio_osx_sink.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_audio_osx_la_CXXFLAGS) $(CXXFLAGS) -c -o _audio_osx_la-audio_osx_sink.lo `test -f 'audio_osx_sink.cc' || echo '$(srcdir)/'`audio_osx_sink.cc
+
+_audio_osx_la-audio_osx_source.lo: audio_osx_source.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_audio_osx_la_CXXFLAGS) $(CXXFLAGS) -MT _audio_osx_la-audio_osx_source.lo -MD -MP -MF $(DEPDIR)/_audio_osx_la-audio_osx_source.Tpo -c -o _audio_osx_la-audio_osx_source.lo `test -f 'audio_osx_source.cc' || echo '$(srcdir)/'`audio_osx_source.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_audio_osx_la-audio_osx_source.Tpo $(DEPDIR)/_audio_osx_la-audio_osx_source.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='audio_osx_source.cc' object='_audio_osx_la-audio_osx_source.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_audio_osx_la_CXXFLAGS) $(CXXFLAGS) -c -o _audio_osx_la-audio_osx_source.lo `test -f 'audio_osx_source.cc' || echo '$(srcdir)/'`audio_osx_source.cc
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-audio_osx_pythonPYTHON: $(audio_osx_python_PYTHON)
+       @$(NORMAL_INSTALL)
+       test -z "$(audio_osx_pythondir)" || $(MKDIR_P) "$(DESTDIR)$(audio_osx_pythondir)"
+       @list='$(audio_osx_python_PYTHON)'; dlist=''; for p in $$list; do\
+         if test -f "$$p"; then b=; else b="$(srcdir)/"; fi; \
+         if test -f $$b$$p; then \
+           f=$(am__strip_dir) \
+           dlist="$$dlist $$f"; \
+           echo " $(audio_osx_pythonPYTHON_INSTALL) '$$b$$p' '$(DESTDIR)$(audio_osx_pythondir)/$$f'"; \
+           $(audio_osx_pythonPYTHON_INSTALL) "$$b$$p" "$(DESTDIR)$(audio_osx_pythondir)/$$f"; \
+         else :; fi; \
+       done; \
+       if test -n "$$dlist"; then \
+         if test -z "$(DESTDIR)"; then \
+           PYTHON=$(PYTHON) $(py_compile) --basedir "$(audio_osx_pythondir)" $$dlist; \
+         else \
+           PYTHON=$(PYTHON) $(py_compile) --destdir "$(DESTDIR)" --basedir "$(audio_osx_pythondir)" $$dlist; \
+         fi; \
+       else :; fi
+
+uninstall-audio_osx_pythonPYTHON:
+       @$(NORMAL_UNINSTALL)
+       @list='$(audio_osx_python_PYTHON)'; dlist=''; for p in $$list; do\
+         f=$(am__strip_dir) \
+         rm -f "$(DESTDIR)$(audio_osx_pythondir)/$$f"; \
+         rm -f "$(DESTDIR)$(audio_osx_pythondir)/$${f}c"; \
+         rm -f "$(DESTDIR)$(audio_osx_pythondir)/$${f}o"; \
+       done
+install-audio_osx_swigincludeHEADERS: $(audio_osx_swiginclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(audio_osx_swigincludedir)" || $(MKDIR_P) "$(DESTDIR)$(audio_osx_swigincludedir)"
+       @list='$(audio_osx_swiginclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(audio_osx_swigincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(audio_osx_swigincludedir)/$$f'"; \
+         $(audio_osx_swigincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(audio_osx_swigincludedir)/$$f"; \
+       done
+
+uninstall-audio_osx_swigincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(audio_osx_swiginclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(audio_osx_swigincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(audio_osx_swigincludedir)/$$f"; \
+       done
+install-grincludeHEADERS: $(grinclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(grincludedir)" || $(MKDIR_P) "$(DESTDIR)$(grincludedir)"
+       @list='$(grinclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(grincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(grincludedir)/$$f'"; \
+         $(grincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(grincludedir)/$$f"; \
+       done
+
+uninstall-grincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(grinclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(grincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(grincludedir)/$$f"; \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+check-TESTS: $(TESTS)
+       @failed=0; all=0; xfail=0; xpass=0; skip=0; \
+       srcdir=$(srcdir); export srcdir; \
+       list=' $(TESTS) '; \
+       if test -n "$$list"; then \
+         for tst in $$list; do \
+           if test -f ./$$tst; then dir=./; \
+           elif test -f $$tst; then dir=; \
+           else dir="$(srcdir)/"; fi; \
+           if $(TESTS_ENVIRONMENT) $${dir}$$tst; then \
+             all=`expr $$all + 1`; \
+             case " $(XFAIL_TESTS) " in \
+             *[\ \     ]$$tst[\ \      ]*) \
+               xpass=`expr $$xpass + 1`; \
+               failed=`expr $$failed + 1`; \
+               echo "XPASS: $$tst"; \
+             ;; \
+             *) \
+               echo "PASS: $$tst"; \
+             ;; \
+             esac; \
+           elif test $$? -ne 77; then \
+             all=`expr $$all + 1`; \
+             case " $(XFAIL_TESTS) " in \
+             *[\ \     ]$$tst[\ \      ]*) \
+               xfail=`expr $$xfail + 1`; \
+               echo "XFAIL: $$tst"; \
+             ;; \
+             *) \
+               failed=`expr $$failed + 1`; \
+               echo "FAIL: $$tst"; \
+             ;; \
+             esac; \
+           else \
+             skip=`expr $$skip + 1`; \
+             echo "SKIP: $$tst"; \
+           fi; \
+         done; \
+         if test "$$all" -eq 1; then \
+           tests="test"; \
+           All=""; \
+         else \
+           tests="tests"; \
+           All="All "; \
+         fi; \
+         if test "$$failed" -eq 0; then \
+           if test "$$xfail" -eq 0; then \
+             banner="$$All$$all $$tests passed"; \
+           else \
+             if test "$$xfail" -eq 1; then failures=failure; else failures=failures; fi; \
+             banner="$$All$$all $$tests behaved as expected ($$xfail expected $$failures)"; \
+           fi; \
+         else \
+           if test "$$xpass" -eq 0; then \
+             banner="$$failed of $$all $$tests failed"; \
+           else \
+             if test "$$xpass" -eq 1; then passes=pass; else passes=passes; fi; \
+             banner="$$failed of $$all $$tests did not behave as expected ($$xpass unexpected $$passes)"; \
+           fi; \
+         fi; \
+         dashes="$$banner"; \
+         skipped=""; \
+         if test "$$skip" -ne 0; then \
+           if test "$$skip" -eq 1; then \
+             skipped="($$skip test was not run)"; \
+           else \
+             skipped="($$skip tests were not run)"; \
+           fi; \
+           test `echo "$$skipped" | wc -c` -le `echo "$$banner" | wc -c` || \
+             dashes="$$skipped"; \
+         fi; \
+         report=""; \
+         if test "$$failed" -ne 0 && test -n "$(PACKAGE_BUGREPORT)"; then \
+           report="Please report to $(PACKAGE_BUGREPORT)"; \
+           test `echo "$$report" | wc -c` -le `echo "$$banner" | wc -c` || \
+             dashes="$$report"; \
+         fi; \
+         dashes=`echo "$$dashes" | sed s/./=/g`; \
+         echo "$$dashes"; \
+         echo "$$banner"; \
+         test -z "$$skipped" || echo "$$skipped"; \
+         test -z "$$report" || echo "$$report"; \
+         echo "$$dashes"; \
+         test "$$failed" -eq 0; \
+       else :; fi
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+       $(MAKE) $(AM_MAKEFLAGS) check-TESTS
+check: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) check-am
+all-am: Makefile $(LTLIBRARIES) $(HEADERS)
+installdirs:
+       for dir in "$(DESTDIR)$(audio_osx_pylibdir)" "$(DESTDIR)$(audio_osx_pythondir)" "$(DESTDIR)$(audio_osx_swigincludedir)" "$(DESTDIR)$(grincludedir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+       -test -z "$(DISTCLEANFILES)" || rm -f $(DISTCLEANFILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+       -test -z "$(BUILT_SOURCES)" || rm -f $(BUILT_SOURCES)
+clean: clean-am
+
+clean-am: clean-audio_osx_pylibLTLIBRARIES clean-generic clean-libtool \
+       mostlyclean-am
+
+distclean: distclean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+distclean-am: clean-am distclean-compile distclean-generic \
+       distclean-tags
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-audio_osx_pylibLTLIBRARIES \
+       install-audio_osx_pythonPYTHON \
+       install-audio_osx_swigincludeHEADERS install-grincludeHEADERS
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-compile mostlyclean-generic \
+       mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-audio_osx_pylibLTLIBRARIES \
+       uninstall-audio_osx_pythonPYTHON \
+       uninstall-audio_osx_swigincludeHEADERS \
+       uninstall-grincludeHEADERS
+
+.MAKE: install-am install-strip
+
+.PHONY: CTAGS GTAGS all all-am check check-TESTS check-am clean \
+       clean-audio_osx_pylibLTLIBRARIES clean-generic clean-libtool \
+       ctags dist-hook distclean distclean-compile distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am \
+       install-audio_osx_pylibLTLIBRARIES \
+       install-audio_osx_pythonPYTHON \
+       install-audio_osx_swigincludeHEADERS install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-grincludeHEADERS install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-ps install-ps-am \
+       install-strip installcheck installcheck-am installdirs \
+       maintainer-clean maintainer-clean-generic mostlyclean \
+       mostlyclean-compile mostlyclean-generic mostlyclean-libtool \
+       pdf pdf-am ps ps-am tags uninstall uninstall-am \
+       uninstall-audio_osx_pylibLTLIBRARIES \
+       uninstall-audio_osx_pythonPYTHON \
+       uninstall-audio_osx_swigincludeHEADERS \
+       uninstall-grincludeHEADERS
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+
+generate-makefile-swig $(srcdir)/Makefile.swig.gen: $(top_srcdir)/Makefile.swig.gen.t
+       @do_recreate=0; \
+       if test -f $(srcdir)/Makefile.swig.gen; then \
+               if $(RM) $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                       if touch $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                               do_recreate=1; \
+                       fi; \
+               fi; \
+       else \
+               if touch $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                       do_recreate=1; \
+               fi; \
+       fi; \
+       if test "$$do_recreate" == "1"; then \
+               echo "Regenerating $(srcdir)/Makefile.swig.gen"; \
+               for TFILE in $(TOP_SWIG_IFILES); do \
+                       TNAME=`python -c "import os.path as op; (dN, fN) = op.split ('$$TFILE'); (fbN, fE) = op.splitext (fN); print fbN;"`; \
+                       $(SED) -e 's|@NAME@|'$$TNAME'|g;' < $(top_srcdir)/Makefile.swig.gen.t >> $(srcdir)/Makefile.swig.gen; \
+                       echo "" >> $(srcdir)/Makefile.swig.gen; \
+               done; \
+       else \
+               echo "Cannot recreate $(srcdir)/Makefile.swig.gen because the directory or file is write-protected."; \
+               exit -1; \
+       fi;
+
+audio_osx_pythondir_category ?= gnuradio/audio_osx
+audio_osx_pylibdir_category ?= $(audio_osx_pythondir_category)
+
+audio_osx.h audio_osx.py audio_osx.cc: audio_osx.i
+       trap 'rm -rf $(DEPDIR)/audio_osx-generate-*' 1 2 13 15; \
+       if mkdir $(DEPDIR)/audio_osx-generate-lock 2>/dev/null; then \
+               rm -f $(DEPDIR)/audio_osx-generate-stamp; \
+               $(MAKE) $(AM_MAKEFLAGS) $(DEPDIR)/audio_osx-generate-stamp WHAT=$<; \
+               rmdir $(DEPDIR)/audio_osx-generate-lock; \
+       else \
+               while test -d $(DEPDIR)/audio_osx-generate-lock; do \
+                       sleep 1; \
+               done; \
+               test -f $(DEPDIR)/audio_osx-generate-stamp; \
+               exit $$?; \
+       fi;
+
+$(DEPDIR)/audio_osx-generate-stamp:
+       if $(SWIG) $(STD_SWIG_PYTHON_ARGS) $(audio_osx_swig_args) \
+               -MD -MF $(DEPDIR)/audio_osx.Std \
+               -module audio_osx -o audio_osx.cc $(WHAT); then \
+           if test $(host_os) = mingw32; then \
+               $(RM) $(DEPDIR)/audio_osx.Sd; \
+               $(SED) 's,\\\\,/,g' < $(DEPDIR)/audio_osx.Std \
+                       > $(DEPDIR)/audio_osx.Sd; \
+               $(RM) $(DEPDIR)/audio_osx.Std; \
+               $(MV) $(DEPDIR)/audio_osx.Sd $(DEPDIR)/audio_osx.Std; \
+           fi; \
+       else \
+           $(RM) $(DEPDIR)/audio_osx.S*; exit 1; \
+       fi;
+       $(RM) $(DEPDIR)/audio_osx.d
+       cp $(DEPDIR)/audio_osx.Std $(DEPDIR)/audio_osx.d
+       echo "" >> $(DEPDIR)/audio_osx.d
+       $(SED) -e '1d;s, \\,,g;s, ,,g' < $(DEPDIR)/audio_osx.Std | \
+               awk '{ printf "%s:\n\n", $$0 }' >> $(DEPDIR)/audio_osx.d
+       $(RM) $(DEPDIR)/audio_osx.Std
+       touch $(DEPDIR)/audio_osx-generate-stamp
+
+# KLUDGE: Force runtime include of a SWIG dependency file.  This is
+# not guaranteed to be portable, but will probably work.  If it works,
+# we have accurate dependencies for our swig stuff, which is good.
+
+@am__include@ @am__quote@./$(DEPDIR)/audio_osx.d@am__quote@
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-audio-osx/src/mld_threads.h b/gr-audio-osx/src/mld_threads.h
new file mode 100644 (file)
index 0000000..d2fb4ea
--- /dev/null
@@ -0,0 +1,272 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio.
+ *
+ * Primary Author: Michael Dickens, NCIP Lab, University of Notre Dame
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifndef _INCLUDED_MLD_THREADS_H_
+#define _INCLUDED_MLD_THREADS_H_
+
+/* classes which allow for either pthreads or omni_threads */
+
+#define __macos__
+#ifdef _USE_OMNI_THREADS_
+#include <gnuradio/omnithread.h>
+#else
+#include <pthread.h>
+#endif
+
+#include <stdexcept>
+
+#define __INLINE__ inline
+#define DO_DEBUG 0
+
+#if DO_DEBUG
+#define DEBUG(X) do{X} while(0);
+#else
+#define DEBUG(X) do{} while(0);
+#endif
+
+class mld_condition_t;
+
+class mld_mutex_t {
+#ifdef _USE_OMNI_THREADS_
+  typedef omni_mutex l_mutex, *l_mutex_ptr;
+#else
+  typedef pthread_mutex_t l_mutex, *l_mutex_ptr;
+#endif
+
+  friend class mld_condition_t;
+
+private:
+  l_mutex_ptr d_mutex;
+
+protected:
+  inline l_mutex_ptr mutex () { return (d_mutex); };
+
+public:
+  __INLINE__ mld_mutex_t () {
+#ifdef _USE_OMNI_THREADS_
+    d_mutex = new omni_mutex ();
+#else
+    d_mutex = (l_mutex_ptr) new l_mutex;
+    int l_ret = pthread_mutex_init (d_mutex, NULL);
+    if (l_ret != 0) {
+      fprintf (stderr, "Error %d creating mutex.\n", l_ret);
+      throw std::runtime_error ("mld_mutex_t::mld_mutex_t()\n");
+    }
+#endif
+  };
+
+  __INLINE__ ~mld_mutex_t () {
+    unlock ();
+#ifndef _USE_OMNI_THREADS_
+    int l_ret = pthread_mutex_destroy (d_mutex);
+    if (l_ret != 0) {
+      fprintf (stderr, "mld_mutex_t::~mld_mutex_t(): "
+              "Error %d destroying mutex.\n", l_ret);
+    }
+#endif
+    delete d_mutex;
+    d_mutex = NULL;
+  };
+
+  __INLINE__ void lock () {
+#ifdef _USE_OMNI_THREADS_
+    d_mutex->lock ();
+#else
+    int l_ret = pthread_mutex_lock (d_mutex);
+    if (l_ret != 0) {
+      fprintf (stderr, "mld_mutex_t::lock(): "
+              "Error %d locking mutex.\n", l_ret);
+    }
+#endif
+  };
+
+  __INLINE__ void unlock () {
+#ifdef _USE_OMNI_THREADS_
+    d_mutex->unlock ();
+#else
+    int l_ret = pthread_mutex_unlock (d_mutex);
+    if (l_ret != 0) {
+      fprintf (stderr, "mld_mutex_t::unlock(): "
+              "Error %d locking mutex.\n", l_ret);
+    }
+#endif
+  };
+
+  __INLINE__ bool trylock () {
+#ifdef _USE_OMNI_THREADS_
+    int l_ret = d_mutex->trylock ();
+#else
+    int l_ret = pthread_mutex_unlock (d_mutex);
+#endif
+    return (l_ret == 0 ? true : false);
+  };
+
+  inline void acquire () { lock(); };
+  inline void release () { unlock(); };
+  inline void wait () { lock(); };
+  inline void post () { unlock(); };
+};
+
+typedef mld_mutex_t mld_mutex, *mld_mutex_ptr;
+
+class mld_condition_t {
+#ifdef _USE_OMNI_THREADS_
+  typedef omni_condition l_condition, *l_condition_ptr;
+#else
+  typedef pthread_cond_t l_condition, *l_condition_ptr;
+#endif
+
+private:
+  l_condition_ptr d_condition;
+  mld_mutex_ptr d_mutex;
+  bool d_i_own_mutex;
+
+public:
+  __INLINE__ mld_condition_t (mld_mutex_ptr mutex = NULL) {
+    if (mutex) {
+      d_i_own_mutex = false;
+      d_mutex = mutex;
+    } else {
+      d_i_own_mutex = true;
+      d_mutex = new mld_mutex ();
+    }
+#ifdef _USE_OMNI_THREADS_
+    d_condition = new omni_condition (d_mutex->mutex ());
+#else
+    d_condition = (l_condition_ptr) new l_condition;
+    int l_ret = pthread_cond_init (d_condition, NULL);
+    if (l_ret != 0) {
+      fprintf (stderr, "Error %d creating condition.\n", l_ret);
+      throw std::runtime_error ("mld_condition_t::mld_condition_t()\n");
+    }
+#endif
+  };
+
+  __INLINE__ ~mld_condition_t () {
+    signal ();
+#ifndef _USE_OMNI_THREADS_
+    int l_ret = pthread_cond_destroy (d_condition);
+    if (l_ret != 0) {
+      fprintf (stderr, "mld_condition_t::mld_condition_t(): "
+              "Error %d destroying condition.\n", l_ret);
+    }
+#endif
+    delete d_condition;
+    d_condition = NULL;
+    if (d_i_own_mutex)
+      delete d_mutex;
+    d_mutex = NULL;
+  };
+
+  __INLINE__ mld_mutex_ptr mutex () {return (d_mutex);};
+
+  __INLINE__ void signal () {
+    DEBUG (fprintf (stderr, "a "));
+
+#ifdef _USE_OMNI_THREADS_
+    d_condition->signal ();
+#else
+    int l_ret = pthread_cond_signal (d_condition);
+    if (l_ret != 0) {
+      fprintf (stderr, "mld_condition_t::signal(): "
+              "Error %d.\n", l_ret);
+    }
+#endif
+    DEBUG (fprintf (stderr, "b "));
+  };
+
+  __INLINE__ void wait () {
+    DEBUG (fprintf (stderr, "c "));
+#ifdef _USE_OMNI_THREADS_
+    d_condition->wait ();
+#else
+    int l_ret = pthread_cond_wait (d_condition, d_mutex->mutex ());
+    if (l_ret != 0) {
+      fprintf (stderr, "mld_condition_t::wait(): "
+              "Error %d.\n", l_ret);
+    }
+#endif
+    DEBUG (printf (stderr, "d "));
+  };
+};
+
+typedef mld_condition_t mld_condition, *mld_condition_ptr;
+
+class mld_thread_t {
+#ifdef _USE_OMNI_THREADS_
+  typedef omni_thread l_thread, *l_thread_ptr;
+#else
+  typedef pthread_t l_thread, *l_thread_ptr;
+#endif
+
+private:
+#ifndef _USE_OMNI_THREADS_
+  l_thread d_thread;
+  void (*d_start_routine)(void*);
+  void *d_arg;
+#else
+  l_thread_ptr d_thread;
+#endif
+
+#ifndef _USE_OMNI_THREADS_
+  static void* local_start_routine (void *arg) {
+    mld_thread_t* This = (mld_thread_t*) arg;
+    (*(This->d_start_routine))(This->d_arg);
+    return (NULL);
+  };
+#endif
+
+public:
+  __INLINE__ mld_thread_t (void (*start_routine)(void *), void *arg) {
+#ifdef _USE_OMNI_THREADS_
+    d_thread = new omni_thread (start_routine, arg);
+    d_thread->start ();
+#else
+    d_start_routine = start_routine;
+    d_arg = arg;
+    int l_ret = pthread_create (&d_thread, NULL, local_start_routine, this);
+    if (l_ret != 0) {
+      fprintf (stderr, "Error %d creating thread.\n", l_ret);
+      throw std::runtime_error ("mld_thread_t::mld_thread_t()\n");
+    }
+#endif
+  };
+
+  __INLINE__ ~mld_thread_t () {
+#ifdef _USE_OMNI_THREADS_
+//  delete d_thread;
+    d_thread = NULL;
+#else
+    int l_ret = pthread_detach (d_thread);
+    if (l_ret != 0) {
+      fprintf (stderr, "Error %d detaching thread.\n", l_ret);
+      throw std::runtime_error ("mld_thread_t::~mld_thread_t()\n");
+    }
+#endif
+  };
+};
+
+typedef mld_thread_t mld_thread, *mld_thread_ptr;
+
+#endif /* _INCLUDED_MLD_THREADS_H_ */
diff --git a/gr-audio-portaudio/Makefile.in b/gr-audio-portaudio/Makefile.in
new file mode 100644 (file)
index 0000000..085c63f
--- /dev/null
@@ -0,0 +1,1063 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2006,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = README $(dist_etc_DATA) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(top_srcdir)/Makefile.common
+subdir = gr-audio-portaudio
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(etcdir)"
+dist_etcDATA_INSTALL = $(INSTALL_DATA)
+DATA = $(dist_etc_DATA)
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+SUBDIRS = src
+etcdir = $(gr_sysconfdir)
+dist_etc_DATA = gr-audio-portaudio.conf
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-audio-portaudio/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-audio-portaudio/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-dist_etcDATA: $(dist_etc_DATA)
+       @$(NORMAL_INSTALL)
+       test -z "$(etcdir)" || $(MKDIR_P) "$(DESTDIR)$(etcdir)"
+       @list='$(dist_etc_DATA)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(dist_etcDATA_INSTALL) '$$d$$p' '$(DESTDIR)$(etcdir)/$$f'"; \
+         $(dist_etcDATA_INSTALL) "$$d$$p" "$(DESTDIR)$(etcdir)/$$f"; \
+       done
+
+uninstall-dist_etcDATA:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_etc_DATA)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(etcdir)/$$f'"; \
+         rm -f "$(DESTDIR)$(etcdir)/$$f"; \
+       done
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-recursive
+all-am: Makefile $(DATA)
+installdirs: installdirs-recursive
+installdirs-am:
+       for dir in "$(DESTDIR)$(etcdir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am: install-dist_etcDATA
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am: uninstall-dist_etcDATA
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive dist-hook distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dist_etcDATA install-dvi \
+       install-dvi-am install-exec install-exec-am install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-ps install-ps-am \
+       install-strip installcheck installcheck-am installdirs \
+       installdirs-am maintainer-clean maintainer-clean-generic \
+       mostlyclean mostlyclean-generic mostlyclean-libtool pdf pdf-am \
+       ps ps-am tags tags-recursive uninstall uninstall-am \
+       uninstall-dist_etcDATA
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-audio-portaudio/src/Makefile.in b/gr-audio-portaudio/src/Makefile.in
new file mode 100644 (file)
index 0000000..0894714
--- /dev/null
@@ -0,0 +1,1458 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# Makefile.swig.gen for audio_portaudio.i
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(audio_portaudio_python_PYTHON) \
+       $(audio_portaudio_swiginclude_HEADERS) $(grinclude_HEADERS) \
+       $(noinst_HEADERS) $(noinst_PYTHON) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(srcdir)/Makefile.swig.gen \
+       $(srcdir)/run_tests.in $(top_srcdir)/Makefile.common \
+       $(top_srcdir)/Makefile.swig
+subdir = gr-audio-portaudio/src
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES = run_tests
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(audio_portaudio_pylibdir)" \
+       "$(DESTDIR)$(audio_portaudio_pythondir)" \
+       "$(DESTDIR)$(audio_portaudio_swigincludedir)" \
+       "$(DESTDIR)$(grincludedir)"
+audio_portaudio_pylibLTLIBRARIES_INSTALL = $(INSTALL)
+LTLIBRARIES = $(audio_portaudio_pylib_LTLIBRARIES)
+am__DEPENDENCIES_1 =
+am__DEPENDENCIES_2 = $(am__DEPENDENCIES_1) $(am__DEPENDENCIES_1)
+_audio_portaudio_la_DEPENDENCIES = $(am__DEPENDENCIES_1) \
+       $(am__DEPENDENCIES_2)
+am__objects_1 = _audio_portaudio_la-audio_portaudio_sink.lo \
+       _audio_portaudio_la-audio_portaudio_source.lo \
+       _audio_portaudio_la-gri_portaudio.lo
+am__audio_portaudio_la_OBJECTS =  \
+       _audio_portaudio_la-audio_portaudio.lo $(am__objects_1)
+_audio_portaudio_la_OBJECTS = $(am__audio_portaudio_la_OBJECTS)
+_audio_portaudio_la_LINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) \
+       $(LIBTOOLFLAGS) --mode=link $(CXXLD) \
+       $(_audio_portaudio_la_CXXFLAGS) $(CXXFLAGS) \
+       $(_audio_portaudio_la_LDFLAGS) $(LDFLAGS) -o $@
+DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
+depcomp = $(SHELL) $(top_srcdir)/depcomp
+am__depfiles_maybe = depfiles
+CXXCOMPILE = $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+LTCXXCOMPILE = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+CXXLD = $(CXX)
+CXXLINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CXXLD) $(AM_CXXFLAGS) $(CXXFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+SOURCES = $(_audio_portaudio_la_SOURCES)
+DIST_SOURCES = $(_audio_portaudio_la_SOURCES)
+audio_portaudio_pythonPYTHON_INSTALL = $(INSTALL_DATA)
+py_compile = $(top_srcdir)/py-compile
+audio_portaudio_swigincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+grincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+HEADERS = $(audio_portaudio_swiginclude_HEADERS) $(grinclude_HEADERS) \
+       $(noinst_HEADERS)
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = $(DEPDIR)/audio_portaudio-generate-*
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp \
+       *.loT $(DEPDIR)/*.S*
+EXTRA_DIST = run_tests.in
+TESTS = run_tests
+DISTCLEANFILES = run_tests
+AM_CPPFLAGS = $(STD_DEFINES_AND_INCLUDES) $(PYTHON_CPPFLAGS) \
+       $(PORTAUDIO_CFLAGS) $(WITH_INCLUDES)
+
+
+# C/C++ headers get installed in ${prefix}/include/gnuradio
+grinclude_HEADERS = \
+       audio_portaudio_sink.h          \
+       audio_portaudio_source.h                
+
+noinst_HEADERS = \
+       gri_portaudio.h
+
+noinst_PYTHON = \
+       qa_portaudio.py
+
+
+###################################
+# SWIG Python interface and library
+TOP_SWIG_IFILES = \
+       audio_portaudio.i
+
+
+# Install so that they end up available as:
+#   import gnuradio.audio_portaudio
+# This ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+audio_portaudio_pythondir_category = \
+       gnuradio
+
+
+# additional sources for the SWIG-generated library
+audio_portaudio_la_swig_sources = \
+       audio_portaudio_sink.cc         \
+       audio_portaudio_source.cc       \
+       gri_portaudio.cc
+
+
+# additional libraries for linking with the SWIG-generated library
+audio_portaudio_la_swig_libadd = \
+       $(GNURADIO_CORE_LA)             \
+       $(PORTAUDIO_LIBS)
+
+SWIG_PYTHON_FLAGS = \
+       -fvirtual       \
+       -python         \
+       -modern         \
+       -keyword        \
+       -w511           \
+       -outdir .
+
+STD_SWIG_PYTHON_ARGS = \
+       $(SWIG_PYTHON_FLAGS)                    \
+       $(STD_DEFINES_AND_INCLUDES)             \
+       $(WITH_SWIG_INCLUDES)                   \
+       $(WITH_INCLUDES)
+
+STD_SWIG_LA_LD_FLAGS = \
+       $(PYTHON_LDFLAGS)       \
+       -module                 \
+       -avoid-version          \
+       $(NO_UNDEFINED)
+
+STD_SWIG_LA_LIB_ADD = \
+       -lstdc++
+
+STD_SWIG_CXX_FLAGS = @swig_CXXFLAGS@
+SUFFIXES = .i
+swig_built_sources = audio_portaudio.py audio_portaudio.cc
+audio_portaudio_pythondir = $(pythondir)/$(audio_portaudio_pythondir_category)
+audio_portaudio_pylibdir = $(pyexecdir)/$(audio_portaudio_pylibdir_category)
+audio_portaudio_swigincludedir = $(swigincludedir)
+audio_portaudio_swiginclude_HEADERS = \
+       audio_portaudio.i                       \
+       $(audio_portaudio_swiginclude_headers)
+
+audio_portaudio_pylib_LTLIBRARIES = \
+       _audio_portaudio.la
+
+_audio_portaudio_la_SOURCES = \
+       audio_portaudio.cc                      \
+       $(audio_portaudio_la_swig_sources)
+
+_audio_portaudio_la_LIBADD = \
+       $(STD_SWIG_LA_LIB_ADD)          \
+       $(audio_portaudio_la_swig_libadd)
+
+_audio_portaudio_la_LDFLAGS = \
+       $(STD_SWIG_LA_LD_FLAGS)         \
+       $(audio_portaudio_la_swig_ldflags)
+
+_audio_portaudio_la_CXXFLAGS = \
+       $(STD_SWIG_CXX_FLAGS)           \
+       $(audio_portaudio_la_swig_cxxflags)
+
+audio_portaudio_python_PYTHON = \
+       audio_portaudio.py                      \
+       $(audio_portaudio_python)
+
+
+# add some of the variables generated inside the Makefile.swig.gen
+BUILT_SOURCES = $(swig_built_sources)
+
+# Do not distribute the output of SWIG
+no_dist_files = $(swig_built_sources)
+all: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) all-am
+
+.SUFFIXES:
+.SUFFIXES: .i .cc .lo .o .obj
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(top_srcdir)/Makefile.swig $(srcdir)/Makefile.swig.gen $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-audio-portaudio/src/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-audio-portaudio/src/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+run_tests: $(top_builddir)/config.status $(srcdir)/run_tests.in
+       cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@
+install-audio_portaudio_pylibLTLIBRARIES: $(audio_portaudio_pylib_LTLIBRARIES)
+       @$(NORMAL_INSTALL)
+       test -z "$(audio_portaudio_pylibdir)" || $(MKDIR_P) "$(DESTDIR)$(audio_portaudio_pylibdir)"
+       @list='$(audio_portaudio_pylib_LTLIBRARIES)'; for p in $$list; do \
+         if test -f $$p; then \
+           f=$(am__strip_dir) \
+           echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(audio_portaudio_pylibLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) '$$p' '$(DESTDIR)$(audio_portaudio_pylibdir)/$$f'"; \
+           $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(audio_portaudio_pylibLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) "$$p" "$(DESTDIR)$(audio_portaudio_pylibdir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-audio_portaudio_pylibLTLIBRARIES:
+       @$(NORMAL_UNINSTALL)
+       @list='$(audio_portaudio_pylib_LTLIBRARIES)'; for p in $$list; do \
+         p=$(am__strip_dir) \
+         echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f '$(DESTDIR)$(audio_portaudio_pylibdir)/$$p'"; \
+         $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f "$(DESTDIR)$(audio_portaudio_pylibdir)/$$p"; \
+       done
+
+clean-audio_portaudio_pylibLTLIBRARIES:
+       -test -z "$(audio_portaudio_pylib_LTLIBRARIES)" || rm -f $(audio_portaudio_pylib_LTLIBRARIES)
+       @list='$(audio_portaudio_pylib_LTLIBRARIES)'; for p in $$list; do \
+         dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
+         test "$$dir" != "$$p" || dir=.; \
+         echo "rm -f \"$${dir}/so_locations\""; \
+         rm -f "$${dir}/so_locations"; \
+       done
+_audio_portaudio.la: $(_audio_portaudio_la_OBJECTS) $(_audio_portaudio_la_DEPENDENCIES) 
+       $(_audio_portaudio_la_LINK) -rpath $(audio_portaudio_pylibdir) $(_audio_portaudio_la_OBJECTS) $(_audio_portaudio_la_LIBADD) $(LIBS)
+
+mostlyclean-compile:
+       -rm -f *.$(OBJEXT)
+
+distclean-compile:
+       -rm -f *.tab.c
+
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_audio_portaudio_la-audio_portaudio.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_audio_portaudio_la-audio_portaudio_sink.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_audio_portaudio_la-audio_portaudio_source.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_audio_portaudio_la-gri_portaudio.Plo@am__quote@
+
+.cc.o:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ $<
+
+.cc.obj:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ `$(CYGPATH_W) '$<'`
+
+.cc.lo:
+@am__fastdepCXX_TRUE@  $(LTCXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LTCXXCOMPILE) -c -o $@ $<
+
+_audio_portaudio_la-audio_portaudio.lo: audio_portaudio.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_audio_portaudio_la_CXXFLAGS) $(CXXFLAGS) -MT _audio_portaudio_la-audio_portaudio.lo -MD -MP -MF $(DEPDIR)/_audio_portaudio_la-audio_portaudio.Tpo -c -o _audio_portaudio_la-audio_portaudio.lo `test -f 'audio_portaudio.cc' || echo '$(srcdir)/'`audio_portaudio.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_audio_portaudio_la-audio_portaudio.Tpo $(DEPDIR)/_audio_portaudio_la-audio_portaudio.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='audio_portaudio.cc' object='_audio_portaudio_la-audio_portaudio.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_audio_portaudio_la_CXXFLAGS) $(CXXFLAGS) -c -o _audio_portaudio_la-audio_portaudio.lo `test -f 'audio_portaudio.cc' || echo '$(srcdir)/'`audio_portaudio.cc
+
+_audio_portaudio_la-audio_portaudio_sink.lo: audio_portaudio_sink.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_audio_portaudio_la_CXXFLAGS) $(CXXFLAGS) -MT _audio_portaudio_la-audio_portaudio_sink.lo -MD -MP -MF $(DEPDIR)/_audio_portaudio_la-audio_portaudio_sink.Tpo -c -o _audio_portaudio_la-audio_portaudio_sink.lo `test -f 'audio_portaudio_sink.cc' || echo '$(srcdir)/'`audio_portaudio_sink.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_audio_portaudio_la-audio_portaudio_sink.Tpo $(DEPDIR)/_audio_portaudio_la-audio_portaudio_sink.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='audio_portaudio_sink.cc' object='_audio_portaudio_la-audio_portaudio_sink.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_audio_portaudio_la_CXXFLAGS) $(CXXFLAGS) -c -o _audio_portaudio_la-audio_portaudio_sink.lo `test -f 'audio_portaudio_sink.cc' || echo '$(srcdir)/'`audio_portaudio_sink.cc
+
+_audio_portaudio_la-audio_portaudio_source.lo: audio_portaudio_source.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_audio_portaudio_la_CXXFLAGS) $(CXXFLAGS) -MT _audio_portaudio_la-audio_portaudio_source.lo -MD -MP -MF $(DEPDIR)/_audio_portaudio_la-audio_portaudio_source.Tpo -c -o _audio_portaudio_la-audio_portaudio_source.lo `test -f 'audio_portaudio_source.cc' || echo '$(srcdir)/'`audio_portaudio_source.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_audio_portaudio_la-audio_portaudio_source.Tpo $(DEPDIR)/_audio_portaudio_la-audio_portaudio_source.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='audio_portaudio_source.cc' object='_audio_portaudio_la-audio_portaudio_source.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_audio_portaudio_la_CXXFLAGS) $(CXXFLAGS) -c -o _audio_portaudio_la-audio_portaudio_source.lo `test -f 'audio_portaudio_source.cc' || echo '$(srcdir)/'`audio_portaudio_source.cc
+
+_audio_portaudio_la-gri_portaudio.lo: gri_portaudio.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_audio_portaudio_la_CXXFLAGS) $(CXXFLAGS) -MT _audio_portaudio_la-gri_portaudio.lo -MD -MP -MF $(DEPDIR)/_audio_portaudio_la-gri_portaudio.Tpo -c -o _audio_portaudio_la-gri_portaudio.lo `test -f 'gri_portaudio.cc' || echo '$(srcdir)/'`gri_portaudio.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_audio_portaudio_la-gri_portaudio.Tpo $(DEPDIR)/_audio_portaudio_la-gri_portaudio.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='gri_portaudio.cc' object='_audio_portaudio_la-gri_portaudio.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_audio_portaudio_la_CXXFLAGS) $(CXXFLAGS) -c -o _audio_portaudio_la-gri_portaudio.lo `test -f 'gri_portaudio.cc' || echo '$(srcdir)/'`gri_portaudio.cc
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-audio_portaudio_pythonPYTHON: $(audio_portaudio_python_PYTHON)
+       @$(NORMAL_INSTALL)
+       test -z "$(audio_portaudio_pythondir)" || $(MKDIR_P) "$(DESTDIR)$(audio_portaudio_pythondir)"
+       @list='$(audio_portaudio_python_PYTHON)'; dlist=''; for p in $$list; do\
+         if test -f "$$p"; then b=; else b="$(srcdir)/"; fi; \
+         if test -f $$b$$p; then \
+           f=$(am__strip_dir) \
+           dlist="$$dlist $$f"; \
+           echo " $(audio_portaudio_pythonPYTHON_INSTALL) '$$b$$p' '$(DESTDIR)$(audio_portaudio_pythondir)/$$f'"; \
+           $(audio_portaudio_pythonPYTHON_INSTALL) "$$b$$p" "$(DESTDIR)$(audio_portaudio_pythondir)/$$f"; \
+         else :; fi; \
+       done; \
+       if test -n "$$dlist"; then \
+         if test -z "$(DESTDIR)"; then \
+           PYTHON=$(PYTHON) $(py_compile) --basedir "$(audio_portaudio_pythondir)" $$dlist; \
+         else \
+           PYTHON=$(PYTHON) $(py_compile) --destdir "$(DESTDIR)" --basedir "$(audio_portaudio_pythondir)" $$dlist; \
+         fi; \
+       else :; fi
+
+uninstall-audio_portaudio_pythonPYTHON:
+       @$(NORMAL_UNINSTALL)
+       @list='$(audio_portaudio_python_PYTHON)'; dlist=''; for p in $$list; do\
+         f=$(am__strip_dir) \
+         rm -f "$(DESTDIR)$(audio_portaudio_pythondir)/$$f"; \
+         rm -f "$(DESTDIR)$(audio_portaudio_pythondir)/$${f}c"; \
+         rm -f "$(DESTDIR)$(audio_portaudio_pythondir)/$${f}o"; \
+       done
+install-audio_portaudio_swigincludeHEADERS: $(audio_portaudio_swiginclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(audio_portaudio_swigincludedir)" || $(MKDIR_P) "$(DESTDIR)$(audio_portaudio_swigincludedir)"
+       @list='$(audio_portaudio_swiginclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(audio_portaudio_swigincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(audio_portaudio_swigincludedir)/$$f'"; \
+         $(audio_portaudio_swigincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(audio_portaudio_swigincludedir)/$$f"; \
+       done
+
+uninstall-audio_portaudio_swigincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(audio_portaudio_swiginclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(audio_portaudio_swigincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(audio_portaudio_swigincludedir)/$$f"; \
+       done
+install-grincludeHEADERS: $(grinclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(grincludedir)" || $(MKDIR_P) "$(DESTDIR)$(grincludedir)"
+       @list='$(grinclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(grincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(grincludedir)/$$f'"; \
+         $(grincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(grincludedir)/$$f"; \
+       done
+
+uninstall-grincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(grinclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(grincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(grincludedir)/$$f"; \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+check-TESTS: $(TESTS)
+       @failed=0; all=0; xfail=0; xpass=0; skip=0; \
+       srcdir=$(srcdir); export srcdir; \
+       list=' $(TESTS) '; \
+       if test -n "$$list"; then \
+         for tst in $$list; do \
+           if test -f ./$$tst; then dir=./; \
+           elif test -f $$tst; then dir=; \
+           else dir="$(srcdir)/"; fi; \
+           if $(TESTS_ENVIRONMENT) $${dir}$$tst; then \
+             all=`expr $$all + 1`; \
+             case " $(XFAIL_TESTS) " in \
+             *[\ \     ]$$tst[\ \      ]*) \
+               xpass=`expr $$xpass + 1`; \
+               failed=`expr $$failed + 1`; \
+               echo "XPASS: $$tst"; \
+             ;; \
+             *) \
+               echo "PASS: $$tst"; \
+             ;; \
+             esac; \
+           elif test $$? -ne 77; then \
+             all=`expr $$all + 1`; \
+             case " $(XFAIL_TESTS) " in \
+             *[\ \     ]$$tst[\ \      ]*) \
+               xfail=`expr $$xfail + 1`; \
+               echo "XFAIL: $$tst"; \
+             ;; \
+             *) \
+               failed=`expr $$failed + 1`; \
+               echo "FAIL: $$tst"; \
+             ;; \
+             esac; \
+           else \
+             skip=`expr $$skip + 1`; \
+             echo "SKIP: $$tst"; \
+           fi; \
+         done; \
+         if test "$$all" -eq 1; then \
+           tests="test"; \
+           All=""; \
+         else \
+           tests="tests"; \
+           All="All "; \
+         fi; \
+         if test "$$failed" -eq 0; then \
+           if test "$$xfail" -eq 0; then \
+             banner="$$All$$all $$tests passed"; \
+           else \
+             if test "$$xfail" -eq 1; then failures=failure; else failures=failures; fi; \
+             banner="$$All$$all $$tests behaved as expected ($$xfail expected $$failures)"; \
+           fi; \
+         else \
+           if test "$$xpass" -eq 0; then \
+             banner="$$failed of $$all $$tests failed"; \
+           else \
+             if test "$$xpass" -eq 1; then passes=pass; else passes=passes; fi; \
+             banner="$$failed of $$all $$tests did not behave as expected ($$xpass unexpected $$passes)"; \
+           fi; \
+         fi; \
+         dashes="$$banner"; \
+         skipped=""; \
+         if test "$$skip" -ne 0; then \
+           if test "$$skip" -eq 1; then \
+             skipped="($$skip test was not run)"; \
+           else \
+             skipped="($$skip tests were not run)"; \
+           fi; \
+           test `echo "$$skipped" | wc -c` -le `echo "$$banner" | wc -c` || \
+             dashes="$$skipped"; \
+         fi; \
+         report=""; \
+         if test "$$failed" -ne 0 && test -n "$(PACKAGE_BUGREPORT)"; then \
+           report="Please report to $(PACKAGE_BUGREPORT)"; \
+           test `echo "$$report" | wc -c` -le `echo "$$banner" | wc -c` || \
+             dashes="$$report"; \
+         fi; \
+         dashes=`echo "$$dashes" | sed s/./=/g`; \
+         echo "$$dashes"; \
+         echo "$$banner"; \
+         test -z "$$skipped" || echo "$$skipped"; \
+         test -z "$$report" || echo "$$report"; \
+         echo "$$dashes"; \
+         test "$$failed" -eq 0; \
+       else :; fi
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+       $(MAKE) $(AM_MAKEFLAGS) check-TESTS
+check: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) check-am
+all-am: Makefile $(LTLIBRARIES) $(HEADERS)
+installdirs:
+       for dir in "$(DESTDIR)$(audio_portaudio_pylibdir)" "$(DESTDIR)$(audio_portaudio_pythondir)" "$(DESTDIR)$(audio_portaudio_swigincludedir)" "$(DESTDIR)$(grincludedir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+       -test -z "$(DISTCLEANFILES)" || rm -f $(DISTCLEANFILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+       -test -z "$(BUILT_SOURCES)" || rm -f $(BUILT_SOURCES)
+clean: clean-am
+
+clean-am: clean-audio_portaudio_pylibLTLIBRARIES clean-generic \
+       clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+distclean-am: clean-am distclean-compile distclean-generic \
+       distclean-tags
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-audio_portaudio_pylibLTLIBRARIES \
+       install-audio_portaudio_pythonPYTHON \
+       install-audio_portaudio_swigincludeHEADERS \
+       install-grincludeHEADERS
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-compile mostlyclean-generic \
+       mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-audio_portaudio_pylibLTLIBRARIES \
+       uninstall-audio_portaudio_pythonPYTHON \
+       uninstall-audio_portaudio_swigincludeHEADERS \
+       uninstall-grincludeHEADERS
+
+.MAKE: install-am install-strip
+
+.PHONY: CTAGS GTAGS all all-am check check-TESTS check-am clean \
+       clean-audio_portaudio_pylibLTLIBRARIES clean-generic \
+       clean-libtool ctags dist-hook distclean distclean-compile \
+       distclean-generic distclean-libtool distclean-tags distdir dvi \
+       dvi-am html html-am info info-am install install-am \
+       install-audio_portaudio_pylibLTLIBRARIES \
+       install-audio_portaudio_pythonPYTHON \
+       install-audio_portaudio_swigincludeHEADERS install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-grincludeHEADERS install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-ps install-ps-am \
+       install-strip installcheck installcheck-am installdirs \
+       maintainer-clean maintainer-clean-generic mostlyclean \
+       mostlyclean-compile mostlyclean-generic mostlyclean-libtool \
+       pdf pdf-am ps ps-am tags uninstall uninstall-am \
+       uninstall-audio_portaudio_pylibLTLIBRARIES \
+       uninstall-audio_portaudio_pythonPYTHON \
+       uninstall-audio_portaudio_swigincludeHEADERS \
+       uninstall-grincludeHEADERS
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+
+generate-makefile-swig $(srcdir)/Makefile.swig.gen: $(top_srcdir)/Makefile.swig.gen.t
+       @do_recreate=0; \
+       if test -f $(srcdir)/Makefile.swig.gen; then \
+               if $(RM) $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                       if touch $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                               do_recreate=1; \
+                       fi; \
+               fi; \
+       else \
+               if touch $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                       do_recreate=1; \
+               fi; \
+       fi; \
+       if test "$$do_recreate" == "1"; then \
+               echo "Regenerating $(srcdir)/Makefile.swig.gen"; \
+               for TFILE in $(TOP_SWIG_IFILES); do \
+                       TNAME=`python -c "import os.path as op; (dN, fN) = op.split ('$$TFILE'); (fbN, fE) = op.splitext (fN); print fbN;"`; \
+                       $(SED) -e 's|@NAME@|'$$TNAME'|g;' < $(top_srcdir)/Makefile.swig.gen.t >> $(srcdir)/Makefile.swig.gen; \
+                       echo "" >> $(srcdir)/Makefile.swig.gen; \
+               done; \
+       else \
+               echo "Cannot recreate $(srcdir)/Makefile.swig.gen because the directory or file is write-protected."; \
+               exit -1; \
+       fi;
+
+audio_portaudio_pythondir_category ?= gnuradio/audio_portaudio
+audio_portaudio_pylibdir_category ?= $(audio_portaudio_pythondir_category)
+
+audio_portaudio.h audio_portaudio.py audio_portaudio.cc: audio_portaudio.i
+       trap 'rm -rf $(DEPDIR)/audio_portaudio-generate-*' 1 2 13 15; \
+       if mkdir $(DEPDIR)/audio_portaudio-generate-lock 2>/dev/null; then \
+               rm -f $(DEPDIR)/audio_portaudio-generate-stamp; \
+               $(MAKE) $(AM_MAKEFLAGS) $(DEPDIR)/audio_portaudio-generate-stamp WHAT=$<; \
+               rmdir $(DEPDIR)/audio_portaudio-generate-lock; \
+       else \
+               while test -d $(DEPDIR)/audio_portaudio-generate-lock; do \
+                       sleep 1; \
+               done; \
+               test -f $(DEPDIR)/audio_portaudio-generate-stamp; \
+               exit $$?; \
+       fi;
+
+$(DEPDIR)/audio_portaudio-generate-stamp:
+       if $(SWIG) $(STD_SWIG_PYTHON_ARGS) $(audio_portaudio_swig_args) \
+               -MD -MF $(DEPDIR)/audio_portaudio.Std \
+               -module audio_portaudio -o audio_portaudio.cc $(WHAT); then \
+           if test $(host_os) = mingw32; then \
+               $(RM) $(DEPDIR)/audio_portaudio.Sd; \
+               $(SED) 's,\\\\,/,g' < $(DEPDIR)/audio_portaudio.Std \
+                       > $(DEPDIR)/audio_portaudio.Sd; \
+               $(RM) $(DEPDIR)/audio_portaudio.Std; \
+               $(MV) $(DEPDIR)/audio_portaudio.Sd $(DEPDIR)/audio_portaudio.Std; \
+           fi; \
+       else \
+           $(RM) $(DEPDIR)/audio_portaudio.S*; exit 1; \
+       fi;
+       $(RM) $(DEPDIR)/audio_portaudio.d
+       cp $(DEPDIR)/audio_portaudio.Std $(DEPDIR)/audio_portaudio.d
+       echo "" >> $(DEPDIR)/audio_portaudio.d
+       $(SED) -e '1d;s, \\,,g;s, ,,g' < $(DEPDIR)/audio_portaudio.Std | \
+               awk '{ printf "%s:\n\n", $$0 }' >> $(DEPDIR)/audio_portaudio.d
+       $(RM) $(DEPDIR)/audio_portaudio.Std
+       touch $(DEPDIR)/audio_portaudio-generate-stamp
+
+# KLUDGE: Force runtime include of a SWIG dependency file.  This is
+# not guaranteed to be portable, but will probably work.  If it works,
+# we have accurate dependencies for our swig stuff, which is good.
+
+@am__include@ @am__quote@./$(DEPDIR)/audio_portaudio.d@am__quote@
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-audio-windows/Makefile.in b/gr-audio-windows/Makefile.in
new file mode 100644 (file)
index 0000000..fd28371
--- /dev/null
@@ -0,0 +1,1030 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = README $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gr-audio-windows
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+SUBDIRS = src
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-audio-windows/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-audio-windows/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-recursive
+all-am: Makefile
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive dist-hook distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-audio-windows/src/Makefile.in b/gr-audio-windows/src/Makefile.in
new file mode 100644 (file)
index 0000000..e988121
--- /dev/null
@@ -0,0 +1,1444 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004,2005,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# Makefile.swig.gen for audio_windows.i
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(audio_windows_python_PYTHON) \
+       $(audio_windows_swiginclude_HEADERS) $(grinclude_HEADERS) \
+       $(noinst_PYTHON) $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(srcdir)/Makefile.swig.gen $(srcdir)/run_tests.in \
+       $(top_srcdir)/Makefile.common $(top_srcdir)/Makefile.swig
+subdir = gr-audio-windows/src
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES = run_tests
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(audio_windows_pylibdir)" \
+       "$(DESTDIR)$(audio_windows_pythondir)" \
+       "$(DESTDIR)$(audio_windows_swigincludedir)" \
+       "$(DESTDIR)$(grincludedir)"
+audio_windows_pylibLTLIBRARIES_INSTALL = $(INSTALL)
+LTLIBRARIES = $(audio_windows_pylib_LTLIBRARIES)
+am__DEPENDENCIES_1 =
+am__DEPENDENCIES_2 = $(am__DEPENDENCIES_1) $(am__DEPENDENCIES_1)
+_audio_windows_la_DEPENDENCIES = $(am__DEPENDENCIES_1) \
+       $(am__DEPENDENCIES_2)
+am__objects_1 = _audio_windows_la-audio_windows_sink.lo \
+       _audio_windows_la-audio_windows_source.lo
+am__audio_windows_la_OBJECTS = _audio_windows_la-audio_windows.lo \
+       $(am__objects_1)
+_audio_windows_la_OBJECTS = $(am__audio_windows_la_OBJECTS)
+_audio_windows_la_LINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) \
+       $(LIBTOOLFLAGS) --mode=link $(CXXLD) \
+       $(_audio_windows_la_CXXFLAGS) $(CXXFLAGS) \
+       $(_audio_windows_la_LDFLAGS) $(LDFLAGS) -o $@
+DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
+depcomp = $(SHELL) $(top_srcdir)/depcomp
+am__depfiles_maybe = depfiles
+CXXCOMPILE = $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+LTCXXCOMPILE = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+CXXLD = $(CXX)
+CXXLINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CXXLD) $(AM_CXXFLAGS) $(CXXFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+SOURCES = $(_audio_windows_la_SOURCES)
+DIST_SOURCES = $(_audio_windows_la_SOURCES)
+audio_windows_pythonPYTHON_INSTALL = $(INSTALL_DATA)
+py_compile = $(top_srcdir)/py-compile
+audio_windows_swigincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+grincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+HEADERS = $(audio_windows_swiginclude_HEADERS) $(grinclude_HEADERS)
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = $(DEPDIR)/audio_windows-generate-*
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp \
+       *.loT $(DEPDIR)/*.S*
+EXTRA_DIST = run_tests.in
+TESTS = run_tests
+DISTCLEANFILES = run_tests
+noinst_PYTHON = qa_audio_windows.py
+AM_CPPFLAGS = $(STD_DEFINES_AND_INCLUDES) $(PYTHON_CPPFLAGS) $(WITH_INCLUDES)
+
+# C/C++ headers get installed in ${prefix}/include/gnuradio
+grinclude_HEADERS = \
+       audio_windows_sink.h            \
+       audio_windows_source.h
+
+
+###################################
+# SWIG Python interface and library
+TOP_SWIG_IFILES = \
+       audio_windows.i
+
+
+# Install so that they end up available as:
+#   import gnuradio.audio_windows
+# This ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+audio_windows_pythondir_category = \
+       gnuradio
+
+
+# additional sources for the SWIG-generated library
+audio_windows_la_swig_sources = \
+       audio_windows_sink.cc           \
+       audio_windows_source.cc         
+
+
+# additional libraries for linking with the SWIG-generated library
+audio_windows_la_swig_libadd = \
+       $(GNURADIO_CORE_LA)             \
+       $(WINAUDIO_LIBS)
+
+
+# additional LD flags for linking the SWIG-generated library
+audio_windows_la_swig_ldflags = \
+       $(LIBGNURADIO_CORE_EXTRA_LDFLAGS)
+
+SWIG_PYTHON_FLAGS = \
+       -fvirtual       \
+       -python         \
+       -modern         \
+       -keyword        \
+       -w511           \
+       -outdir .
+
+STD_SWIG_PYTHON_ARGS = \
+       $(SWIG_PYTHON_FLAGS)                    \
+       $(STD_DEFINES_AND_INCLUDES)             \
+       $(WITH_SWIG_INCLUDES)                   \
+       $(WITH_INCLUDES)
+
+STD_SWIG_LA_LD_FLAGS = \
+       $(PYTHON_LDFLAGS)       \
+       -module                 \
+       -avoid-version          \
+       $(NO_UNDEFINED)
+
+STD_SWIG_LA_LIB_ADD = \
+       -lstdc++
+
+STD_SWIG_CXX_FLAGS = @swig_CXXFLAGS@
+SUFFIXES = .i
+swig_built_sources = audio_windows.py audio_windows.cc
+audio_windows_pythondir = $(pythondir)/$(audio_windows_pythondir_category)
+audio_windows_pylibdir = $(pyexecdir)/$(audio_windows_pylibdir_category)
+audio_windows_swigincludedir = $(swigincludedir)
+audio_windows_swiginclude_HEADERS = \
+       audio_windows.i                 \
+       $(audio_windows_swiginclude_headers)
+
+audio_windows_pylib_LTLIBRARIES = \
+       _audio_windows.la
+
+_audio_windows_la_SOURCES = \
+       audio_windows.cc                        \
+       $(audio_windows_la_swig_sources)
+
+_audio_windows_la_LIBADD = \
+       $(STD_SWIG_LA_LIB_ADD)          \
+       $(audio_windows_la_swig_libadd)
+
+_audio_windows_la_LDFLAGS = \
+       $(STD_SWIG_LA_LD_FLAGS)         \
+       $(audio_windows_la_swig_ldflags)
+
+_audio_windows_la_CXXFLAGS = \
+       $(STD_SWIG_CXX_FLAGS)           \
+       $(audio_windows_la_swig_cxxflags)
+
+audio_windows_python_PYTHON = \
+       audio_windows.py                        \
+       $(audio_windows_python)
+
+
+# add some of the variables generated inside the Makefile.swig.gen
+BUILT_SOURCES = $(swig_built_sources)
+
+# Do not distribute the output of SWIG
+no_dist_files = $(swig_built_sources)
+all: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) all-am
+
+.SUFFIXES:
+.SUFFIXES: .i .cc .lo .o .obj
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(top_srcdir)/Makefile.swig $(srcdir)/Makefile.swig.gen $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-audio-windows/src/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-audio-windows/src/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+run_tests: $(top_builddir)/config.status $(srcdir)/run_tests.in
+       cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@
+install-audio_windows_pylibLTLIBRARIES: $(audio_windows_pylib_LTLIBRARIES)
+       @$(NORMAL_INSTALL)
+       test -z "$(audio_windows_pylibdir)" || $(MKDIR_P) "$(DESTDIR)$(audio_windows_pylibdir)"
+       @list='$(audio_windows_pylib_LTLIBRARIES)'; for p in $$list; do \
+         if test -f $$p; then \
+           f=$(am__strip_dir) \
+           echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(audio_windows_pylibLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) '$$p' '$(DESTDIR)$(audio_windows_pylibdir)/$$f'"; \
+           $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(audio_windows_pylibLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) "$$p" "$(DESTDIR)$(audio_windows_pylibdir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-audio_windows_pylibLTLIBRARIES:
+       @$(NORMAL_UNINSTALL)
+       @list='$(audio_windows_pylib_LTLIBRARIES)'; for p in $$list; do \
+         p=$(am__strip_dir) \
+         echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f '$(DESTDIR)$(audio_windows_pylibdir)/$$p'"; \
+         $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f "$(DESTDIR)$(audio_windows_pylibdir)/$$p"; \
+       done
+
+clean-audio_windows_pylibLTLIBRARIES:
+       -test -z "$(audio_windows_pylib_LTLIBRARIES)" || rm -f $(audio_windows_pylib_LTLIBRARIES)
+       @list='$(audio_windows_pylib_LTLIBRARIES)'; for p in $$list; do \
+         dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
+         test "$$dir" != "$$p" || dir=.; \
+         echo "rm -f \"$${dir}/so_locations\""; \
+         rm -f "$${dir}/so_locations"; \
+       done
+_audio_windows.la: $(_audio_windows_la_OBJECTS) $(_audio_windows_la_DEPENDENCIES) 
+       $(_audio_windows_la_LINK) -rpath $(audio_windows_pylibdir) $(_audio_windows_la_OBJECTS) $(_audio_windows_la_LIBADD) $(LIBS)
+
+mostlyclean-compile:
+       -rm -f *.$(OBJEXT)
+
+distclean-compile:
+       -rm -f *.tab.c
+
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_audio_windows_la-audio_windows.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_audio_windows_la-audio_windows_sink.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_audio_windows_la-audio_windows_source.Plo@am__quote@
+
+.cc.o:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ $<
+
+.cc.obj:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ `$(CYGPATH_W) '$<'`
+
+.cc.lo:
+@am__fastdepCXX_TRUE@  $(LTCXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LTCXXCOMPILE) -c -o $@ $<
+
+_audio_windows_la-audio_windows.lo: audio_windows.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_audio_windows_la_CXXFLAGS) $(CXXFLAGS) -MT _audio_windows_la-audio_windows.lo -MD -MP -MF $(DEPDIR)/_audio_windows_la-audio_windows.Tpo -c -o _audio_windows_la-audio_windows.lo `test -f 'audio_windows.cc' || echo '$(srcdir)/'`audio_windows.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_audio_windows_la-audio_windows.Tpo $(DEPDIR)/_audio_windows_la-audio_windows.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='audio_windows.cc' object='_audio_windows_la-audio_windows.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_audio_windows_la_CXXFLAGS) $(CXXFLAGS) -c -o _audio_windows_la-audio_windows.lo `test -f 'audio_windows.cc' || echo '$(srcdir)/'`audio_windows.cc
+
+_audio_windows_la-audio_windows_sink.lo: audio_windows_sink.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_audio_windows_la_CXXFLAGS) $(CXXFLAGS) -MT _audio_windows_la-audio_windows_sink.lo -MD -MP -MF $(DEPDIR)/_audio_windows_la-audio_windows_sink.Tpo -c -o _audio_windows_la-audio_windows_sink.lo `test -f 'audio_windows_sink.cc' || echo '$(srcdir)/'`audio_windows_sink.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_audio_windows_la-audio_windows_sink.Tpo $(DEPDIR)/_audio_windows_la-audio_windows_sink.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='audio_windows_sink.cc' object='_audio_windows_la-audio_windows_sink.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_audio_windows_la_CXXFLAGS) $(CXXFLAGS) -c -o _audio_windows_la-audio_windows_sink.lo `test -f 'audio_windows_sink.cc' || echo '$(srcdir)/'`audio_windows_sink.cc
+
+_audio_windows_la-audio_windows_source.lo: audio_windows_source.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_audio_windows_la_CXXFLAGS) $(CXXFLAGS) -MT _audio_windows_la-audio_windows_source.lo -MD -MP -MF $(DEPDIR)/_audio_windows_la-audio_windows_source.Tpo -c -o _audio_windows_la-audio_windows_source.lo `test -f 'audio_windows_source.cc' || echo '$(srcdir)/'`audio_windows_source.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_audio_windows_la-audio_windows_source.Tpo $(DEPDIR)/_audio_windows_la-audio_windows_source.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='audio_windows_source.cc' object='_audio_windows_la-audio_windows_source.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_audio_windows_la_CXXFLAGS) $(CXXFLAGS) -c -o _audio_windows_la-audio_windows_source.lo `test -f 'audio_windows_source.cc' || echo '$(srcdir)/'`audio_windows_source.cc
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-audio_windows_pythonPYTHON: $(audio_windows_python_PYTHON)
+       @$(NORMAL_INSTALL)
+       test -z "$(audio_windows_pythondir)" || $(MKDIR_P) "$(DESTDIR)$(audio_windows_pythondir)"
+       @list='$(audio_windows_python_PYTHON)'; dlist=''; for p in $$list; do\
+         if test -f "$$p"; then b=; else b="$(srcdir)/"; fi; \
+         if test -f $$b$$p; then \
+           f=$(am__strip_dir) \
+           dlist="$$dlist $$f"; \
+           echo " $(audio_windows_pythonPYTHON_INSTALL) '$$b$$p' '$(DESTDIR)$(audio_windows_pythondir)/$$f'"; \
+           $(audio_windows_pythonPYTHON_INSTALL) "$$b$$p" "$(DESTDIR)$(audio_windows_pythondir)/$$f"; \
+         else :; fi; \
+       done; \
+       if test -n "$$dlist"; then \
+         if test -z "$(DESTDIR)"; then \
+           PYTHON=$(PYTHON) $(py_compile) --basedir "$(audio_windows_pythondir)" $$dlist; \
+         else \
+           PYTHON=$(PYTHON) $(py_compile) --destdir "$(DESTDIR)" --basedir "$(audio_windows_pythondir)" $$dlist; \
+         fi; \
+       else :; fi
+
+uninstall-audio_windows_pythonPYTHON:
+       @$(NORMAL_UNINSTALL)
+       @list='$(audio_windows_python_PYTHON)'; dlist=''; for p in $$list; do\
+         f=$(am__strip_dir) \
+         rm -f "$(DESTDIR)$(audio_windows_pythondir)/$$f"; \
+         rm -f "$(DESTDIR)$(audio_windows_pythondir)/$${f}c"; \
+         rm -f "$(DESTDIR)$(audio_windows_pythondir)/$${f}o"; \
+       done
+install-audio_windows_swigincludeHEADERS: $(audio_windows_swiginclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(audio_windows_swigincludedir)" || $(MKDIR_P) "$(DESTDIR)$(audio_windows_swigincludedir)"
+       @list='$(audio_windows_swiginclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(audio_windows_swigincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(audio_windows_swigincludedir)/$$f'"; \
+         $(audio_windows_swigincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(audio_windows_swigincludedir)/$$f"; \
+       done
+
+uninstall-audio_windows_swigincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(audio_windows_swiginclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(audio_windows_swigincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(audio_windows_swigincludedir)/$$f"; \
+       done
+install-grincludeHEADERS: $(grinclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(grincludedir)" || $(MKDIR_P) "$(DESTDIR)$(grincludedir)"
+       @list='$(grinclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(grincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(grincludedir)/$$f'"; \
+         $(grincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(grincludedir)/$$f"; \
+       done
+
+uninstall-grincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(grinclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(grincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(grincludedir)/$$f"; \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+check-TESTS: $(TESTS)
+       @failed=0; all=0; xfail=0; xpass=0; skip=0; \
+       srcdir=$(srcdir); export srcdir; \
+       list=' $(TESTS) '; \
+       if test -n "$$list"; then \
+         for tst in $$list; do \
+           if test -f ./$$tst; then dir=./; \
+           elif test -f $$tst; then dir=; \
+           else dir="$(srcdir)/"; fi; \
+           if $(TESTS_ENVIRONMENT) $${dir}$$tst; then \
+             all=`expr $$all + 1`; \
+             case " $(XFAIL_TESTS) " in \
+             *[\ \     ]$$tst[\ \      ]*) \
+               xpass=`expr $$xpass + 1`; \
+               failed=`expr $$failed + 1`; \
+               echo "XPASS: $$tst"; \
+             ;; \
+             *) \
+               echo "PASS: $$tst"; \
+             ;; \
+             esac; \
+           elif test $$? -ne 77; then \
+             all=`expr $$all + 1`; \
+             case " $(XFAIL_TESTS) " in \
+             *[\ \     ]$$tst[\ \      ]*) \
+               xfail=`expr $$xfail + 1`; \
+               echo "XFAIL: $$tst"; \
+             ;; \
+             *) \
+               failed=`expr $$failed + 1`; \
+               echo "FAIL: $$tst"; \
+             ;; \
+             esac; \
+           else \
+             skip=`expr $$skip + 1`; \
+             echo "SKIP: $$tst"; \
+           fi; \
+         done; \
+         if test "$$all" -eq 1; then \
+           tests="test"; \
+           All=""; \
+         else \
+           tests="tests"; \
+           All="All "; \
+         fi; \
+         if test "$$failed" -eq 0; then \
+           if test "$$xfail" -eq 0; then \
+             banner="$$All$$all $$tests passed"; \
+           else \
+             if test "$$xfail" -eq 1; then failures=failure; else failures=failures; fi; \
+             banner="$$All$$all $$tests behaved as expected ($$xfail expected $$failures)"; \
+           fi; \
+         else \
+           if test "$$xpass" -eq 0; then \
+             banner="$$failed of $$all $$tests failed"; \
+           else \
+             if test "$$xpass" -eq 1; then passes=pass; else passes=passes; fi; \
+             banner="$$failed of $$all $$tests did not behave as expected ($$xpass unexpected $$passes)"; \
+           fi; \
+         fi; \
+         dashes="$$banner"; \
+         skipped=""; \
+         if test "$$skip" -ne 0; then \
+           if test "$$skip" -eq 1; then \
+             skipped="($$skip test was not run)"; \
+           else \
+             skipped="($$skip tests were not run)"; \
+           fi; \
+           test `echo "$$skipped" | wc -c` -le `echo "$$banner" | wc -c` || \
+             dashes="$$skipped"; \
+         fi; \
+         report=""; \
+         if test "$$failed" -ne 0 && test -n "$(PACKAGE_BUGREPORT)"; then \
+           report="Please report to $(PACKAGE_BUGREPORT)"; \
+           test `echo "$$report" | wc -c` -le `echo "$$banner" | wc -c` || \
+             dashes="$$report"; \
+         fi; \
+         dashes=`echo "$$dashes" | sed s/./=/g`; \
+         echo "$$dashes"; \
+         echo "$$banner"; \
+         test -z "$$skipped" || echo "$$skipped"; \
+         test -z "$$report" || echo "$$report"; \
+         echo "$$dashes"; \
+         test "$$failed" -eq 0; \
+       else :; fi
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+       $(MAKE) $(AM_MAKEFLAGS) check-TESTS
+check: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) check-am
+all-am: Makefile $(LTLIBRARIES) $(HEADERS)
+installdirs:
+       for dir in "$(DESTDIR)$(audio_windows_pylibdir)" "$(DESTDIR)$(audio_windows_pythondir)" "$(DESTDIR)$(audio_windows_swigincludedir)" "$(DESTDIR)$(grincludedir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+       -test -z "$(DISTCLEANFILES)" || rm -f $(DISTCLEANFILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+       -test -z "$(BUILT_SOURCES)" || rm -f $(BUILT_SOURCES)
+clean: clean-am
+
+clean-am: clean-audio_windows_pylibLTLIBRARIES clean-generic \
+       clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+distclean-am: clean-am distclean-compile distclean-generic \
+       distclean-tags
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-audio_windows_pylibLTLIBRARIES \
+       install-audio_windows_pythonPYTHON \
+       install-audio_windows_swigincludeHEADERS \
+       install-grincludeHEADERS
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-compile mostlyclean-generic \
+       mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-audio_windows_pylibLTLIBRARIES \
+       uninstall-audio_windows_pythonPYTHON \
+       uninstall-audio_windows_swigincludeHEADERS \
+       uninstall-grincludeHEADERS
+
+.MAKE: install-am install-strip
+
+.PHONY: CTAGS GTAGS all all-am check check-TESTS check-am clean \
+       clean-audio_windows_pylibLTLIBRARIES clean-generic \
+       clean-libtool ctags dist-hook distclean distclean-compile \
+       distclean-generic distclean-libtool distclean-tags distdir dvi \
+       dvi-am html html-am info info-am install install-am \
+       install-audio_windows_pylibLTLIBRARIES \
+       install-audio_windows_pythonPYTHON \
+       install-audio_windows_swigincludeHEADERS install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-grincludeHEADERS install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-ps install-ps-am \
+       install-strip installcheck installcheck-am installdirs \
+       maintainer-clean maintainer-clean-generic mostlyclean \
+       mostlyclean-compile mostlyclean-generic mostlyclean-libtool \
+       pdf pdf-am ps ps-am tags uninstall uninstall-am \
+       uninstall-audio_windows_pylibLTLIBRARIES \
+       uninstall-audio_windows_pythonPYTHON \
+       uninstall-audio_windows_swigincludeHEADERS \
+       uninstall-grincludeHEADERS
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+
+generate-makefile-swig $(srcdir)/Makefile.swig.gen: $(top_srcdir)/Makefile.swig.gen.t
+       @do_recreate=0; \
+       if test -f $(srcdir)/Makefile.swig.gen; then \
+               if $(RM) $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                       if touch $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                               do_recreate=1; \
+                       fi; \
+               fi; \
+       else \
+               if touch $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                       do_recreate=1; \
+               fi; \
+       fi; \
+       if test "$$do_recreate" == "1"; then \
+               echo "Regenerating $(srcdir)/Makefile.swig.gen"; \
+               for TFILE in $(TOP_SWIG_IFILES); do \
+                       TNAME=`python -c "import os.path as op; (dN, fN) = op.split ('$$TFILE'); (fbN, fE) = op.splitext (fN); print fbN;"`; \
+                       $(SED) -e 's|@NAME@|'$$TNAME'|g;' < $(top_srcdir)/Makefile.swig.gen.t >> $(srcdir)/Makefile.swig.gen; \
+                       echo "" >> $(srcdir)/Makefile.swig.gen; \
+               done; \
+       else \
+               echo "Cannot recreate $(srcdir)/Makefile.swig.gen because the directory or file is write-protected."; \
+               exit -1; \
+       fi;
+
+audio_windows_pythondir_category ?= gnuradio/audio_windows
+audio_windows_pylibdir_category ?= $(audio_windows_pythondir_category)
+
+audio_windows.h audio_windows.py audio_windows.cc: audio_windows.i
+       trap 'rm -rf $(DEPDIR)/audio_windows-generate-*' 1 2 13 15; \
+       if mkdir $(DEPDIR)/audio_windows-generate-lock 2>/dev/null; then \
+               rm -f $(DEPDIR)/audio_windows-generate-stamp; \
+               $(MAKE) $(AM_MAKEFLAGS) $(DEPDIR)/audio_windows-generate-stamp WHAT=$<; \
+               rmdir $(DEPDIR)/audio_windows-generate-lock; \
+       else \
+               while test -d $(DEPDIR)/audio_windows-generate-lock; do \
+                       sleep 1; \
+               done; \
+               test -f $(DEPDIR)/audio_windows-generate-stamp; \
+               exit $$?; \
+       fi;
+
+$(DEPDIR)/audio_windows-generate-stamp:
+       if $(SWIG) $(STD_SWIG_PYTHON_ARGS) $(audio_windows_swig_args) \
+               -MD -MF $(DEPDIR)/audio_windows.Std \
+               -module audio_windows -o audio_windows.cc $(WHAT); then \
+           if test $(host_os) = mingw32; then \
+               $(RM) $(DEPDIR)/audio_windows.Sd; \
+               $(SED) 's,\\\\,/,g' < $(DEPDIR)/audio_windows.Std \
+                       > $(DEPDIR)/audio_windows.Sd; \
+               $(RM) $(DEPDIR)/audio_windows.Std; \
+               $(MV) $(DEPDIR)/audio_windows.Sd $(DEPDIR)/audio_windows.Std; \
+           fi; \
+       else \
+           $(RM) $(DEPDIR)/audio_windows.S*; exit 1; \
+       fi;
+       $(RM) $(DEPDIR)/audio_windows.d
+       cp $(DEPDIR)/audio_windows.Std $(DEPDIR)/audio_windows.d
+       echo "" >> $(DEPDIR)/audio_windows.d
+       $(SED) -e '1d;s, \\,,g;s, ,,g' < $(DEPDIR)/audio_windows.Std | \
+               awk '{ printf "%s:\n\n", $$0 }' >> $(DEPDIR)/audio_windows.d
+       $(RM) $(DEPDIR)/audio_windows.Std
+       touch $(DEPDIR)/audio_windows-generate-stamp
+
+# KLUDGE: Force runtime include of a SWIG dependency file.  This is
+# not guaranteed to be portable, but will probably work.  If it works,
+# we have accurate dependencies for our swig stuff, which is good.
+
+@am__include@ @am__quote@./$(DEPDIR)/audio_windows.d@am__quote@
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-cvsd-vocoder/Makefile.in b/gr-cvsd-vocoder/Makefile.in
new file mode 100644 (file)
index 0000000..92bdb7e
--- /dev/null
@@ -0,0 +1,1030 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gr-cvsd-vocoder
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+SUBDIRS = src
+DIST_SUBDIRS = src
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-cvsd-vocoder/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-cvsd-vocoder/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-recursive
+all-am: Makefile
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive dist-hook distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-cvsd-vocoder/src/Makefile.in b/gr-cvsd-vocoder/src/Makefile.in
new file mode 100644 (file)
index 0000000..92c4e63
--- /dev/null
@@ -0,0 +1,896 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+subdir = gr-cvsd-vocoder/src
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+SUBDIRS = lib python
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am  $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-cvsd-vocoder/src/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-cvsd-vocoder/src/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+check-am: all-am
+check: check-recursive
+all-am: Makefile
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am
+
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-cvsd-vocoder/src/lib/Makefile.in b/gr-cvsd-vocoder/src/lib/Makefile.in
new file mode 100644 (file)
index 0000000..c648b4a
--- /dev/null
@@ -0,0 +1,1344 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004,2005,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# Makefile.swig.gen for cvsd_vocoder.i
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(cvsd_vocoder_python_PYTHON) \
+       $(cvsd_vocoder_swiginclude_HEADERS) $(grinclude_HEADERS) \
+       $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(srcdir)/Makefile.swig.gen $(top_srcdir)/Makefile.common \
+       $(top_srcdir)/Makefile.swig
+subdir = gr-cvsd-vocoder/src/lib
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(cvsd_vocoder_pylibdir)" \
+       "$(DESTDIR)$(cvsd_vocoder_pythondir)" \
+       "$(DESTDIR)$(cvsd_vocoder_swigincludedir)" \
+       "$(DESTDIR)$(grincludedir)"
+cvsd_vocoder_pylibLTLIBRARIES_INSTALL = $(INSTALL)
+LTLIBRARIES = $(cvsd_vocoder_pylib_LTLIBRARIES)
+am__DEPENDENCIES_1 =
+am__DEPENDENCIES_2 = $(am__DEPENDENCIES_1)
+_cvsd_vocoder_la_DEPENDENCIES = $(am__DEPENDENCIES_1) \
+       $(am__DEPENDENCIES_2)
+am__objects_1 = _cvsd_vocoder_la-cvsd_decode_bs.lo \
+       _cvsd_vocoder_la-cvsd_encode_sb.lo
+am__cvsd_vocoder_la_OBJECTS = _cvsd_vocoder_la-cvsd_vocoder.lo \
+       $(am__objects_1)
+_cvsd_vocoder_la_OBJECTS = $(am__cvsd_vocoder_la_OBJECTS)
+_cvsd_vocoder_la_LINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) \
+       $(LIBTOOLFLAGS) --mode=link $(CXXLD) \
+       $(_cvsd_vocoder_la_CXXFLAGS) $(CXXFLAGS) \
+       $(_cvsd_vocoder_la_LDFLAGS) $(LDFLAGS) -o $@
+DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
+depcomp = $(SHELL) $(top_srcdir)/depcomp
+am__depfiles_maybe = depfiles
+CXXCOMPILE = $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+LTCXXCOMPILE = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+CXXLD = $(CXX)
+CXXLINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CXXLD) $(AM_CXXFLAGS) $(CXXFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+SOURCES = $(_cvsd_vocoder_la_SOURCES)
+DIST_SOURCES = $(_cvsd_vocoder_la_SOURCES)
+cvsd_vocoder_pythonPYTHON_INSTALL = $(INSTALL_DATA)
+py_compile = $(top_srcdir)/py-compile
+cvsd_vocoder_swigincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+grincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+HEADERS = $(cvsd_vocoder_swiginclude_HEADERS) $(grinclude_HEADERS)
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = $(DEPDIR)/cvsd_vocoder-generate-*
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp \
+       *.loT $(DEPDIR)/*.S*
+AM_CPPFLAGS = $(STD_DEFINES_AND_INCLUDES) $(PYTHON_CPPFLAGS) $(WITH_INCLUDES)
+
+# These headers get installed in ${prefix}/include/gnuradio
+grinclude_HEADERS = \
+       cvsd_decode_bs.h                \
+       cvsd_encode_sb.h
+
+
+###################################
+# SWIG Python interface and library
+TOP_SWIG_IFILES = \
+       cvsd_vocoder.i
+
+
+# Install so that they end up available as:
+#   import gnuradio.vocoder.cvsd_vocoder
+# This ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio/vocoder
+cvsd_vocoder_pythondir_category = \
+       gnuradio/vocoder
+
+
+# additional sources for the SWIG-generated library
+cvsd_vocoder_la_swig_sources = \
+       cvsd_decode_bs.cc               \
+       cvsd_encode_sb.cc
+
+
+# additional libraries for linking with the SWIG-generated library
+cvsd_vocoder_la_swig_libadd = \
+       $(GNURADIO_CORE_LA)
+
+SWIG_PYTHON_FLAGS = \
+       -fvirtual       \
+       -python         \
+       -modern         \
+       -keyword        \
+       -w511           \
+       -outdir .
+
+STD_SWIG_PYTHON_ARGS = \
+       $(SWIG_PYTHON_FLAGS)                    \
+       $(STD_DEFINES_AND_INCLUDES)             \
+       $(WITH_SWIG_INCLUDES)                   \
+       $(WITH_INCLUDES)
+
+STD_SWIG_LA_LD_FLAGS = \
+       $(PYTHON_LDFLAGS)       \
+       -module                 \
+       -avoid-version          \
+       $(NO_UNDEFINED)
+
+STD_SWIG_LA_LIB_ADD = \
+       -lstdc++
+
+STD_SWIG_CXX_FLAGS = @swig_CXXFLAGS@
+SUFFIXES = .i
+swig_built_sources = cvsd_vocoder.py cvsd_vocoder.cc
+cvsd_vocoder_pythondir = $(pythondir)/$(cvsd_vocoder_pythondir_category)
+cvsd_vocoder_pylibdir = $(pyexecdir)/$(cvsd_vocoder_pylibdir_category)
+cvsd_vocoder_swigincludedir = $(swigincludedir)
+cvsd_vocoder_swiginclude_HEADERS = \
+       cvsd_vocoder.i                  \
+       $(cvsd_vocoder_swiginclude_headers)
+
+cvsd_vocoder_pylib_LTLIBRARIES = \
+       _cvsd_vocoder.la
+
+_cvsd_vocoder_la_SOURCES = \
+       cvsd_vocoder.cc                 \
+       $(cvsd_vocoder_la_swig_sources)
+
+_cvsd_vocoder_la_LIBADD = \
+       $(STD_SWIG_LA_LIB_ADD)          \
+       $(cvsd_vocoder_la_swig_libadd)
+
+_cvsd_vocoder_la_LDFLAGS = \
+       $(STD_SWIG_LA_LD_FLAGS)         \
+       $(cvsd_vocoder_la_swig_ldflags)
+
+_cvsd_vocoder_la_CXXFLAGS = \
+       $(STD_SWIG_CXX_FLAGS)           \
+       $(cvsd_vocoder_la_swig_cxxflags)
+
+cvsd_vocoder_python_PYTHON = \
+       cvsd_vocoder.py                 \
+       $(cvsd_vocoder_python)
+
+
+# add some of the variables generated inside the Makefile.swig.gen
+BUILT_SOURCES = $(swig_built_sources)
+
+# Do not distribute the output of SWIG
+no_dist_files = $(swig_built_sources)
+all: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) all-am
+
+.SUFFIXES:
+.SUFFIXES: .i .cc .lo .o .obj
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(top_srcdir)/Makefile.swig $(srcdir)/Makefile.swig.gen $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-cvsd-vocoder/src/lib/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-cvsd-vocoder/src/lib/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+install-cvsd_vocoder_pylibLTLIBRARIES: $(cvsd_vocoder_pylib_LTLIBRARIES)
+       @$(NORMAL_INSTALL)
+       test -z "$(cvsd_vocoder_pylibdir)" || $(MKDIR_P) "$(DESTDIR)$(cvsd_vocoder_pylibdir)"
+       @list='$(cvsd_vocoder_pylib_LTLIBRARIES)'; for p in $$list; do \
+         if test -f $$p; then \
+           f=$(am__strip_dir) \
+           echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(cvsd_vocoder_pylibLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) '$$p' '$(DESTDIR)$(cvsd_vocoder_pylibdir)/$$f'"; \
+           $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(cvsd_vocoder_pylibLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) "$$p" "$(DESTDIR)$(cvsd_vocoder_pylibdir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-cvsd_vocoder_pylibLTLIBRARIES:
+       @$(NORMAL_UNINSTALL)
+       @list='$(cvsd_vocoder_pylib_LTLIBRARIES)'; for p in $$list; do \
+         p=$(am__strip_dir) \
+         echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f '$(DESTDIR)$(cvsd_vocoder_pylibdir)/$$p'"; \
+         $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f "$(DESTDIR)$(cvsd_vocoder_pylibdir)/$$p"; \
+       done
+
+clean-cvsd_vocoder_pylibLTLIBRARIES:
+       -test -z "$(cvsd_vocoder_pylib_LTLIBRARIES)" || rm -f $(cvsd_vocoder_pylib_LTLIBRARIES)
+       @list='$(cvsd_vocoder_pylib_LTLIBRARIES)'; for p in $$list; do \
+         dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
+         test "$$dir" != "$$p" || dir=.; \
+         echo "rm -f \"$${dir}/so_locations\""; \
+         rm -f "$${dir}/so_locations"; \
+       done
+_cvsd_vocoder.la: $(_cvsd_vocoder_la_OBJECTS) $(_cvsd_vocoder_la_DEPENDENCIES) 
+       $(_cvsd_vocoder_la_LINK) -rpath $(cvsd_vocoder_pylibdir) $(_cvsd_vocoder_la_OBJECTS) $(_cvsd_vocoder_la_LIBADD) $(LIBS)
+
+mostlyclean-compile:
+       -rm -f *.$(OBJEXT)
+
+distclean-compile:
+       -rm -f *.tab.c
+
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_cvsd_vocoder_la-cvsd_decode_bs.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_cvsd_vocoder_la-cvsd_encode_sb.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_cvsd_vocoder_la-cvsd_vocoder.Plo@am__quote@
+
+.cc.o:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ $<
+
+.cc.obj:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ `$(CYGPATH_W) '$<'`
+
+.cc.lo:
+@am__fastdepCXX_TRUE@  $(LTCXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LTCXXCOMPILE) -c -o $@ $<
+
+_cvsd_vocoder_la-cvsd_vocoder.lo: cvsd_vocoder.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_cvsd_vocoder_la_CXXFLAGS) $(CXXFLAGS) -MT _cvsd_vocoder_la-cvsd_vocoder.lo -MD -MP -MF $(DEPDIR)/_cvsd_vocoder_la-cvsd_vocoder.Tpo -c -o _cvsd_vocoder_la-cvsd_vocoder.lo `test -f 'cvsd_vocoder.cc' || echo '$(srcdir)/'`cvsd_vocoder.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_cvsd_vocoder_la-cvsd_vocoder.Tpo $(DEPDIR)/_cvsd_vocoder_la-cvsd_vocoder.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='cvsd_vocoder.cc' object='_cvsd_vocoder_la-cvsd_vocoder.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_cvsd_vocoder_la_CXXFLAGS) $(CXXFLAGS) -c -o _cvsd_vocoder_la-cvsd_vocoder.lo `test -f 'cvsd_vocoder.cc' || echo '$(srcdir)/'`cvsd_vocoder.cc
+
+_cvsd_vocoder_la-cvsd_decode_bs.lo: cvsd_decode_bs.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_cvsd_vocoder_la_CXXFLAGS) $(CXXFLAGS) -MT _cvsd_vocoder_la-cvsd_decode_bs.lo -MD -MP -MF $(DEPDIR)/_cvsd_vocoder_la-cvsd_decode_bs.Tpo -c -o _cvsd_vocoder_la-cvsd_decode_bs.lo `test -f 'cvsd_decode_bs.cc' || echo '$(srcdir)/'`cvsd_decode_bs.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_cvsd_vocoder_la-cvsd_decode_bs.Tpo $(DEPDIR)/_cvsd_vocoder_la-cvsd_decode_bs.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='cvsd_decode_bs.cc' object='_cvsd_vocoder_la-cvsd_decode_bs.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_cvsd_vocoder_la_CXXFLAGS) $(CXXFLAGS) -c -o _cvsd_vocoder_la-cvsd_decode_bs.lo `test -f 'cvsd_decode_bs.cc' || echo '$(srcdir)/'`cvsd_decode_bs.cc
+
+_cvsd_vocoder_la-cvsd_encode_sb.lo: cvsd_encode_sb.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_cvsd_vocoder_la_CXXFLAGS) $(CXXFLAGS) -MT _cvsd_vocoder_la-cvsd_encode_sb.lo -MD -MP -MF $(DEPDIR)/_cvsd_vocoder_la-cvsd_encode_sb.Tpo -c -o _cvsd_vocoder_la-cvsd_encode_sb.lo `test -f 'cvsd_encode_sb.cc' || echo '$(srcdir)/'`cvsd_encode_sb.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_cvsd_vocoder_la-cvsd_encode_sb.Tpo $(DEPDIR)/_cvsd_vocoder_la-cvsd_encode_sb.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='cvsd_encode_sb.cc' object='_cvsd_vocoder_la-cvsd_encode_sb.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_cvsd_vocoder_la_CXXFLAGS) $(CXXFLAGS) -c -o _cvsd_vocoder_la-cvsd_encode_sb.lo `test -f 'cvsd_encode_sb.cc' || echo '$(srcdir)/'`cvsd_encode_sb.cc
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-cvsd_vocoder_pythonPYTHON: $(cvsd_vocoder_python_PYTHON)
+       @$(NORMAL_INSTALL)
+       test -z "$(cvsd_vocoder_pythondir)" || $(MKDIR_P) "$(DESTDIR)$(cvsd_vocoder_pythondir)"
+       @list='$(cvsd_vocoder_python_PYTHON)'; dlist=''; for p in $$list; do\
+         if test -f "$$p"; then b=; else b="$(srcdir)/"; fi; \
+         if test -f $$b$$p; then \
+           f=$(am__strip_dir) \
+           dlist="$$dlist $$f"; \
+           echo " $(cvsd_vocoder_pythonPYTHON_INSTALL) '$$b$$p' '$(DESTDIR)$(cvsd_vocoder_pythondir)/$$f'"; \
+           $(cvsd_vocoder_pythonPYTHON_INSTALL) "$$b$$p" "$(DESTDIR)$(cvsd_vocoder_pythondir)/$$f"; \
+         else :; fi; \
+       done; \
+       if test -n "$$dlist"; then \
+         if test -z "$(DESTDIR)"; then \
+           PYTHON=$(PYTHON) $(py_compile) --basedir "$(cvsd_vocoder_pythondir)" $$dlist; \
+         else \
+           PYTHON=$(PYTHON) $(py_compile) --destdir "$(DESTDIR)" --basedir "$(cvsd_vocoder_pythondir)" $$dlist; \
+         fi; \
+       else :; fi
+
+uninstall-cvsd_vocoder_pythonPYTHON:
+       @$(NORMAL_UNINSTALL)
+       @list='$(cvsd_vocoder_python_PYTHON)'; dlist=''; for p in $$list; do\
+         f=$(am__strip_dir) \
+         rm -f "$(DESTDIR)$(cvsd_vocoder_pythondir)/$$f"; \
+         rm -f "$(DESTDIR)$(cvsd_vocoder_pythondir)/$${f}c"; \
+         rm -f "$(DESTDIR)$(cvsd_vocoder_pythondir)/$${f}o"; \
+       done
+install-cvsd_vocoder_swigincludeHEADERS: $(cvsd_vocoder_swiginclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(cvsd_vocoder_swigincludedir)" || $(MKDIR_P) "$(DESTDIR)$(cvsd_vocoder_swigincludedir)"
+       @list='$(cvsd_vocoder_swiginclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(cvsd_vocoder_swigincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(cvsd_vocoder_swigincludedir)/$$f'"; \
+         $(cvsd_vocoder_swigincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(cvsd_vocoder_swigincludedir)/$$f"; \
+       done
+
+uninstall-cvsd_vocoder_swigincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(cvsd_vocoder_swiginclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(cvsd_vocoder_swigincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(cvsd_vocoder_swigincludedir)/$$f"; \
+       done
+install-grincludeHEADERS: $(grinclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(grincludedir)" || $(MKDIR_P) "$(DESTDIR)$(grincludedir)"
+       @list='$(grinclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(grincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(grincludedir)/$$f'"; \
+         $(grincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(grincludedir)/$$f"; \
+       done
+
+uninstall-grincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(grinclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(grincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(grincludedir)/$$f"; \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) check-am
+all-am: Makefile $(LTLIBRARIES) $(HEADERS)
+installdirs:
+       for dir in "$(DESTDIR)$(cvsd_vocoder_pylibdir)" "$(DESTDIR)$(cvsd_vocoder_pythondir)" "$(DESTDIR)$(cvsd_vocoder_swigincludedir)" "$(DESTDIR)$(grincludedir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+       -test -z "$(BUILT_SOURCES)" || rm -f $(BUILT_SOURCES)
+clean: clean-am
+
+clean-am: clean-cvsd_vocoder_pylibLTLIBRARIES clean-generic \
+       clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+distclean-am: clean-am distclean-compile distclean-generic \
+       distclean-tags
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-cvsd_vocoder_pylibLTLIBRARIES \
+       install-cvsd_vocoder_pythonPYTHON \
+       install-cvsd_vocoder_swigincludeHEADERS \
+       install-grincludeHEADERS
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-compile mostlyclean-generic \
+       mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-cvsd_vocoder_pylibLTLIBRARIES \
+       uninstall-cvsd_vocoder_pythonPYTHON \
+       uninstall-cvsd_vocoder_swigincludeHEADERS \
+       uninstall-grincludeHEADERS
+
+.MAKE: install-am install-strip
+
+.PHONY: CTAGS GTAGS all all-am check check-am clean \
+       clean-cvsd_vocoder_pylibLTLIBRARIES clean-generic \
+       clean-libtool ctags dist-hook distclean distclean-compile \
+       distclean-generic distclean-libtool distclean-tags distdir dvi \
+       dvi-am html html-am info info-am install install-am \
+       install-cvsd_vocoder_pylibLTLIBRARIES \
+       install-cvsd_vocoder_pythonPYTHON \
+       install-cvsd_vocoder_swigincludeHEADERS install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-grincludeHEADERS install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-ps install-ps-am \
+       install-strip installcheck installcheck-am installdirs \
+       maintainer-clean maintainer-clean-generic mostlyclean \
+       mostlyclean-compile mostlyclean-generic mostlyclean-libtool \
+       pdf pdf-am ps ps-am tags uninstall uninstall-am \
+       uninstall-cvsd_vocoder_pylibLTLIBRARIES \
+       uninstall-cvsd_vocoder_pythonPYTHON \
+       uninstall-cvsd_vocoder_swigincludeHEADERS \
+       uninstall-grincludeHEADERS
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+
+generate-makefile-swig $(srcdir)/Makefile.swig.gen: $(top_srcdir)/Makefile.swig.gen.t
+       @do_recreate=0; \
+       if test -f $(srcdir)/Makefile.swig.gen; then \
+               if $(RM) $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                       if touch $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                               do_recreate=1; \
+                       fi; \
+               fi; \
+       else \
+               if touch $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                       do_recreate=1; \
+               fi; \
+       fi; \
+       if test "$$do_recreate" == "1"; then \
+               echo "Regenerating $(srcdir)/Makefile.swig.gen"; \
+               for TFILE in $(TOP_SWIG_IFILES); do \
+                       TNAME=`python -c "import os.path as op; (dN, fN) = op.split ('$$TFILE'); (fbN, fE) = op.splitext (fN); print fbN;"`; \
+                       $(SED) -e 's|@NAME@|'$$TNAME'|g;' < $(top_srcdir)/Makefile.swig.gen.t >> $(srcdir)/Makefile.swig.gen; \
+                       echo "" >> $(srcdir)/Makefile.swig.gen; \
+               done; \
+       else \
+               echo "Cannot recreate $(srcdir)/Makefile.swig.gen because the directory or file is write-protected."; \
+               exit -1; \
+       fi;
+
+cvsd_vocoder_pythondir_category ?= gnuradio/cvsd_vocoder
+cvsd_vocoder_pylibdir_category ?= $(cvsd_vocoder_pythondir_category)
+
+cvsd_vocoder.h cvsd_vocoder.py cvsd_vocoder.cc: cvsd_vocoder.i
+       trap 'rm -rf $(DEPDIR)/cvsd_vocoder-generate-*' 1 2 13 15; \
+       if mkdir $(DEPDIR)/cvsd_vocoder-generate-lock 2>/dev/null; then \
+               rm -f $(DEPDIR)/cvsd_vocoder-generate-stamp; \
+               $(MAKE) $(AM_MAKEFLAGS) $(DEPDIR)/cvsd_vocoder-generate-stamp WHAT=$<; \
+               rmdir $(DEPDIR)/cvsd_vocoder-generate-lock; \
+       else \
+               while test -d $(DEPDIR)/cvsd_vocoder-generate-lock; do \
+                       sleep 1; \
+               done; \
+               test -f $(DEPDIR)/cvsd_vocoder-generate-stamp; \
+               exit $$?; \
+       fi;
+
+$(DEPDIR)/cvsd_vocoder-generate-stamp:
+       if $(SWIG) $(STD_SWIG_PYTHON_ARGS) $(cvsd_vocoder_swig_args) \
+               -MD -MF $(DEPDIR)/cvsd_vocoder.Std \
+               -module cvsd_vocoder -o cvsd_vocoder.cc $(WHAT); then \
+           if test $(host_os) = mingw32; then \
+               $(RM) $(DEPDIR)/cvsd_vocoder.Sd; \
+               $(SED) 's,\\\\,/,g' < $(DEPDIR)/cvsd_vocoder.Std \
+                       > $(DEPDIR)/cvsd_vocoder.Sd; \
+               $(RM) $(DEPDIR)/cvsd_vocoder.Std; \
+               $(MV) $(DEPDIR)/cvsd_vocoder.Sd $(DEPDIR)/cvsd_vocoder.Std; \
+           fi; \
+       else \
+           $(RM) $(DEPDIR)/cvsd_vocoder.S*; exit 1; \
+       fi;
+       $(RM) $(DEPDIR)/cvsd_vocoder.d
+       cp $(DEPDIR)/cvsd_vocoder.Std $(DEPDIR)/cvsd_vocoder.d
+       echo "" >> $(DEPDIR)/cvsd_vocoder.d
+       $(SED) -e '1d;s, \\,,g;s, ,,g' < $(DEPDIR)/cvsd_vocoder.Std | \
+               awk '{ printf "%s:\n\n", $$0 }' >> $(DEPDIR)/cvsd_vocoder.d
+       $(RM) $(DEPDIR)/cvsd_vocoder.Std
+       touch $(DEPDIR)/cvsd_vocoder-generate-stamp
+
+# KLUDGE: Force runtime include of a SWIG dependency file.  This is
+# not guaranteed to be portable, but will probably work.  If it works,
+# we have accurate dependencies for our swig stuff, which is good.
+
+@am__include@ @am__quote@./$(DEPDIR)/cvsd_vocoder.d@am__quote@
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-cvsd-vocoder/src/python/Makefile.in b/gr-cvsd-vocoder/src/python/Makefile.in
new file mode 100644 (file)
index 0000000..c5c61eb
--- /dev/null
@@ -0,0 +1,1015 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(grblkspython_PYTHON) $(noinst_PYTHON) \
+       $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(srcdir)/run_tests.in $(top_srcdir)/Makefile.common
+subdir = gr-cvsd-vocoder/src/python
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES = run_tests
+SOURCES =
+DIST_SOURCES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(grblkspythondir)"
+grblkspythonPYTHON_INSTALL = $(INSTALL_DATA)
+py_compile = $(top_srcdir)/py-compile
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+EXTRA_DIST = run_tests.in
+TESTS = \
+       run_tests
+
+grblkspythondir = $(grpythondir)/blks2impl
+grblkspython_PYTHON = \
+       cvsd.py
+
+noinst_PYTHON = \
+       encdec.py                       \
+       qa_cvsd_vocoder.py              
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-cvsd-vocoder/src/python/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-cvsd-vocoder/src/python/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+run_tests: $(top_builddir)/config.status $(srcdir)/run_tests.in
+       cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-grblkspythonPYTHON: $(grblkspython_PYTHON)
+       @$(NORMAL_INSTALL)
+       test -z "$(grblkspythondir)" || $(MKDIR_P) "$(DESTDIR)$(grblkspythondir)"
+       @list='$(grblkspython_PYTHON)'; dlist=''; for p in $$list; do\
+         if test -f "$$p"; then b=; else b="$(srcdir)/"; fi; \
+         if test -f $$b$$p; then \
+           f=$(am__strip_dir) \
+           dlist="$$dlist $$f"; \
+           echo " $(grblkspythonPYTHON_INSTALL) '$$b$$p' '$(DESTDIR)$(grblkspythondir)/$$f'"; \
+           $(grblkspythonPYTHON_INSTALL) "$$b$$p" "$(DESTDIR)$(grblkspythondir)/$$f"; \
+         else :; fi; \
+       done; \
+       if test -n "$$dlist"; then \
+         if test -z "$(DESTDIR)"; then \
+           PYTHON=$(PYTHON) $(py_compile) --basedir "$(grblkspythondir)" $$dlist; \
+         else \
+           PYTHON=$(PYTHON) $(py_compile) --destdir "$(DESTDIR)" --basedir "$(grblkspythondir)" $$dlist; \
+         fi; \
+       else :; fi
+
+uninstall-grblkspythonPYTHON:
+       @$(NORMAL_UNINSTALL)
+       @list='$(grblkspython_PYTHON)'; dlist=''; for p in $$list; do\
+         f=$(am__strip_dir) \
+         rm -f "$(DESTDIR)$(grblkspythondir)/$$f"; \
+         rm -f "$(DESTDIR)$(grblkspythondir)/$${f}c"; \
+         rm -f "$(DESTDIR)$(grblkspythondir)/$${f}o"; \
+       done
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+check-TESTS: $(TESTS)
+       @failed=0; all=0; xfail=0; xpass=0; skip=0; \
+       srcdir=$(srcdir); export srcdir; \
+       list=' $(TESTS) '; \
+       if test -n "$$list"; then \
+         for tst in $$list; do \
+           if test -f ./$$tst; then dir=./; \
+           elif test -f $$tst; then dir=; \
+           else dir="$(srcdir)/"; fi; \
+           if $(TESTS_ENVIRONMENT) $${dir}$$tst; then \
+             all=`expr $$all + 1`; \
+             case " $(XFAIL_TESTS) " in \
+             *[\ \     ]$$tst[\ \      ]*) \
+               xpass=`expr $$xpass + 1`; \
+               failed=`expr $$failed + 1`; \
+               echo "XPASS: $$tst"; \
+             ;; \
+             *) \
+               echo "PASS: $$tst"; \
+             ;; \
+             esac; \
+           elif test $$? -ne 77; then \
+             all=`expr $$all + 1`; \
+             case " $(XFAIL_TESTS) " in \
+             *[\ \     ]$$tst[\ \      ]*) \
+               xfail=`expr $$xfail + 1`; \
+               echo "XFAIL: $$tst"; \
+             ;; \
+             *) \
+               failed=`expr $$failed + 1`; \
+               echo "FAIL: $$tst"; \
+             ;; \
+             esac; \
+           else \
+             skip=`expr $$skip + 1`; \
+             echo "SKIP: $$tst"; \
+           fi; \
+         done; \
+         if test "$$all" -eq 1; then \
+           tests="test"; \
+           All=""; \
+         else \
+           tests="tests"; \
+           All="All "; \
+         fi; \
+         if test "$$failed" -eq 0; then \
+           if test "$$xfail" -eq 0; then \
+             banner="$$All$$all $$tests passed"; \
+           else \
+             if test "$$xfail" -eq 1; then failures=failure; else failures=failures; fi; \
+             banner="$$All$$all $$tests behaved as expected ($$xfail expected $$failures)"; \
+           fi; \
+         else \
+           if test "$$xpass" -eq 0; then \
+             banner="$$failed of $$all $$tests failed"; \
+           else \
+             if test "$$xpass" -eq 1; then passes=pass; else passes=passes; fi; \
+             banner="$$failed of $$all $$tests did not behave as expected ($$xpass unexpected $$passes)"; \
+           fi; \
+         fi; \
+         dashes="$$banner"; \
+         skipped=""; \
+         if test "$$skip" -ne 0; then \
+           if test "$$skip" -eq 1; then \
+             skipped="($$skip test was not run)"; \
+           else \
+             skipped="($$skip tests were not run)"; \
+           fi; \
+           test `echo "$$skipped" | wc -c` -le `echo "$$banner" | wc -c` || \
+             dashes="$$skipped"; \
+         fi; \
+         report=""; \
+         if test "$$failed" -ne 0 && test -n "$(PACKAGE_BUGREPORT)"; then \
+           report="Please report to $(PACKAGE_BUGREPORT)"; \
+           test `echo "$$report" | wc -c` -le `echo "$$banner" | wc -c` || \
+             dashes="$$report"; \
+         fi; \
+         dashes=`echo "$$dashes" | sed s/./=/g`; \
+         echo "$$dashes"; \
+         echo "$$banner"; \
+         test -z "$$skipped" || echo "$$skipped"; \
+         test -z "$$report" || echo "$$report"; \
+         echo "$$dashes"; \
+         test "$$failed" -eq 0; \
+       else :; fi
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+       $(MAKE) $(AM_MAKEFLAGS) check-TESTS
+check: check-am
+all-am: Makefile
+installdirs:
+       for dir in "$(DESTDIR)$(grblkspythondir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-grblkspythonPYTHON
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-grblkspythonPYTHON
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-TESTS check-am clean clean-generic \
+       clean-libtool dist-hook distclean distclean-generic \
+       distclean-libtool distdir dvi dvi-am html html-am info info-am \
+       install install-am install-data install-data-am install-dvi \
+       install-dvi-am install-exec install-exec-am \
+       install-grblkspythonPYTHON install-html install-html-am \
+       install-info install-info-am install-man install-pdf \
+       install-pdf-am install-ps install-ps-am install-strip \
+       installcheck installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am uninstall uninstall-am \
+       uninstall-grblkspythonPYTHON
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-gcell/Makefile.in b/gr-gcell/Makefile.in
new file mode 100644 (file)
index 0000000..56df510
--- /dev/null
@@ -0,0 +1,1029 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2008 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License along
+# with this program; if not, write to the Free Software Foundation, Inc.,
+# 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+#
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gr-gcell
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+SUBDIRS = src
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-gcell/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-gcell/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-recursive
+all-am: Makefile
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive dist-hook distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-gcell/src/Makefile.in b/gr-gcell/src/Makefile.in
new file mode 100644 (file)
index 0000000..d8b1869
--- /dev/null
@@ -0,0 +1,1595 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License along
+# with this program; if not, write to the Free Software Foundation, Inc.,
+# 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+#
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# Makefile.swig.gen for gcell.i
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(gcell_python_PYTHON) $(gcell_swiginclude_HEADERS) \
+       $(grinclude_HEADERS) $(noinst_PYTHON) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(srcdir)/Makefile.swig.gen \
+       $(srcdir)/run_tests.in $(top_srcdir)/Makefile.common \
+       $(top_srcdir)/Makefile.swig
+subdir = gr-gcell/src
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES = run_tests
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(gcell_pylibdir)" "$(DESTDIR)$(libdir)" \
+       "$(DESTDIR)$(gcell_pythondir)" \
+       "$(DESTDIR)$(gcell_swigincludedir)" \
+       "$(DESTDIR)$(grincludedir)"
+gcell_pylibLTLIBRARIES_INSTALL = $(INSTALL)
+libLTLIBRARIES_INSTALL = $(INSTALL)
+LTLIBRARIES = $(gcell_pylib_LTLIBRARIES) $(lib_LTLIBRARIES)
+am__DEPENDENCIES_1 =
+_gcell_la_DEPENDENCIES = $(am__DEPENDENCIES_1) $(gcell_la_swig_libadd)
+am__gcell_la_OBJECTS = _gcell_la-gcell.lo
+_gcell_la_OBJECTS = $(am__gcell_la_OBJECTS)
+_gcell_la_LINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) \
+       $(LIBTOOLFLAGS) --mode=link $(CXXLD) $(_gcell_la_CXXFLAGS) \
+       $(CXXFLAGS) $(_gcell_la_LDFLAGS) $(LDFLAGS) -o $@
+libgr_gcell_la_DEPENDENCIES = $(am__DEPENDENCIES_1) \
+       $(am__DEPENDENCIES_1)
+am_libgr_gcell_la_OBJECTS = gcell_fft_vcc.lo
+libgr_gcell_la_OBJECTS = $(am_libgr_gcell_la_OBJECTS)
+libgr_gcell_la_LINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) \
+       $(LIBTOOLFLAGS) --mode=link $(CXXLD) $(AM_CXXFLAGS) \
+       $(CXXFLAGS) $(libgr_gcell_la_LDFLAGS) $(LDFLAGS) -o $@
+DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
+depcomp = $(SHELL) $(top_srcdir)/depcomp
+am__depfiles_maybe = depfiles
+CXXCOMPILE = $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+LTCXXCOMPILE = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+CXXLD = $(CXX)
+CXXLINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CXXLD) $(AM_CXXFLAGS) $(CXXFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+SOURCES = $(_gcell_la_SOURCES) $(libgr_gcell_la_SOURCES)
+DIST_SOURCES = $(_gcell_la_SOURCES) $(libgr_gcell_la_SOURCES)
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+gcell_pythonPYTHON_INSTALL = $(INSTALL_DATA)
+py_compile = $(top_srcdir)/py-compile
+gcell_swigincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+grincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+HEADERS = $(gcell_swiginclude_HEADERS) $(grinclude_HEADERS)
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = $(DEPDIR)/gcell-generate-*
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp \
+       *.loT $(DEPDIR)/*.S*
+SUBDIRS = . examples
+EXTRA_DIST = run_tests.in
+TESTS = run_tests
+AM_CPPFLAGS = $(STD_DEFINES_AND_INCLUDES) $(GCELL_INCLUDES) \
+       $(PYTHON_CPPFLAGS) $(WITH_INCLUDES)
+
+
+# ----------------------------------------------------------------
+noinst_PYTHON = \
+       qa_fft.py
+
+DISTCLEANFILES = \
+       gcell.d \
+       run_tests
+
+
+# ----------------------------------------------------------------
+#                        The C++ blocks
+# ----------------------------------------------------------------
+
+# the library for the C++ blocks
+lib_LTLIBRARIES = libgr_gcell.la
+libgr_gcell_la_SOURCES = \
+       gcell_fft_vcc.cc
+
+
+# C/C++ headers get installed in ${prefix}/include/gnuradio
+grinclude_HEADERS = \
+       gcell_fft_vcc.h
+
+libgr_gcell_la_LIBADD = \
+       $(GNURADIO_CORE_LA) \
+       $(GCELL_LA)
+
+libgr_gcell_la_LDFLAGS = $(NO_UNDEFINED)
+
+# ----------------------------------------------------------------
+#                         SWIG stuff
+# ----------------------------------------------------------------
+TOP_SWIG_IFILES = \
+       gcell.i
+
+
+# Install so that they end up available as:
+#   import gnuradio.gcell
+# This ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+gcell_pythondir_category = \
+       gnuradio
+
+
+# additional arguments to the SWIG command
+gcell_swig_args = \
+       $(GCELL_INCLUDES)
+
+
+# additional libraries for linking with the SWIG-generated library
+gcell_la_swig_libadd = \
+       libgr_gcell.la
+
+
+# additional SWIG files to be installed
+gcell_swiginclude_headers = \
+       gc_job_manager.i        \
+       gcell_fft_vcc.i
+
+SWIG_PYTHON_FLAGS = \
+       -fvirtual       \
+       -python         \
+       -modern         \
+       -keyword        \
+       -w511           \
+       -outdir .
+
+STD_SWIG_PYTHON_ARGS = \
+       $(SWIG_PYTHON_FLAGS)                    \
+       $(STD_DEFINES_AND_INCLUDES)             \
+       $(WITH_SWIG_INCLUDES)                   \
+       $(WITH_INCLUDES)
+
+STD_SWIG_LA_LD_FLAGS = \
+       $(PYTHON_LDFLAGS)       \
+       -module                 \
+       -avoid-version          \
+       $(NO_UNDEFINED)
+
+STD_SWIG_LA_LIB_ADD = \
+       -lstdc++
+
+STD_SWIG_CXX_FLAGS = @swig_CXXFLAGS@
+SUFFIXES = .i
+swig_built_sources = gcell.py gcell.cc
+gcell_pythondir = $(pythondir)/$(gcell_pythondir_category)
+gcell_pylibdir = $(pyexecdir)/$(gcell_pylibdir_category)
+gcell_swigincludedir = $(swigincludedir)
+gcell_swiginclude_HEADERS = \
+       gcell.i                 \
+       $(gcell_swiginclude_headers)
+
+gcell_pylib_LTLIBRARIES = \
+       _gcell.la
+
+_gcell_la_SOURCES = \
+       gcell.cc                        \
+       $(gcell_la_swig_sources)
+
+_gcell_la_LIBADD = \
+       $(STD_SWIG_LA_LIB_ADD)          \
+       $(gcell_la_swig_libadd)
+
+_gcell_la_LDFLAGS = \
+       $(STD_SWIG_LA_LD_FLAGS)         \
+       $(gcell_la_swig_ldflags)
+
+_gcell_la_CXXFLAGS = \
+       $(STD_SWIG_CXX_FLAGS)           \
+       $(gcell_la_swig_cxxflags)
+
+gcell_python_PYTHON = \
+       gcell.py                        \
+       $(gcell_python)
+
+
+# add some of the variables generated inside the Makefile.swig.gen
+BUILT_SOURCES = $(swig_built_sources)
+
+# Do not distribute the output of SWIG
+no_dist_files = $(swig_built_sources)
+all: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) all-recursive
+
+.SUFFIXES:
+.SUFFIXES: .i .cc .lo .o .obj
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(top_srcdir)/Makefile.swig $(srcdir)/Makefile.swig.gen $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-gcell/src/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-gcell/src/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+run_tests: $(top_builddir)/config.status $(srcdir)/run_tests.in
+       cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@
+install-gcell_pylibLTLIBRARIES: $(gcell_pylib_LTLIBRARIES)
+       @$(NORMAL_INSTALL)
+       test -z "$(gcell_pylibdir)" || $(MKDIR_P) "$(DESTDIR)$(gcell_pylibdir)"
+       @list='$(gcell_pylib_LTLIBRARIES)'; for p in $$list; do \
+         if test -f $$p; then \
+           f=$(am__strip_dir) \
+           echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(gcell_pylibLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) '$$p' '$(DESTDIR)$(gcell_pylibdir)/$$f'"; \
+           $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(gcell_pylibLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) "$$p" "$(DESTDIR)$(gcell_pylibdir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-gcell_pylibLTLIBRARIES:
+       @$(NORMAL_UNINSTALL)
+       @list='$(gcell_pylib_LTLIBRARIES)'; for p in $$list; do \
+         p=$(am__strip_dir) \
+         echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f '$(DESTDIR)$(gcell_pylibdir)/$$p'"; \
+         $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f "$(DESTDIR)$(gcell_pylibdir)/$$p"; \
+       done
+
+clean-gcell_pylibLTLIBRARIES:
+       -test -z "$(gcell_pylib_LTLIBRARIES)" || rm -f $(gcell_pylib_LTLIBRARIES)
+       @list='$(gcell_pylib_LTLIBRARIES)'; for p in $$list; do \
+         dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
+         test "$$dir" != "$$p" || dir=.; \
+         echo "rm -f \"$${dir}/so_locations\""; \
+         rm -f "$${dir}/so_locations"; \
+       done
+install-libLTLIBRARIES: $(lib_LTLIBRARIES)
+       @$(NORMAL_INSTALL)
+       test -z "$(libdir)" || $(MKDIR_P) "$(DESTDIR)$(libdir)"
+       @list='$(lib_LTLIBRARIES)'; for p in $$list; do \
+         if test -f $$p; then \
+           f=$(am__strip_dir) \
+           echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(libLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) '$$p' '$(DESTDIR)$(libdir)/$$f'"; \
+           $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(libLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) "$$p" "$(DESTDIR)$(libdir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-libLTLIBRARIES:
+       @$(NORMAL_UNINSTALL)
+       @list='$(lib_LTLIBRARIES)'; for p in $$list; do \
+         p=$(am__strip_dir) \
+         echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f '$(DESTDIR)$(libdir)/$$p'"; \
+         $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f "$(DESTDIR)$(libdir)/$$p"; \
+       done
+
+clean-libLTLIBRARIES:
+       -test -z "$(lib_LTLIBRARIES)" || rm -f $(lib_LTLIBRARIES)
+       @list='$(lib_LTLIBRARIES)'; for p in $$list; do \
+         dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
+         test "$$dir" != "$$p" || dir=.; \
+         echo "rm -f \"$${dir}/so_locations\""; \
+         rm -f "$${dir}/so_locations"; \
+       done
+_gcell.la: $(_gcell_la_OBJECTS) $(_gcell_la_DEPENDENCIES) 
+       $(_gcell_la_LINK) -rpath $(gcell_pylibdir) $(_gcell_la_OBJECTS) $(_gcell_la_LIBADD) $(LIBS)
+libgr_gcell.la: $(libgr_gcell_la_OBJECTS) $(libgr_gcell_la_DEPENDENCIES) 
+       $(libgr_gcell_la_LINK) -rpath $(libdir) $(libgr_gcell_la_OBJECTS) $(libgr_gcell_la_LIBADD) $(LIBS)
+
+mostlyclean-compile:
+       -rm -f *.$(OBJEXT)
+
+distclean-compile:
+       -rm -f *.tab.c
+
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_gcell_la-gcell.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gcell_fft_vcc.Plo@am__quote@
+
+.cc.o:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ $<
+
+.cc.obj:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ `$(CYGPATH_W) '$<'`
+
+.cc.lo:
+@am__fastdepCXX_TRUE@  $(LTCXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LTCXXCOMPILE) -c -o $@ $<
+
+_gcell_la-gcell.lo: gcell.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_gcell_la_CXXFLAGS) $(CXXFLAGS) -MT _gcell_la-gcell.lo -MD -MP -MF $(DEPDIR)/_gcell_la-gcell.Tpo -c -o _gcell_la-gcell.lo `test -f 'gcell.cc' || echo '$(srcdir)/'`gcell.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_gcell_la-gcell.Tpo $(DEPDIR)/_gcell_la-gcell.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='gcell.cc' object='_gcell_la-gcell.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_gcell_la_CXXFLAGS) $(CXXFLAGS) -c -o _gcell_la-gcell.lo `test -f 'gcell.cc' || echo '$(srcdir)/'`gcell.cc
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-gcell_pythonPYTHON: $(gcell_python_PYTHON)
+       @$(NORMAL_INSTALL)
+       test -z "$(gcell_pythondir)" || $(MKDIR_P) "$(DESTDIR)$(gcell_pythondir)"
+       @list='$(gcell_python_PYTHON)'; dlist=''; for p in $$list; do\
+         if test -f "$$p"; then b=; else b="$(srcdir)/"; fi; \
+         if test -f $$b$$p; then \
+           f=$(am__strip_dir) \
+           dlist="$$dlist $$f"; \
+           echo " $(gcell_pythonPYTHON_INSTALL) '$$b$$p' '$(DESTDIR)$(gcell_pythondir)/$$f'"; \
+           $(gcell_pythonPYTHON_INSTALL) "$$b$$p" "$(DESTDIR)$(gcell_pythondir)/$$f"; \
+         else :; fi; \
+       done; \
+       if test -n "$$dlist"; then \
+         if test -z "$(DESTDIR)"; then \
+           PYTHON=$(PYTHON) $(py_compile) --basedir "$(gcell_pythondir)" $$dlist; \
+         else \
+           PYTHON=$(PYTHON) $(py_compile) --destdir "$(DESTDIR)" --basedir "$(gcell_pythondir)" $$dlist; \
+         fi; \
+       else :; fi
+
+uninstall-gcell_pythonPYTHON:
+       @$(NORMAL_UNINSTALL)
+       @list='$(gcell_python_PYTHON)'; dlist=''; for p in $$list; do\
+         f=$(am__strip_dir) \
+         rm -f "$(DESTDIR)$(gcell_pythondir)/$$f"; \
+         rm -f "$(DESTDIR)$(gcell_pythondir)/$${f}c"; \
+         rm -f "$(DESTDIR)$(gcell_pythondir)/$${f}o"; \
+       done
+install-gcell_swigincludeHEADERS: $(gcell_swiginclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(gcell_swigincludedir)" || $(MKDIR_P) "$(DESTDIR)$(gcell_swigincludedir)"
+       @list='$(gcell_swiginclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(gcell_swigincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(gcell_swigincludedir)/$$f'"; \
+         $(gcell_swigincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(gcell_swigincludedir)/$$f"; \
+       done
+
+uninstall-gcell_swigincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(gcell_swiginclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(gcell_swigincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(gcell_swigincludedir)/$$f"; \
+       done
+install-grincludeHEADERS: $(grinclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(grincludedir)" || $(MKDIR_P) "$(DESTDIR)$(grincludedir)"
+       @list='$(grinclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(grincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(grincludedir)/$$f'"; \
+         $(grincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(grincludedir)/$$f"; \
+       done
+
+uninstall-grincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(grinclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(grincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(grincludedir)/$$f"; \
+       done
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+check-TESTS: $(TESTS)
+       @failed=0; all=0; xfail=0; xpass=0; skip=0; \
+       srcdir=$(srcdir); export srcdir; \
+       list=' $(TESTS) '; \
+       if test -n "$$list"; then \
+         for tst in $$list; do \
+           if test -f ./$$tst; then dir=./; \
+           elif test -f $$tst; then dir=; \
+           else dir="$(srcdir)/"; fi; \
+           if $(TESTS_ENVIRONMENT) $${dir}$$tst; then \
+             all=`expr $$all + 1`; \
+             case " $(XFAIL_TESTS) " in \
+             *[\ \     ]$$tst[\ \      ]*) \
+               xpass=`expr $$xpass + 1`; \
+               failed=`expr $$failed + 1`; \
+               echo "XPASS: $$tst"; \
+             ;; \
+             *) \
+               echo "PASS: $$tst"; \
+             ;; \
+             esac; \
+           elif test $$? -ne 77; then \
+             all=`expr $$all + 1`; \
+             case " $(XFAIL_TESTS) " in \
+             *[\ \     ]$$tst[\ \      ]*) \
+               xfail=`expr $$xfail + 1`; \
+               echo "XFAIL: $$tst"; \
+             ;; \
+             *) \
+               failed=`expr $$failed + 1`; \
+               echo "FAIL: $$tst"; \
+             ;; \
+             esac; \
+           else \
+             skip=`expr $$skip + 1`; \
+             echo "SKIP: $$tst"; \
+           fi; \
+         done; \
+         if test "$$all" -eq 1; then \
+           tests="test"; \
+           All=""; \
+         else \
+           tests="tests"; \
+           All="All "; \
+         fi; \
+         if test "$$failed" -eq 0; then \
+           if test "$$xfail" -eq 0; then \
+             banner="$$All$$all $$tests passed"; \
+           else \
+             if test "$$xfail" -eq 1; then failures=failure; else failures=failures; fi; \
+             banner="$$All$$all $$tests behaved as expected ($$xfail expected $$failures)"; \
+           fi; \
+         else \
+           if test "$$xpass" -eq 0; then \
+             banner="$$failed of $$all $$tests failed"; \
+           else \
+             if test "$$xpass" -eq 1; then passes=pass; else passes=passes; fi; \
+             banner="$$failed of $$all $$tests did not behave as expected ($$xpass unexpected $$passes)"; \
+           fi; \
+         fi; \
+         dashes="$$banner"; \
+         skipped=""; \
+         if test "$$skip" -ne 0; then \
+           if test "$$skip" -eq 1; then \
+             skipped="($$skip test was not run)"; \
+           else \
+             skipped="($$skip tests were not run)"; \
+           fi; \
+           test `echo "$$skipped" | wc -c` -le `echo "$$banner" | wc -c` || \
+             dashes="$$skipped"; \
+         fi; \
+         report=""; \
+         if test "$$failed" -ne 0 && test -n "$(PACKAGE_BUGREPORT)"; then \
+           report="Please report to $(PACKAGE_BUGREPORT)"; \
+           test `echo "$$report" | wc -c` -le `echo "$$banner" | wc -c` || \
+             dashes="$$report"; \
+         fi; \
+         dashes=`echo "$$dashes" | sed s/./=/g`; \
+         echo "$$dashes"; \
+         echo "$$banner"; \
+         test -z "$$skipped" || echo "$$skipped"; \
+         test -z "$$report" || echo "$$report"; \
+         echo "$$dashes"; \
+         test "$$failed" -eq 0; \
+       else :; fi
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+       $(MAKE) $(AM_MAKEFLAGS) check-TESTS
+check: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) check-recursive
+all-am: Makefile $(LTLIBRARIES) $(HEADERS)
+installdirs: installdirs-recursive
+installdirs-am:
+       for dir in "$(DESTDIR)$(gcell_pylibdir)" "$(DESTDIR)$(libdir)" "$(DESTDIR)$(gcell_pythondir)" "$(DESTDIR)$(gcell_swigincludedir)" "$(DESTDIR)$(grincludedir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+       -test -z "$(DISTCLEANFILES)" || rm -f $(DISTCLEANFILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+       -test -z "$(BUILT_SOURCES)" || rm -f $(BUILT_SOURCES)
+clean: clean-recursive
+
+clean-am: clean-gcell_pylibLTLIBRARIES clean-generic \
+       clean-libLTLIBRARIES clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+distclean-am: clean-am distclean-compile distclean-generic \
+       distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am: install-gcell_pylibLTLIBRARIES \
+       install-gcell_pythonPYTHON install-gcell_swigincludeHEADERS \
+       install-grincludeHEADERS
+
+install-dvi: install-dvi-recursive
+
+install-exec-am: install-libLTLIBRARIES
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-compile mostlyclean-generic \
+       mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am: uninstall-gcell_pylibLTLIBRARIES \
+       uninstall-gcell_pythonPYTHON \
+       uninstall-gcell_swigincludeHEADERS uninstall-grincludeHEADERS \
+       uninstall-libLTLIBRARIES
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-TESTS check-am clean \
+       clean-gcell_pylibLTLIBRARIES clean-generic \
+       clean-libLTLIBRARIES clean-libtool ctags ctags-recursive \
+       dist-hook distclean distclean-compile distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-gcell_pylibLTLIBRARIES \
+       install-gcell_pythonPYTHON install-gcell_swigincludeHEADERS \
+       install-grincludeHEADERS install-html install-html-am \
+       install-info install-info-am install-libLTLIBRARIES \
+       install-man install-pdf install-pdf-am install-ps \
+       install-ps-am install-strip installcheck installcheck-am \
+       installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-compile \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       tags tags-recursive uninstall uninstall-am \
+       uninstall-gcell_pylibLTLIBRARIES uninstall-gcell_pythonPYTHON \
+       uninstall-gcell_swigincludeHEADERS uninstall-grincludeHEADERS \
+       uninstall-libLTLIBRARIES
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+
+generate-makefile-swig $(srcdir)/Makefile.swig.gen: $(top_srcdir)/Makefile.swig.gen.t
+       @do_recreate=0; \
+       if test -f $(srcdir)/Makefile.swig.gen; then \
+               if $(RM) $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                       if touch $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                               do_recreate=1; \
+                       fi; \
+               fi; \
+       else \
+               if touch $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                       do_recreate=1; \
+               fi; \
+       fi; \
+       if test "$$do_recreate" == "1"; then \
+               echo "Regenerating $(srcdir)/Makefile.swig.gen"; \
+               for TFILE in $(TOP_SWIG_IFILES); do \
+                       TNAME=`python -c "import os.path as op; (dN, fN) = op.split ('$$TFILE'); (fbN, fE) = op.splitext (fN); print fbN;"`; \
+                       $(SED) -e 's|@NAME@|'$$TNAME'|g;' < $(top_srcdir)/Makefile.swig.gen.t >> $(srcdir)/Makefile.swig.gen; \
+                       echo "" >> $(srcdir)/Makefile.swig.gen; \
+               done; \
+       else \
+               echo "Cannot recreate $(srcdir)/Makefile.swig.gen because the directory or file is write-protected."; \
+               exit -1; \
+       fi;
+
+gcell_pythondir_category ?= gnuradio/gcell
+gcell_pylibdir_category ?= $(gcell_pythondir_category)
+
+gcell.h gcell.py gcell.cc: gcell.i
+       trap 'rm -rf $(DEPDIR)/gcell-generate-*' 1 2 13 15; \
+       if mkdir $(DEPDIR)/gcell-generate-lock 2>/dev/null; then \
+               rm -f $(DEPDIR)/gcell-generate-stamp; \
+               $(MAKE) $(AM_MAKEFLAGS) $(DEPDIR)/gcell-generate-stamp WHAT=$<; \
+               rmdir $(DEPDIR)/gcell-generate-lock; \
+       else \
+               while test -d $(DEPDIR)/gcell-generate-lock; do \
+                       sleep 1; \
+               done; \
+               test -f $(DEPDIR)/gcell-generate-stamp; \
+               exit $$?; \
+       fi;
+
+$(DEPDIR)/gcell-generate-stamp:
+       if $(SWIG) $(STD_SWIG_PYTHON_ARGS) $(gcell_swig_args) \
+               -MD -MF $(DEPDIR)/gcell.Std \
+               -module gcell -o gcell.cc $(WHAT); then \
+           if test $(host_os) = mingw32; then \
+               $(RM) $(DEPDIR)/gcell.Sd; \
+               $(SED) 's,\\\\,/,g' < $(DEPDIR)/gcell.Std \
+                       > $(DEPDIR)/gcell.Sd; \
+               $(RM) $(DEPDIR)/gcell.Std; \
+               $(MV) $(DEPDIR)/gcell.Sd $(DEPDIR)/gcell.Std; \
+           fi; \
+       else \
+           $(RM) $(DEPDIR)/gcell.S*; exit 1; \
+       fi;
+       $(RM) $(DEPDIR)/gcell.d
+       cp $(DEPDIR)/gcell.Std $(DEPDIR)/gcell.d
+       echo "" >> $(DEPDIR)/gcell.d
+       $(SED) -e '1d;s, \\,,g;s, ,,g' < $(DEPDIR)/gcell.Std | \
+               awk '{ printf "%s:\n\n", $$0 }' >> $(DEPDIR)/gcell.d
+       $(RM) $(DEPDIR)/gcell.Std
+       touch $(DEPDIR)/gcell-generate-stamp
+
+# KLUDGE: Force runtime include of a SWIG dependency file.  This is
+# not guaranteed to be portable, but will probably work.  If it works,
+# we have accurate dependencies for our swig stuff, which is good.
+
+@am__include@ @am__quote@./$(DEPDIR)/gcell.d@am__quote@
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-gcell/src/examples/Makefile.in b/gr-gcell/src/examples/Makefile.in
new file mode 100644 (file)
index 0000000..78ef357
--- /dev/null
@@ -0,0 +1,878 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gr-gcell/src/examples
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-gcell/src/examples/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-gcell/src/examples/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile
+installdirs:
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am install-dvi \
+       install-dvi-am install-exec install-exec-am install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-ps install-ps-am \
+       install-strip installcheck installcheck-am installdirs \
+       maintainer-clean maintainer-clean-generic mostlyclean \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+
+#ourdatadir = $(exampledir)/gcell
+
+#dist_ourdata_DATA = README
+
+#dist_ourdata_SCRIPTS = fsm_utils.py
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-gpio/Makefile.in b/gr-gpio/Makefile.in
new file mode 100644 (file)
index 0000000..efcc68d
--- /dev/null
@@ -0,0 +1,1030 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2008 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gr-gpio
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+SUBDIRS = src
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-gpio/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-gpio/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-recursive
+all-am: Makefile
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive dist-hook distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-gpio/src/Makefile.in b/gr-gpio/src/Makefile.in
new file mode 100644 (file)
index 0000000..1ffc621
--- /dev/null
@@ -0,0 +1,896 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2007,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+subdir = gr-gpio/src
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+SUBDIRS = python fpga
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am  $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-gpio/src/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-gpio/src/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+check-am: all-am
+check: check-recursive
+all-am: Makefile
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am
+
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-gpio/src/fpga/Makefile.in b/gr-gpio/src/fpga/Makefile.in
new file mode 100644 (file)
index 0000000..b27a9ca
--- /dev/null
@@ -0,0 +1,896 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2008 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+subdir = gr-gpio/src/fpga
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+SUBDIRS = include lib top rbf
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am  $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-gpio/src/fpga/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-gpio/src/fpga/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+check-am: all-am
+check: check-recursive
+all-am: Makefile
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am
+
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-gpio/src/fpga/include/Makefile.in b/gr-gpio/src/fpga/include/Makefile.in
new file mode 100644 (file)
index 0000000..4f75a54
--- /dev/null
@@ -0,0 +1,742 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2007 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+subdir = gr-gpio/src/fpga/include
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+EXTRA_DIST = \
+    common_config_2rxhb_2tx_dig.vh \
+    common_config_2rxint_2tx_dig.vh \
+    common_config_bottom.vh
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am  $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-gpio/src/fpga/include/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-gpio/src/fpga/include/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+check-am: all-am
+check: check-am
+all-am: Makefile
+installdirs:
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       distclean distclean-generic distclean-libtool distdir dvi \
+       dvi-am html html-am info info-am install install-am \
+       install-data install-data-am install-dvi install-dvi-am \
+       install-exec install-exec-am install-html install-html-am \
+       install-info install-info-am install-man install-pdf \
+       install-pdf-am install-ps install-ps-am install-strip \
+       installcheck installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am uninstall uninstall-am
+
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-gpio/src/fpga/lib/Makefile.in b/gr-gpio/src/fpga/lib/Makefile.in
new file mode 100644 (file)
index 0000000..08c7b0c
--- /dev/null
@@ -0,0 +1,905 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2007 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+subdir = gr-gpio/src/fpga/lib
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+SUBDIRS = 
+EXTRA_DIST = \
+    gpio_input.v       \
+    io_pins.v          \
+    rx_chain_dig.v     \
+    tx_chain_dig.v      \
+    integrator.v        \
+    integ_shifter.v     \
+    rx_chain.v
+
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am  $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-gpio/src/fpga/lib/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-gpio/src/fpga/lib/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+check-am: all-am
+check: check-recursive
+all-am: Makefile
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am
+
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-gpio/src/fpga/rbf/Makefile.in b/gr-gpio/src/fpga/rbf/Makefile.in
new file mode 100644 (file)
index 0000000..58386ab
--- /dev/null
@@ -0,0 +1,932 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(dist_datarev2_DATA) $(dist_datarev4_DATA) \
+       $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gr-gpio/src/fpga/rbf
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(datarev2dir)" \
+       "$(DESTDIR)$(datarev4dir)"
+dist_datarev2DATA_INSTALL = $(INSTALL_DATA)
+dist_datarev4DATA_INSTALL = $(INSTALL_DATA)
+DATA = $(dist_datarev2_DATA) $(dist_datarev4_DATA)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = $(prefix)/share/usrp
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+RBFS = \
+       std_2rxhb_2tx_dig.rbf \
+       std_2rxint_2tx_dig.rbf
+
+datarev2dir = $(datadir)/rev2
+datarev4dir = $(datadir)/rev4
+dist_datarev2_DATA = $(RBFS)
+dist_datarev4_DATA = $(RBFS)
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-gpio/src/fpga/rbf/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-gpio/src/fpga/rbf/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-dist_datarev2DATA: $(dist_datarev2_DATA)
+       @$(NORMAL_INSTALL)
+       test -z "$(datarev2dir)" || $(MKDIR_P) "$(DESTDIR)$(datarev2dir)"
+       @list='$(dist_datarev2_DATA)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(dist_datarev2DATA_INSTALL) '$$d$$p' '$(DESTDIR)$(datarev2dir)/$$f'"; \
+         $(dist_datarev2DATA_INSTALL) "$$d$$p" "$(DESTDIR)$(datarev2dir)/$$f"; \
+       done
+
+uninstall-dist_datarev2DATA:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_datarev2_DATA)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(datarev2dir)/$$f'"; \
+         rm -f "$(DESTDIR)$(datarev2dir)/$$f"; \
+       done
+install-dist_datarev4DATA: $(dist_datarev4_DATA)
+       @$(NORMAL_INSTALL)
+       test -z "$(datarev4dir)" || $(MKDIR_P) "$(DESTDIR)$(datarev4dir)"
+       @list='$(dist_datarev4_DATA)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(dist_datarev4DATA_INSTALL) '$$d$$p' '$(DESTDIR)$(datarev4dir)/$$f'"; \
+         $(dist_datarev4DATA_INSTALL) "$$d$$p" "$(DESTDIR)$(datarev4dir)/$$f"; \
+       done
+
+uninstall-dist_datarev4DATA:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_datarev4_DATA)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(datarev4dir)/$$f'"; \
+         rm -f "$(DESTDIR)$(datarev4dir)/$$f"; \
+       done
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile $(DATA)
+installdirs:
+       for dir in "$(DESTDIR)$(datarev2dir)" "$(DESTDIR)$(datarev4dir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-dist_datarev2DATA install-dist_datarev4DATA
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-dist_datarev2DATA uninstall-dist_datarev4DATA
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am \
+       install-dist_datarev2DATA install-dist_datarev4DATA \
+       install-dvi install-dvi-am install-exec install-exec-am \
+       install-html install-html-am install-info install-info-am \
+       install-man install-pdf install-pdf-am install-ps \
+       install-ps-am install-strip installcheck installcheck-am \
+       installdirs maintainer-clean maintainer-clean-generic \
+       mostlyclean mostlyclean-generic mostlyclean-libtool pdf pdf-am \
+       ps ps-am uninstall uninstall-am uninstall-dist_datarev2DATA \
+       uninstall-dist_datarev4DATA
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-gpio/src/fpga/top/Makefile.in b/gr-gpio/src/fpga/top/Makefile.in
new file mode 100644 (file)
index 0000000..06ca099
--- /dev/null
@@ -0,0 +1,882 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gr-gpio/src/fpga/top
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp \
+       *.loT db/* *.rpt *.summary *.qws *.smsg *.done *.pin *.sof
+EXTRA_DIST = \
+       config.vh    \
+       usrp_gpio.csf \
+       usrp_gpio.esf \
+       usrp_gpio.psf \
+       usrp_gpio.qpf \
+       usrp_gpio.qsf \
+       usrp_gpio.v
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-gpio/src/fpga/top/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-gpio/src/fpga/top/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile
+installdirs:
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am install-dvi \
+       install-dvi-am install-exec install-exec-am install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-ps install-ps-am \
+       install-strip installcheck installcheck-am installdirs \
+       maintainer-clean maintainer-clean-generic mostlyclean \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-gpio/src/python/Makefile.in b/gr-gpio/src/python/Makefile.in
new file mode 100644 (file)
index 0000000..03e777d
--- /dev/null
@@ -0,0 +1,954 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(dist_bin_SCRIPTS) $(ourpython_PYTHON) \
+       $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gr-gpio/src/python
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+am__installdirs = "$(DESTDIR)$(bindir)" "$(DESTDIR)$(ourpythondir)"
+dist_binSCRIPT_INSTALL = $(INSTALL_SCRIPT)
+SCRIPTS = $(dist_bin_SCRIPTS)
+SOURCES =
+DIST_SOURCES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+ourpythonPYTHON_INSTALL = $(INSTALL_DATA)
+py_compile = $(top_srcdir)/py-compile
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+
+# Installation locations
+ourpythondir = $(grpythondir)/gpio
+ourlibdir = $(grpyexecdir)/gpio
+
+# List of Python files that will get installed into site-packages
+ourpython_PYTHON = \
+    __init__.py                        \
+    gpio.py
+
+
+# List of python files that will be installed onto $prefix/bin
+dist_bin_SCRIPTS = \
+    gpio_rx_sfile.py                   \
+    gpio_usrp_siggen.py                \
+    gpio_usrp_fft.py
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-gpio/src/python/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-gpio/src/python/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+install-dist_binSCRIPTS: $(dist_bin_SCRIPTS)
+       @$(NORMAL_INSTALL)
+       test -z "$(bindir)" || $(MKDIR_P) "$(DESTDIR)$(bindir)"
+       @list='$(dist_bin_SCRIPTS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         if test -f $$d$$p; then \
+           f=`echo "$$p" | sed 's|^.*/||;$(transform)'`; \
+           echo " $(dist_binSCRIPT_INSTALL) '$$d$$p' '$(DESTDIR)$(bindir)/$$f'"; \
+           $(dist_binSCRIPT_INSTALL) "$$d$$p" "$(DESTDIR)$(bindir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-dist_binSCRIPTS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_bin_SCRIPTS)'; for p in $$list; do \
+         f=`echo "$$p" | sed 's|^.*/||;$(transform)'`; \
+         echo " rm -f '$(DESTDIR)$(bindir)/$$f'"; \
+         rm -f "$(DESTDIR)$(bindir)/$$f"; \
+       done
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-ourpythonPYTHON: $(ourpython_PYTHON)
+       @$(NORMAL_INSTALL)
+       test -z "$(ourpythondir)" || $(MKDIR_P) "$(DESTDIR)$(ourpythondir)"
+       @list='$(ourpython_PYTHON)'; dlist=''; for p in $$list; do\
+         if test -f "$$p"; then b=; else b="$(srcdir)/"; fi; \
+         if test -f $$b$$p; then \
+           f=$(am__strip_dir) \
+           dlist="$$dlist $$f"; \
+           echo " $(ourpythonPYTHON_INSTALL) '$$b$$p' '$(DESTDIR)$(ourpythondir)/$$f'"; \
+           $(ourpythonPYTHON_INSTALL) "$$b$$p" "$(DESTDIR)$(ourpythondir)/$$f"; \
+         else :; fi; \
+       done; \
+       if test -n "$$dlist"; then \
+         if test -z "$(DESTDIR)"; then \
+           PYTHON=$(PYTHON) $(py_compile) --basedir "$(ourpythondir)" $$dlist; \
+         else \
+           PYTHON=$(PYTHON) $(py_compile) --destdir "$(DESTDIR)" --basedir "$(ourpythondir)" $$dlist; \
+         fi; \
+       else :; fi
+
+uninstall-ourpythonPYTHON:
+       @$(NORMAL_UNINSTALL)
+       @list='$(ourpython_PYTHON)'; dlist=''; for p in $$list; do\
+         f=$(am__strip_dir) \
+         rm -f "$(DESTDIR)$(ourpythondir)/$$f"; \
+         rm -f "$(DESTDIR)$(ourpythondir)/$${f}c"; \
+         rm -f "$(DESTDIR)$(ourpythondir)/$${f}o"; \
+       done
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile $(SCRIPTS)
+installdirs:
+       for dir in "$(DESTDIR)$(bindir)" "$(DESTDIR)$(ourpythondir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-ourpythonPYTHON
+
+install-dvi: install-dvi-am
+
+install-exec-am: install-dist_binSCRIPTS
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-dist_binSCRIPTS uninstall-ourpythonPYTHON
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am \
+       install-dist_binSCRIPTS install-dvi install-dvi-am \
+       install-exec install-exec-am install-html install-html-am \
+       install-info install-info-am install-man \
+       install-ourpythonPYTHON install-pdf install-pdf-am install-ps \
+       install-ps-am install-strip installcheck installcheck-am \
+       installdirs maintainer-clean maintainer-clean-generic \
+       mostlyclean mostlyclean-generic mostlyclean-libtool pdf pdf-am \
+       ps ps-am uninstall uninstall-am uninstall-dist_binSCRIPTS \
+       uninstall-ourpythonPYTHON
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-gsm-fr-vocoder/Makefile.in b/gr-gsm-fr-vocoder/Makefile.in
new file mode 100644 (file)
index 0000000..44c3556
--- /dev/null
@@ -0,0 +1,1030 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gr-gsm-fr-vocoder
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+SUBDIRS = src
+DIST_SUBDIRS = src
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-gsm-fr-vocoder/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-gsm-fr-vocoder/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-recursive
+all-am: Makefile
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive dist-hook distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-gsm-fr-vocoder/src/Makefile.in b/gr-gsm-fr-vocoder/src/Makefile.in
new file mode 100644 (file)
index 0000000..8e9fc3b
--- /dev/null
@@ -0,0 +1,896 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+subdir = gr-gsm-fr-vocoder/src
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+SUBDIRS = lib python
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am  $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-gsm-fr-vocoder/src/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-gsm-fr-vocoder/src/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+check-am: all-am
+check: check-recursive
+all-am: Makefile
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am
+
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-gsm-fr-vocoder/src/lib/Makefile.in b/gr-gsm-fr-vocoder/src/lib/Makefile.in
new file mode 100644 (file)
index 0000000..ec903d4
--- /dev/null
@@ -0,0 +1,1457 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004,2005,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# Makefile.swig.gen for gsm_full_rate.i
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(grinclude_HEADERS) $(gsm_full_rate_python_PYTHON) \
+       $(gsm_full_rate_swiginclude_HEADERS) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(srcdir)/Makefile.swig.gen \
+       $(top_srcdir)/Makefile.common $(top_srcdir)/Makefile.swig
+subdir = gr-gsm-fr-vocoder/src/lib
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(gsm_full_rate_pylibdir)" \
+       "$(DESTDIR)$(gsm_full_rate_pythondir)" \
+       "$(DESTDIR)$(grincludedir)" \
+       "$(DESTDIR)$(gsm_full_rate_swigincludedir)"
+gsm_full_rate_pylibLTLIBRARIES_INSTALL = $(INSTALL)
+LTLIBRARIES = $(gsm_full_rate_pylib_LTLIBRARIES)
+am__DEPENDENCIES_1 =
+am__DEPENDENCIES_2 = $(am__DEPENDENCIES_1) gsm/libgsm.la
+_gsm_full_rate_la_DEPENDENCIES = $(am__DEPENDENCIES_1) \
+       $(am__DEPENDENCIES_2)
+am__objects_1 = _gsm_full_rate_la-gsm_fr_decode_ps.lo \
+       _gsm_full_rate_la-gsm_fr_encode_sp.lo
+am__gsm_full_rate_la_OBJECTS = _gsm_full_rate_la-gsm_full_rate.lo \
+       $(am__objects_1)
+_gsm_full_rate_la_OBJECTS = $(am__gsm_full_rate_la_OBJECTS)
+_gsm_full_rate_la_LINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) \
+       $(LIBTOOLFLAGS) --mode=link $(CXXLD) \
+       $(_gsm_full_rate_la_CXXFLAGS) $(CXXFLAGS) \
+       $(_gsm_full_rate_la_LDFLAGS) $(LDFLAGS) -o $@
+DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
+depcomp = $(SHELL) $(top_srcdir)/depcomp
+am__depfiles_maybe = depfiles
+CXXCOMPILE = $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+LTCXXCOMPILE = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+CXXLD = $(CXX)
+CXXLINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CXXLD) $(AM_CXXFLAGS) $(CXXFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+SOURCES = $(_gsm_full_rate_la_SOURCES)
+DIST_SOURCES = $(_gsm_full_rate_la_SOURCES)
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+gsm_full_rate_pythonPYTHON_INSTALL = $(INSTALL_DATA)
+py_compile = $(top_srcdir)/py-compile
+grincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+gsm_full_rate_swigincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+HEADERS = $(grinclude_HEADERS) $(gsm_full_rate_swiginclude_HEADERS)
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = $(DEPDIR)/gsm_full_rate-generate-*
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp \
+       *.loT $(DEPDIR)/*.S*
+SUBDIRS = gsm .
+AM_CPPFLAGS = $(STD_DEFINES_AND_INCLUDES) $(PYTHON_CPPFLAGS) $(WITH_INCLUDES)
+
+# C/C++ headers get installed in ${prefix}/include/gnuradio
+grinclude_HEADERS = \
+       gsm_fr_decode_ps.h              \
+       gsm_fr_encode_sp.h
+
+
+# SWIG interface and library
+TOP_SWIG_IFILES = \
+       gsm_full_rate.i
+
+
+# Install so that they end up available as:
+#   import gnuradio.vocoder.gsm_full_rate
+# This ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio/vocoder
+gsm_full_rate_pythondir_category = \
+       gnuradio/vocoder
+
+
+# additional sources for the SWIG-generated library
+gsm_full_rate_la_swig_sources = \
+       gsm_fr_decode_ps.cc             \
+       gsm_fr_encode_sp.cc
+
+
+# additional libraries for linking with the SWIG-generated library
+gsm_full_rate_la_swig_libadd = \
+       $(GNURADIO_CORE_LA)             \
+       gsm/libgsm.la
+
+SWIG_PYTHON_FLAGS = \
+       -fvirtual       \
+       -python         \
+       -modern         \
+       -keyword        \
+       -w511           \
+       -outdir .
+
+STD_SWIG_PYTHON_ARGS = \
+       $(SWIG_PYTHON_FLAGS)                    \
+       $(STD_DEFINES_AND_INCLUDES)             \
+       $(WITH_SWIG_INCLUDES)                   \
+       $(WITH_INCLUDES)
+
+STD_SWIG_LA_LD_FLAGS = \
+       $(PYTHON_LDFLAGS)       \
+       -module                 \
+       -avoid-version          \
+       $(NO_UNDEFINED)
+
+STD_SWIG_LA_LIB_ADD = \
+       -lstdc++
+
+STD_SWIG_CXX_FLAGS = @swig_CXXFLAGS@
+SUFFIXES = .i
+swig_built_sources = gsm_full_rate.py gsm_full_rate.cc
+gsm_full_rate_pythondir = $(pythondir)/$(gsm_full_rate_pythondir_category)
+gsm_full_rate_pylibdir = $(pyexecdir)/$(gsm_full_rate_pylibdir_category)
+gsm_full_rate_swigincludedir = $(swigincludedir)
+gsm_full_rate_swiginclude_HEADERS = \
+       gsm_full_rate.i                 \
+       $(gsm_full_rate_swiginclude_headers)
+
+gsm_full_rate_pylib_LTLIBRARIES = \
+       _gsm_full_rate.la
+
+_gsm_full_rate_la_SOURCES = \
+       gsm_full_rate.cc                        \
+       $(gsm_full_rate_la_swig_sources)
+
+_gsm_full_rate_la_LIBADD = \
+       $(STD_SWIG_LA_LIB_ADD)          \
+       $(gsm_full_rate_la_swig_libadd)
+
+_gsm_full_rate_la_LDFLAGS = \
+       $(STD_SWIG_LA_LD_FLAGS)         \
+       $(gsm_full_rate_la_swig_ldflags)
+
+_gsm_full_rate_la_CXXFLAGS = \
+       $(STD_SWIG_CXX_FLAGS)           \
+       $(gsm_full_rate_la_swig_cxxflags)
+
+gsm_full_rate_python_PYTHON = \
+       gsm_full_rate.py                        \
+       $(gsm_full_rate_python)
+
+
+# add some of the variables generated inside the Makefile.swig.gen
+BUILT_SOURCES = $(swig_built_sources)
+
+# Do not distribute the output of SWIG
+no_dist_files = $(swig_built_sources)
+all: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) all-recursive
+
+.SUFFIXES:
+.SUFFIXES: .i .cc .lo .o .obj
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(top_srcdir)/Makefile.swig $(srcdir)/Makefile.swig.gen $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-gsm-fr-vocoder/src/lib/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-gsm-fr-vocoder/src/lib/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+install-gsm_full_rate_pylibLTLIBRARIES: $(gsm_full_rate_pylib_LTLIBRARIES)
+       @$(NORMAL_INSTALL)
+       test -z "$(gsm_full_rate_pylibdir)" || $(MKDIR_P) "$(DESTDIR)$(gsm_full_rate_pylibdir)"
+       @list='$(gsm_full_rate_pylib_LTLIBRARIES)'; for p in $$list; do \
+         if test -f $$p; then \
+           f=$(am__strip_dir) \
+           echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(gsm_full_rate_pylibLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) '$$p' '$(DESTDIR)$(gsm_full_rate_pylibdir)/$$f'"; \
+           $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(gsm_full_rate_pylibLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) "$$p" "$(DESTDIR)$(gsm_full_rate_pylibdir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-gsm_full_rate_pylibLTLIBRARIES:
+       @$(NORMAL_UNINSTALL)
+       @list='$(gsm_full_rate_pylib_LTLIBRARIES)'; for p in $$list; do \
+         p=$(am__strip_dir) \
+         echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f '$(DESTDIR)$(gsm_full_rate_pylibdir)/$$p'"; \
+         $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f "$(DESTDIR)$(gsm_full_rate_pylibdir)/$$p"; \
+       done
+
+clean-gsm_full_rate_pylibLTLIBRARIES:
+       -test -z "$(gsm_full_rate_pylib_LTLIBRARIES)" || rm -f $(gsm_full_rate_pylib_LTLIBRARIES)
+       @list='$(gsm_full_rate_pylib_LTLIBRARIES)'; for p in $$list; do \
+         dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
+         test "$$dir" != "$$p" || dir=.; \
+         echo "rm -f \"$${dir}/so_locations\""; \
+         rm -f "$${dir}/so_locations"; \
+       done
+_gsm_full_rate.la: $(_gsm_full_rate_la_OBJECTS) $(_gsm_full_rate_la_DEPENDENCIES) 
+       $(_gsm_full_rate_la_LINK) -rpath $(gsm_full_rate_pylibdir) $(_gsm_full_rate_la_OBJECTS) $(_gsm_full_rate_la_LIBADD) $(LIBS)
+
+mostlyclean-compile:
+       -rm -f *.$(OBJEXT)
+
+distclean-compile:
+       -rm -f *.tab.c
+
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_gsm_full_rate_la-gsm_fr_decode_ps.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_gsm_full_rate_la-gsm_fr_encode_sp.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_gsm_full_rate_la-gsm_full_rate.Plo@am__quote@
+
+.cc.o:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ $<
+
+.cc.obj:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ `$(CYGPATH_W) '$<'`
+
+.cc.lo:
+@am__fastdepCXX_TRUE@  $(LTCXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LTCXXCOMPILE) -c -o $@ $<
+
+_gsm_full_rate_la-gsm_full_rate.lo: gsm_full_rate.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_gsm_full_rate_la_CXXFLAGS) $(CXXFLAGS) -MT _gsm_full_rate_la-gsm_full_rate.lo -MD -MP -MF $(DEPDIR)/_gsm_full_rate_la-gsm_full_rate.Tpo -c -o _gsm_full_rate_la-gsm_full_rate.lo `test -f 'gsm_full_rate.cc' || echo '$(srcdir)/'`gsm_full_rate.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_gsm_full_rate_la-gsm_full_rate.Tpo $(DEPDIR)/_gsm_full_rate_la-gsm_full_rate.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='gsm_full_rate.cc' object='_gsm_full_rate_la-gsm_full_rate.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_gsm_full_rate_la_CXXFLAGS) $(CXXFLAGS) -c -o _gsm_full_rate_la-gsm_full_rate.lo `test -f 'gsm_full_rate.cc' || echo '$(srcdir)/'`gsm_full_rate.cc
+
+_gsm_full_rate_la-gsm_fr_decode_ps.lo: gsm_fr_decode_ps.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_gsm_full_rate_la_CXXFLAGS) $(CXXFLAGS) -MT _gsm_full_rate_la-gsm_fr_decode_ps.lo -MD -MP -MF $(DEPDIR)/_gsm_full_rate_la-gsm_fr_decode_ps.Tpo -c -o _gsm_full_rate_la-gsm_fr_decode_ps.lo `test -f 'gsm_fr_decode_ps.cc' || echo '$(srcdir)/'`gsm_fr_decode_ps.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_gsm_full_rate_la-gsm_fr_decode_ps.Tpo $(DEPDIR)/_gsm_full_rate_la-gsm_fr_decode_ps.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='gsm_fr_decode_ps.cc' object='_gsm_full_rate_la-gsm_fr_decode_ps.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_gsm_full_rate_la_CXXFLAGS) $(CXXFLAGS) -c -o _gsm_full_rate_la-gsm_fr_decode_ps.lo `test -f 'gsm_fr_decode_ps.cc' || echo '$(srcdir)/'`gsm_fr_decode_ps.cc
+
+_gsm_full_rate_la-gsm_fr_encode_sp.lo: gsm_fr_encode_sp.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_gsm_full_rate_la_CXXFLAGS) $(CXXFLAGS) -MT _gsm_full_rate_la-gsm_fr_encode_sp.lo -MD -MP -MF $(DEPDIR)/_gsm_full_rate_la-gsm_fr_encode_sp.Tpo -c -o _gsm_full_rate_la-gsm_fr_encode_sp.lo `test -f 'gsm_fr_encode_sp.cc' || echo '$(srcdir)/'`gsm_fr_encode_sp.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_gsm_full_rate_la-gsm_fr_encode_sp.Tpo $(DEPDIR)/_gsm_full_rate_la-gsm_fr_encode_sp.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='gsm_fr_encode_sp.cc' object='_gsm_full_rate_la-gsm_fr_encode_sp.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_gsm_full_rate_la_CXXFLAGS) $(CXXFLAGS) -c -o _gsm_full_rate_la-gsm_fr_encode_sp.lo `test -f 'gsm_fr_encode_sp.cc' || echo '$(srcdir)/'`gsm_fr_encode_sp.cc
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-gsm_full_rate_pythonPYTHON: $(gsm_full_rate_python_PYTHON)
+       @$(NORMAL_INSTALL)
+       test -z "$(gsm_full_rate_pythondir)" || $(MKDIR_P) "$(DESTDIR)$(gsm_full_rate_pythondir)"
+       @list='$(gsm_full_rate_python_PYTHON)'; dlist=''; for p in $$list; do\
+         if test -f "$$p"; then b=; else b="$(srcdir)/"; fi; \
+         if test -f $$b$$p; then \
+           f=$(am__strip_dir) \
+           dlist="$$dlist $$f"; \
+           echo " $(gsm_full_rate_pythonPYTHON_INSTALL) '$$b$$p' '$(DESTDIR)$(gsm_full_rate_pythondir)/$$f'"; \
+           $(gsm_full_rate_pythonPYTHON_INSTALL) "$$b$$p" "$(DESTDIR)$(gsm_full_rate_pythondir)/$$f"; \
+         else :; fi; \
+       done; \
+       if test -n "$$dlist"; then \
+         if test -z "$(DESTDIR)"; then \
+           PYTHON=$(PYTHON) $(py_compile) --basedir "$(gsm_full_rate_pythondir)" $$dlist; \
+         else \
+           PYTHON=$(PYTHON) $(py_compile) --destdir "$(DESTDIR)" --basedir "$(gsm_full_rate_pythondir)" $$dlist; \
+         fi; \
+       else :; fi
+
+uninstall-gsm_full_rate_pythonPYTHON:
+       @$(NORMAL_UNINSTALL)
+       @list='$(gsm_full_rate_python_PYTHON)'; dlist=''; for p in $$list; do\
+         f=$(am__strip_dir) \
+         rm -f "$(DESTDIR)$(gsm_full_rate_pythondir)/$$f"; \
+         rm -f "$(DESTDIR)$(gsm_full_rate_pythondir)/$${f}c"; \
+         rm -f "$(DESTDIR)$(gsm_full_rate_pythondir)/$${f}o"; \
+       done
+install-grincludeHEADERS: $(grinclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(grincludedir)" || $(MKDIR_P) "$(DESTDIR)$(grincludedir)"
+       @list='$(grinclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(grincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(grincludedir)/$$f'"; \
+         $(grincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(grincludedir)/$$f"; \
+       done
+
+uninstall-grincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(grinclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(grincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(grincludedir)/$$f"; \
+       done
+install-gsm_full_rate_swigincludeHEADERS: $(gsm_full_rate_swiginclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(gsm_full_rate_swigincludedir)" || $(MKDIR_P) "$(DESTDIR)$(gsm_full_rate_swigincludedir)"
+       @list='$(gsm_full_rate_swiginclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(gsm_full_rate_swigincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(gsm_full_rate_swigincludedir)/$$f'"; \
+         $(gsm_full_rate_swigincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(gsm_full_rate_swigincludedir)/$$f"; \
+       done
+
+uninstall-gsm_full_rate_swigincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(gsm_full_rate_swiginclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(gsm_full_rate_swigincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(gsm_full_rate_swigincludedir)/$$f"; \
+       done
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) check-recursive
+all-am: Makefile $(LTLIBRARIES) $(HEADERS)
+installdirs: installdirs-recursive
+installdirs-am:
+       for dir in "$(DESTDIR)$(gsm_full_rate_pylibdir)" "$(DESTDIR)$(gsm_full_rate_pythondir)" "$(DESTDIR)$(grincludedir)" "$(DESTDIR)$(gsm_full_rate_swigincludedir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+       -test -z "$(BUILT_SOURCES)" || rm -f $(BUILT_SOURCES)
+clean: clean-recursive
+
+clean-am: clean-generic clean-gsm_full_rate_pylibLTLIBRARIES \
+       clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+distclean-am: clean-am distclean-compile distclean-generic \
+       distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am: install-grincludeHEADERS \
+       install-gsm_full_rate_pylibLTLIBRARIES \
+       install-gsm_full_rate_pythonPYTHON \
+       install-gsm_full_rate_swigincludeHEADERS
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-compile mostlyclean-generic \
+       mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am: uninstall-grincludeHEADERS \
+       uninstall-gsm_full_rate_pylibLTLIBRARIES \
+       uninstall-gsm_full_rate_pythonPYTHON \
+       uninstall-gsm_full_rate_swigincludeHEADERS
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic \
+       clean-gsm_full_rate_pylibLTLIBRARIES clean-libtool ctags \
+       ctags-recursive dist-hook distclean distclean-compile \
+       distclean-generic distclean-libtool distclean-tags distdir dvi \
+       dvi-am html html-am info info-am install install-am \
+       install-data install-data-am install-dvi install-dvi-am \
+       install-exec install-exec-am install-grincludeHEADERS \
+       install-gsm_full_rate_pylibLTLIBRARIES \
+       install-gsm_full_rate_pythonPYTHON \
+       install-gsm_full_rate_swigincludeHEADERS install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-ps install-ps-am \
+       install-strip installcheck installcheck-am installdirs \
+       installdirs-am maintainer-clean maintainer-clean-generic \
+       mostlyclean mostlyclean-compile mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am uninstall-grincludeHEADERS \
+       uninstall-gsm_full_rate_pylibLTLIBRARIES \
+       uninstall-gsm_full_rate_pythonPYTHON \
+       uninstall-gsm_full_rate_swigincludeHEADERS
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+
+generate-makefile-swig $(srcdir)/Makefile.swig.gen: $(top_srcdir)/Makefile.swig.gen.t
+       @do_recreate=0; \
+       if test -f $(srcdir)/Makefile.swig.gen; then \
+               if $(RM) $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                       if touch $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                               do_recreate=1; \
+                       fi; \
+               fi; \
+       else \
+               if touch $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                       do_recreate=1; \
+               fi; \
+       fi; \
+       if test "$$do_recreate" == "1"; then \
+               echo "Regenerating $(srcdir)/Makefile.swig.gen"; \
+               for TFILE in $(TOP_SWIG_IFILES); do \
+                       TNAME=`python -c "import os.path as op; (dN, fN) = op.split ('$$TFILE'); (fbN, fE) = op.splitext (fN); print fbN;"`; \
+                       $(SED) -e 's|@NAME@|'$$TNAME'|g;' < $(top_srcdir)/Makefile.swig.gen.t >> $(srcdir)/Makefile.swig.gen; \
+                       echo "" >> $(srcdir)/Makefile.swig.gen; \
+               done; \
+       else \
+               echo "Cannot recreate $(srcdir)/Makefile.swig.gen because the directory or file is write-protected."; \
+               exit -1; \
+       fi;
+
+gsm_full_rate_pythondir_category ?= gnuradio/gsm_full_rate
+gsm_full_rate_pylibdir_category ?= $(gsm_full_rate_pythondir_category)
+
+gsm_full_rate.h gsm_full_rate.py gsm_full_rate.cc: gsm_full_rate.i
+       trap 'rm -rf $(DEPDIR)/gsm_full_rate-generate-*' 1 2 13 15; \
+       if mkdir $(DEPDIR)/gsm_full_rate-generate-lock 2>/dev/null; then \
+               rm -f $(DEPDIR)/gsm_full_rate-generate-stamp; \
+               $(MAKE) $(AM_MAKEFLAGS) $(DEPDIR)/gsm_full_rate-generate-stamp WHAT=$<; \
+               rmdir $(DEPDIR)/gsm_full_rate-generate-lock; \
+       else \
+               while test -d $(DEPDIR)/gsm_full_rate-generate-lock; do \
+                       sleep 1; \
+               done; \
+               test -f $(DEPDIR)/gsm_full_rate-generate-stamp; \
+               exit $$?; \
+       fi;
+
+$(DEPDIR)/gsm_full_rate-generate-stamp:
+       if $(SWIG) $(STD_SWIG_PYTHON_ARGS) $(gsm_full_rate_swig_args) \
+               -MD -MF $(DEPDIR)/gsm_full_rate.Std \
+               -module gsm_full_rate -o gsm_full_rate.cc $(WHAT); then \
+           if test $(host_os) = mingw32; then \
+               $(RM) $(DEPDIR)/gsm_full_rate.Sd; \
+               $(SED) 's,\\\\,/,g' < $(DEPDIR)/gsm_full_rate.Std \
+                       > $(DEPDIR)/gsm_full_rate.Sd; \
+               $(RM) $(DEPDIR)/gsm_full_rate.Std; \
+               $(MV) $(DEPDIR)/gsm_full_rate.Sd $(DEPDIR)/gsm_full_rate.Std; \
+           fi; \
+       else \
+           $(RM) $(DEPDIR)/gsm_full_rate.S*; exit 1; \
+       fi;
+       $(RM) $(DEPDIR)/gsm_full_rate.d
+       cp $(DEPDIR)/gsm_full_rate.Std $(DEPDIR)/gsm_full_rate.d
+       echo "" >> $(DEPDIR)/gsm_full_rate.d
+       $(SED) -e '1d;s, \\,,g;s, ,,g' < $(DEPDIR)/gsm_full_rate.Std | \
+               awk '{ printf "%s:\n\n", $$0 }' >> $(DEPDIR)/gsm_full_rate.d
+       $(RM) $(DEPDIR)/gsm_full_rate.Std
+       touch $(DEPDIR)/gsm_full_rate-generate-stamp
+
+# KLUDGE: Force runtime include of a SWIG dependency file.  This is
+# not guaranteed to be portable, but will probably work.  If it works,
+# we have accurate dependencies for our swig stuff, which is good.
+
+@am__include@ @am__quote@./$(DEPDIR)/gsm_full_rate.d@am__quote@
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-gsm-fr-vocoder/src/lib/gsm/Makefile.in b/gr-gsm-fr-vocoder/src/lib/gsm/Makefile.in
new file mode 100644 (file)
index 0000000..f1b72f5
--- /dev/null
@@ -0,0 +1,1051 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2005,2008 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = README $(noinst_HEADERS) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(top_srcdir)/Makefile.common
+subdir = gr-gsm-fr-vocoder/src/lib/gsm
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+LTLIBRARIES = $(noinst_LTLIBRARIES)
+libgsm_la_LIBADD =
+am_libgsm_la_OBJECTS = add.lo code.lo debug.lo decode.lo gsm_create.lo \
+       gsm_decode.lo gsm_destroy.lo gsm_encode.lo gsm_explode.lo \
+       gsm_implode.lo gsm_option.lo gsm_print.lo long_term.lo lpc.lo \
+       preprocess.lo rpe.lo short_term.lo table.lo
+libgsm_la_OBJECTS = $(am_libgsm_la_OBJECTS)
+DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
+depcomp = $(SHELL) $(top_srcdir)/depcomp
+am__depfiles_maybe = depfiles
+COMPILE = $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) \
+       $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
+LTCOMPILE = $(LIBTOOL) --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
+CCLD = $(CC)
+LINK = $(LIBTOOL) --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CCLD) $(AM_CFLAGS) $(CFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+SOURCES = $(libgsm_la_SOURCES)
+DIST_SOURCES = $(libgsm_la_SOURCES)
+HEADERS = $(noinst_HEADERS)
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+
+# Machine- or installation dependent flags you should configure to port
+SASR = -DSASR
+######### Define SASR if >> is a signed arithmetic shift (-1 >> 1 == -1)
+MULHACK = -DUSE_FLOAT_MUL
+######### Define this if your host multiplies floats faster than integers,
+######### e.g. on a SPARCstation.
+FAST = -DFAST
+######### Define together with USE_FLOAT_MUL to enable the GSM library's
+######### approximation option for incorrect, but good-enough results.
+
+# LTP_CUT      = -DLTP_CUT
+LTP_CUT = 
+######### Define to enable the GSM library's long-term correlation 
+######### approximation option---faster, but worse; works for
+######### both integer and floating point multiplications.
+######### This flag is still in the experimental stage.
+OPTIONS = $(SASR) $(MULHACK) $(FAST) $(LTP_CUT)
+AM_CPPFLAGS = $(STD_DEFINES_AND_INCLUDES) -DNeedFunctionPrototypes=1 \
+       $(OPTIONS) $(WITH_INCLUDES)
+
+noinst_LTLIBRARIES = libgsm.la
+libgsm_la_SOURCES = \
+       add.c           \
+       code.c          \
+       debug.c         \
+       decode.c        \
+       gsm_create.c    \
+       gsm_decode.c    \
+       gsm_destroy.c   \
+       gsm_encode.c    \
+       gsm_explode.c   \
+       gsm_implode.c   \
+       gsm_option.c    \
+       gsm_print.c     \
+       long_term.c     \
+       lpc.c           \
+       preprocess.c    \
+       rpe.c           \
+       short_term.c    \
+       table.c         
+
+noinst_HEADERS = \
+       config.h        \
+       gsm.h           \
+       private.h       \
+       proto.h         \
+       unproto.h       
+
+all: all-am
+
+.SUFFIXES:
+.SUFFIXES: .c .lo .o .obj
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-gsm-fr-vocoder/src/lib/gsm/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-gsm-fr-vocoder/src/lib/gsm/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+clean-noinstLTLIBRARIES:
+       -test -z "$(noinst_LTLIBRARIES)" || rm -f $(noinst_LTLIBRARIES)
+       @list='$(noinst_LTLIBRARIES)'; for p in $$list; do \
+         dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
+         test "$$dir" != "$$p" || dir=.; \
+         echo "rm -f \"$${dir}/so_locations\""; \
+         rm -f "$${dir}/so_locations"; \
+       done
+libgsm.la: $(libgsm_la_OBJECTS) $(libgsm_la_DEPENDENCIES) 
+       $(LINK)  $(libgsm_la_OBJECTS) $(libgsm_la_LIBADD) $(LIBS)
+
+mostlyclean-compile:
+       -rm -f *.$(OBJEXT)
+
+distclean-compile:
+       -rm -f *.tab.c
+
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/add.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/code.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/debug.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/decode.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gsm_create.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gsm_decode.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gsm_destroy.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gsm_encode.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gsm_explode.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gsm_implode.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gsm_option.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gsm_print.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/long_term.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/lpc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/preprocess.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/rpe.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/short_term.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/table.Plo@am__quote@
+
+.c.o:
+@am__fastdepCC_TRUE@   $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(COMPILE) -c $<
+
+.c.obj:
+@am__fastdepCC_TRUE@   $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(COMPILE) -c `$(CYGPATH_W) '$<'`
+
+.c.lo:
+@am__fastdepCC_TRUE@   $(LTCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(LTCOMPILE) -c -o $@ $<
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile $(LTLIBRARIES) $(HEADERS)
+installdirs:
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool clean-noinstLTLIBRARIES \
+       mostlyclean-am
+
+distclean: distclean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+distclean-am: clean-am distclean-compile distclean-generic \
+       distclean-tags
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-compile mostlyclean-generic \
+       mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: install-am install-strip
+
+.PHONY: CTAGS GTAGS all all-am check check-am clean clean-generic \
+       clean-libtool clean-noinstLTLIBRARIES ctags dist-hook \
+       distclean distclean-compile distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-compile \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       tags uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-gsm-fr-vocoder/src/python/Makefile.in b/gr-gsm-fr-vocoder/src/python/Makefile.in
new file mode 100644 (file)
index 0000000..d84e4e1
--- /dev/null
@@ -0,0 +1,971 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(noinst_PYTHON) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(srcdir)/run_tests.in \
+       $(top_srcdir)/Makefile.common
+subdir = gr-gsm-fr-vocoder/src/python
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES = run_tests
+SOURCES =
+DIST_SOURCES =
+py_compile = $(top_srcdir)/py-compile
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+EXTRA_DIST = run_tests.in
+TESTS = \
+       run_tests
+
+noinst_PYTHON = \
+       encdec.py                       \
+       qa_gsm_full_rate.py             
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-gsm-fr-vocoder/src/python/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-gsm-fr-vocoder/src/python/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+run_tests: $(top_builddir)/config.status $(srcdir)/run_tests.in
+       cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+check-TESTS: $(TESTS)
+       @failed=0; all=0; xfail=0; xpass=0; skip=0; \
+       srcdir=$(srcdir); export srcdir; \
+       list=' $(TESTS) '; \
+       if test -n "$$list"; then \
+         for tst in $$list; do \
+           if test -f ./$$tst; then dir=./; \
+           elif test -f $$tst; then dir=; \
+           else dir="$(srcdir)/"; fi; \
+           if $(TESTS_ENVIRONMENT) $${dir}$$tst; then \
+             all=`expr $$all + 1`; \
+             case " $(XFAIL_TESTS) " in \
+             *[\ \     ]$$tst[\ \      ]*) \
+               xpass=`expr $$xpass + 1`; \
+               failed=`expr $$failed + 1`; \
+               echo "XPASS: $$tst"; \
+             ;; \
+             *) \
+               echo "PASS: $$tst"; \
+             ;; \
+             esac; \
+           elif test $$? -ne 77; then \
+             all=`expr $$all + 1`; \
+             case " $(XFAIL_TESTS) " in \
+             *[\ \     ]$$tst[\ \      ]*) \
+               xfail=`expr $$xfail + 1`; \
+               echo "XFAIL: $$tst"; \
+             ;; \
+             *) \
+               failed=`expr $$failed + 1`; \
+               echo "FAIL: $$tst"; \
+             ;; \
+             esac; \
+           else \
+             skip=`expr $$skip + 1`; \
+             echo "SKIP: $$tst"; \
+           fi; \
+         done; \
+         if test "$$all" -eq 1; then \
+           tests="test"; \
+           All=""; \
+         else \
+           tests="tests"; \
+           All="All "; \
+         fi; \
+         if test "$$failed" -eq 0; then \
+           if test "$$xfail" -eq 0; then \
+             banner="$$All$$all $$tests passed"; \
+           else \
+             if test "$$xfail" -eq 1; then failures=failure; else failures=failures; fi; \
+             banner="$$All$$all $$tests behaved as expected ($$xfail expected $$failures)"; \
+           fi; \
+         else \
+           if test "$$xpass" -eq 0; then \
+             banner="$$failed of $$all $$tests failed"; \
+           else \
+             if test "$$xpass" -eq 1; then passes=pass; else passes=passes; fi; \
+             banner="$$failed of $$all $$tests did not behave as expected ($$xpass unexpected $$passes)"; \
+           fi; \
+         fi; \
+         dashes="$$banner"; \
+         skipped=""; \
+         if test "$$skip" -ne 0; then \
+           if test "$$skip" -eq 1; then \
+             skipped="($$skip test was not run)"; \
+           else \
+             skipped="($$skip tests were not run)"; \
+           fi; \
+           test `echo "$$skipped" | wc -c` -le `echo "$$banner" | wc -c` || \
+             dashes="$$skipped"; \
+         fi; \
+         report=""; \
+         if test "$$failed" -ne 0 && test -n "$(PACKAGE_BUGREPORT)"; then \
+           report="Please report to $(PACKAGE_BUGREPORT)"; \
+           test `echo "$$report" | wc -c` -le `echo "$$banner" | wc -c` || \
+             dashes="$$report"; \
+         fi; \
+         dashes=`echo "$$dashes" | sed s/./=/g`; \
+         echo "$$dashes"; \
+         echo "$$banner"; \
+         test -z "$$skipped" || echo "$$skipped"; \
+         test -z "$$report" || echo "$$report"; \
+         echo "$$dashes"; \
+         test "$$failed" -eq 0; \
+       else :; fi
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+       $(MAKE) $(AM_MAKEFLAGS) check-TESTS
+check: check-am
+all-am: Makefile
+installdirs:
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-TESTS check-am clean clean-generic \
+       clean-libtool dist-hook distclean distclean-generic \
+       distclean-libtool distdir dvi dvi-am html html-am info info-am \
+       install install-am install-data install-data-am install-dvi \
+       install-dvi-am install-exec install-exec-am install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-ps install-ps-am \
+       install-strip installcheck installcheck-am installdirs \
+       maintainer-clean maintainer-clean-generic mostlyclean \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-pager/Makefile.in b/gr-pager/Makefile.in
new file mode 100644 (file)
index 0000000..9172579
--- /dev/null
@@ -0,0 +1,1030 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2006,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = README $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gr-pager
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+SUBDIRS = src
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-pager/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-pager/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-recursive
+all-am: Makefile
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive dist-hook distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-pager/src/Makefile.am b/gr-pager/src/Makefile.am
new file mode 100644 (file)
index 0000000..b12f7b8
--- /dev/null
@@ -0,0 +1,95 @@
+#
+# Copyright 2004,2005,2006,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+include $(top_srcdir)/Makefile.common
+
+EXTRA_DIST = run_tests.in
+
+TESTS = run_tests
+
+DISTCLEANFILES = run_tests
+
+AM_CPPFLAGS = $(STD_DEFINES_AND_INCLUDES) $(PYTHON_CPPFLAGS) $(WITH_INCLUDES)
+
+dist_bin_SCRIPTS = \
+       usrp_flex.py \
+       usrp_flex_all.py \
+       usrp_flex_band.py
+
+noinst_PYTHON = \
+       qa_pager.py
+
+# These headers get installed in ${prefix}/include/gnuradio
+grinclude_HEADERS = \
+       pager_slicer_fb.h \
+       pager_flex_sync.h \
+       pager_flex_deinterleave.h \
+       pager_flex_parse.h \
+       pager_flex_frame.h \
+       pageri_bch3221.h \
+       pageri_flex_modes.h \
+       pageri_util.h
+
+##############################
+# SWIG interface and library
+
+TOP_SWIG_IFILES = \
+       pager_swig.i
+
+# Install so that they end up available as:
+#   import gnuradio.pager
+# This ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio/pager
+pager_swig_pythondir_category = \
+       gnuradio/pager
+
+# additional sources for the SWIG-generated library
+pager_swig_la_swig_sources = \
+       pager_flex_frame.cc \
+       pager_slicer_fb.cc \
+       pager_flex_sync.cc \
+       pager_flex_deinterleave.cc \
+       pager_flex_parse.cc \
+       pageri_bch3221.cc \
+       pageri_flex_modes.cc \
+       pageri_util.cc
+
+# additional libraries for linking with the SWIG-generated library
+pager_swig_la_swig_libadd = \
+       $(GNURADIO_CORE_LA)
+
+# additional Python files to be installed along with the SWIG-generated one
+pager_swig_python = \
+       __init__.py \
+       pager_utils.py \
+       flex_demod.py
+
+# additional SWIG files to be installed
+pager_swig_swiginclude_headers = \
+       pager_flex_frame.i
+
+include $(top_srcdir)/Makefile.swig
+
+# add some of the variables generated inside the Makefile.swig.gen
+BUILT_SOURCES = $(swig_built_sources)
+
+# Do not distribute the output of SWIG
+no_dist_files = $(swig_built_sources)
diff --git a/gr-pager/src/Makefile.in b/gr-pager/src/Makefile.in
new file mode 100644 (file)
index 0000000..2dad10f
--- /dev/null
@@ -0,0 +1,1547 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004,2005,2006,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# Makefile.swig.gen for pager_swig.i
+
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(dist_bin_SCRIPTS) $(grinclude_HEADERS) \
+       $(noinst_PYTHON) $(pager_swig_python_PYTHON) \
+       $(pager_swig_swiginclude_HEADERS) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(srcdir)/Makefile.swig.gen \
+       $(srcdir)/run_tests.in $(top_srcdir)/Makefile.common \
+       $(top_srcdir)/Makefile.swig
+subdir = gr-pager/src
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES = run_tests
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(pager_swig_pylibdir)" \
+       "$(DESTDIR)$(bindir)" "$(DESTDIR)$(pager_swig_pythondir)" \
+       "$(DESTDIR)$(grincludedir)" \
+       "$(DESTDIR)$(pager_swig_swigincludedir)"
+pager_swig_pylibLTLIBRARIES_INSTALL = $(INSTALL)
+LTLIBRARIES = $(pager_swig_pylib_LTLIBRARIES)
+am__DEPENDENCIES_1 =
+am__DEPENDENCIES_2 = $(am__DEPENDENCIES_1)
+_pager_swig_la_DEPENDENCIES = $(am__DEPENDENCIES_1) \
+       $(am__DEPENDENCIES_2)
+am__objects_1 = _pager_swig_la-pager_flex_frame.lo \
+       _pager_swig_la-pager_slicer_fb.lo \
+       _pager_swig_la-pager_flex_sync.lo \
+       _pager_swig_la-pager_flex_deinterleave.lo \
+       _pager_swig_la-pager_flex_parse.lo \
+       _pager_swig_la-pageri_bch3221.lo \
+       _pager_swig_la-pageri_flex_modes.lo \
+       _pager_swig_la-pageri_util.lo
+am__pager_swig_la_OBJECTS = _pager_swig_la-pager_swig.lo \
+       $(am__objects_1)
+_pager_swig_la_OBJECTS = $(am__pager_swig_la_OBJECTS)
+_pager_swig_la_LINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) \
+       $(LIBTOOLFLAGS) --mode=link $(CXXLD) \
+       $(_pager_swig_la_CXXFLAGS) $(CXXFLAGS) \
+       $(_pager_swig_la_LDFLAGS) $(LDFLAGS) -o $@
+dist_binSCRIPT_INSTALL = $(INSTALL_SCRIPT)
+SCRIPTS = $(dist_bin_SCRIPTS)
+DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
+depcomp = $(SHELL) $(top_srcdir)/depcomp
+am__depfiles_maybe = depfiles
+CXXCOMPILE = $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+LTCXXCOMPILE = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+CXXLD = $(CXX)
+CXXLINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CXXLD) $(AM_CXXFLAGS) $(CXXFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+SOURCES = $(_pager_swig_la_SOURCES)
+DIST_SOURCES = $(_pager_swig_la_SOURCES)
+pager_swig_pythonPYTHON_INSTALL = $(INSTALL_DATA)
+py_compile = $(top_srcdir)/py-compile
+grincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+pager_swig_swigincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+HEADERS = $(grinclude_HEADERS) $(pager_swig_swiginclude_HEADERS)
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = $(DEPDIR)/pager_swig-generate-*
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp \
+       *.loT $(DEPDIR)/*.S*
+EXTRA_DIST = run_tests.in
+TESTS = run_tests
+DISTCLEANFILES = run_tests
+AM_CPPFLAGS = $(STD_DEFINES_AND_INCLUDES) $(PYTHON_CPPFLAGS) $(WITH_INCLUDES)
+dist_bin_SCRIPTS = \
+       usrp_flex.py \
+       usrp_flex_all.py \
+       usrp_flex_band.py
+
+noinst_PYTHON = \
+       qa_pager.py
+
+
+# These headers get installed in ${prefix}/include/gnuradio
+grinclude_HEADERS = \
+       pager_slicer_fb.h \
+       pager_flex_sync.h \
+       pager_flex_deinterleave.h \
+       pager_flex_parse.h \
+       pager_flex_frame.h \
+       pageri_bch3221.h \
+       pageri_flex_modes.h \
+       pageri_util.h
+
+
+##############################
+# SWIG interface and library
+TOP_SWIG_IFILES = \
+       pager_swig.i
+
+
+# Install so that they end up available as:
+#   import gnuradio.pager
+# This ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio/pager
+pager_swig_pythondir_category = \
+       gnuradio/pager
+
+
+# additional sources for the SWIG-generated library
+pager_swig_la_swig_sources = \
+       pager_flex_frame.cc \
+       pager_slicer_fb.cc \
+       pager_flex_sync.cc \
+       pager_flex_deinterleave.cc \
+       pager_flex_parse.cc \
+       pageri_bch3221.cc \
+       pageri_flex_modes.cc \
+       pageri_util.cc
+
+
+# additional libraries for linking with the SWIG-generated library
+pager_swig_la_swig_libadd = \
+       $(GNURADIO_CORE_LA)
+
+
+# additional Python files to be installed along with the SWIG-generated one
+pager_swig_python = \
+       __init__.py \
+       pager_utils.py \
+       flex_demod.py
+
+
+# additional SWIG files to be installed
+pager_swig_swiginclude_headers = \
+       pager_flex_frame.i
+
+SWIG_PYTHON_FLAGS = \
+       -fvirtual       \
+       -python         \
+       -modern         \
+       -keyword        \
+       -w511           \
+       -outdir .
+
+STD_SWIG_PYTHON_ARGS = \
+       $(SWIG_PYTHON_FLAGS)                    \
+       $(STD_DEFINES_AND_INCLUDES)             \
+       $(WITH_SWIG_INCLUDES)                   \
+       $(WITH_INCLUDES)
+
+STD_SWIG_LA_LD_FLAGS = \
+       $(PYTHON_LDFLAGS)       \
+       -module                 \
+       -avoid-version          \
+       $(NO_UNDEFINED)
+
+STD_SWIG_LA_LIB_ADD = \
+       -lstdc++
+
+STD_SWIG_CXX_FLAGS = @swig_CXXFLAGS@
+SUFFIXES = .i
+swig_built_sources = pager_swig.py pager_swig.cc
+pager_swig_pythondir = $(pythondir)/$(pager_swig_pythondir_category)
+pager_swig_pylibdir = $(pyexecdir)/$(pager_swig_pylibdir_category)
+pager_swig_swigincludedir = $(swigincludedir)
+pager_swig_swiginclude_HEADERS = \
+       pager_swig.i                    \
+       $(pager_swig_swiginclude_headers)
+
+pager_swig_pylib_LTLIBRARIES = \
+       _pager_swig.la
+
+_pager_swig_la_SOURCES = \
+       pager_swig.cc                   \
+       $(pager_swig_la_swig_sources)
+
+_pager_swig_la_LIBADD = \
+       $(STD_SWIG_LA_LIB_ADD)          \
+       $(pager_swig_la_swig_libadd)
+
+_pager_swig_la_LDFLAGS = \
+       $(STD_SWIG_LA_LD_FLAGS)         \
+       $(pager_swig_la_swig_ldflags)
+
+_pager_swig_la_CXXFLAGS = \
+       $(STD_SWIG_CXX_FLAGS)           \
+       $(pager_swig_la_swig_cxxflags)
+
+pager_swig_python_PYTHON = \
+       pager_swig.py                   \
+       $(pager_swig_python)
+
+
+# add some of the variables generated inside the Makefile.swig.gen
+BUILT_SOURCES = $(swig_built_sources)
+
+# Do not distribute the output of SWIG
+no_dist_files = $(swig_built_sources)
+all: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) all-am
+
+.SUFFIXES:
+.SUFFIXES: .i .cc .lo .o .obj
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(top_srcdir)/Makefile.swig $(srcdir)/Makefile.swig.gen $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-pager/src/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-pager/src/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+run_tests: $(top_builddir)/config.status $(srcdir)/run_tests.in
+       cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@
+install-pager_swig_pylibLTLIBRARIES: $(pager_swig_pylib_LTLIBRARIES)
+       @$(NORMAL_INSTALL)
+       test -z "$(pager_swig_pylibdir)" || $(MKDIR_P) "$(DESTDIR)$(pager_swig_pylibdir)"
+       @list='$(pager_swig_pylib_LTLIBRARIES)'; for p in $$list; do \
+         if test -f $$p; then \
+           f=$(am__strip_dir) \
+           echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(pager_swig_pylibLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) '$$p' '$(DESTDIR)$(pager_swig_pylibdir)/$$f'"; \
+           $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(pager_swig_pylibLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) "$$p" "$(DESTDIR)$(pager_swig_pylibdir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-pager_swig_pylibLTLIBRARIES:
+       @$(NORMAL_UNINSTALL)
+       @list='$(pager_swig_pylib_LTLIBRARIES)'; for p in $$list; do \
+         p=$(am__strip_dir) \
+         echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f '$(DESTDIR)$(pager_swig_pylibdir)/$$p'"; \
+         $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f "$(DESTDIR)$(pager_swig_pylibdir)/$$p"; \
+       done
+
+clean-pager_swig_pylibLTLIBRARIES:
+       -test -z "$(pager_swig_pylib_LTLIBRARIES)" || rm -f $(pager_swig_pylib_LTLIBRARIES)
+       @list='$(pager_swig_pylib_LTLIBRARIES)'; for p in $$list; do \
+         dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
+         test "$$dir" != "$$p" || dir=.; \
+         echo "rm -f \"$${dir}/so_locations\""; \
+         rm -f "$${dir}/so_locations"; \
+       done
+_pager_swig.la: $(_pager_swig_la_OBJECTS) $(_pager_swig_la_DEPENDENCIES) 
+       $(_pager_swig_la_LINK) -rpath $(pager_swig_pylibdir) $(_pager_swig_la_OBJECTS) $(_pager_swig_la_LIBADD) $(LIBS)
+install-dist_binSCRIPTS: $(dist_bin_SCRIPTS)
+       @$(NORMAL_INSTALL)
+       test -z "$(bindir)" || $(MKDIR_P) "$(DESTDIR)$(bindir)"
+       @list='$(dist_bin_SCRIPTS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         if test -f $$d$$p; then \
+           f=`echo "$$p" | sed 's|^.*/||;$(transform)'`; \
+           echo " $(dist_binSCRIPT_INSTALL) '$$d$$p' '$(DESTDIR)$(bindir)/$$f'"; \
+           $(dist_binSCRIPT_INSTALL) "$$d$$p" "$(DESTDIR)$(bindir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-dist_binSCRIPTS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_bin_SCRIPTS)'; for p in $$list; do \
+         f=`echo "$$p" | sed 's|^.*/||;$(transform)'`; \
+         echo " rm -f '$(DESTDIR)$(bindir)/$$f'"; \
+         rm -f "$(DESTDIR)$(bindir)/$$f"; \
+       done
+
+mostlyclean-compile:
+       -rm -f *.$(OBJEXT)
+
+distclean-compile:
+       -rm -f *.tab.c
+
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_pager_swig_la-pager_flex_deinterleave.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_pager_swig_la-pager_flex_frame.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_pager_swig_la-pager_flex_parse.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_pager_swig_la-pager_flex_sync.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_pager_swig_la-pager_slicer_fb.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_pager_swig_la-pager_swig.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_pager_swig_la-pageri_bch3221.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_pager_swig_la-pageri_flex_modes.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_pager_swig_la-pageri_util.Plo@am__quote@
+
+.cc.o:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ $<
+
+.cc.obj:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ `$(CYGPATH_W) '$<'`
+
+.cc.lo:
+@am__fastdepCXX_TRUE@  $(LTCXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LTCXXCOMPILE) -c -o $@ $<
+
+_pager_swig_la-pager_swig.lo: pager_swig.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_pager_swig_la_CXXFLAGS) $(CXXFLAGS) -MT _pager_swig_la-pager_swig.lo -MD -MP -MF $(DEPDIR)/_pager_swig_la-pager_swig.Tpo -c -o _pager_swig_la-pager_swig.lo `test -f 'pager_swig.cc' || echo '$(srcdir)/'`pager_swig.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_pager_swig_la-pager_swig.Tpo $(DEPDIR)/_pager_swig_la-pager_swig.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='pager_swig.cc' object='_pager_swig_la-pager_swig.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_pager_swig_la_CXXFLAGS) $(CXXFLAGS) -c -o _pager_swig_la-pager_swig.lo `test -f 'pager_swig.cc' || echo '$(srcdir)/'`pager_swig.cc
+
+_pager_swig_la-pager_flex_frame.lo: pager_flex_frame.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_pager_swig_la_CXXFLAGS) $(CXXFLAGS) -MT _pager_swig_la-pager_flex_frame.lo -MD -MP -MF $(DEPDIR)/_pager_swig_la-pager_flex_frame.Tpo -c -o _pager_swig_la-pager_flex_frame.lo `test -f 'pager_flex_frame.cc' || echo '$(srcdir)/'`pager_flex_frame.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_pager_swig_la-pager_flex_frame.Tpo $(DEPDIR)/_pager_swig_la-pager_flex_frame.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='pager_flex_frame.cc' object='_pager_swig_la-pager_flex_frame.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_pager_swig_la_CXXFLAGS) $(CXXFLAGS) -c -o _pager_swig_la-pager_flex_frame.lo `test -f 'pager_flex_frame.cc' || echo '$(srcdir)/'`pager_flex_frame.cc
+
+_pager_swig_la-pager_slicer_fb.lo: pager_slicer_fb.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_pager_swig_la_CXXFLAGS) $(CXXFLAGS) -MT _pager_swig_la-pager_slicer_fb.lo -MD -MP -MF $(DEPDIR)/_pager_swig_la-pager_slicer_fb.Tpo -c -o _pager_swig_la-pager_slicer_fb.lo `test -f 'pager_slicer_fb.cc' || echo '$(srcdir)/'`pager_slicer_fb.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_pager_swig_la-pager_slicer_fb.Tpo $(DEPDIR)/_pager_swig_la-pager_slicer_fb.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='pager_slicer_fb.cc' object='_pager_swig_la-pager_slicer_fb.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_pager_swig_la_CXXFLAGS) $(CXXFLAGS) -c -o _pager_swig_la-pager_slicer_fb.lo `test -f 'pager_slicer_fb.cc' || echo '$(srcdir)/'`pager_slicer_fb.cc
+
+_pager_swig_la-pager_flex_sync.lo: pager_flex_sync.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_pager_swig_la_CXXFLAGS) $(CXXFLAGS) -MT _pager_swig_la-pager_flex_sync.lo -MD -MP -MF $(DEPDIR)/_pager_swig_la-pager_flex_sync.Tpo -c -o _pager_swig_la-pager_flex_sync.lo `test -f 'pager_flex_sync.cc' || echo '$(srcdir)/'`pager_flex_sync.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_pager_swig_la-pager_flex_sync.Tpo $(DEPDIR)/_pager_swig_la-pager_flex_sync.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='pager_flex_sync.cc' object='_pager_swig_la-pager_flex_sync.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_pager_swig_la_CXXFLAGS) $(CXXFLAGS) -c -o _pager_swig_la-pager_flex_sync.lo `test -f 'pager_flex_sync.cc' || echo '$(srcdir)/'`pager_flex_sync.cc
+
+_pager_swig_la-pager_flex_deinterleave.lo: pager_flex_deinterleave.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_pager_swig_la_CXXFLAGS) $(CXXFLAGS) -MT _pager_swig_la-pager_flex_deinterleave.lo -MD -MP -MF $(DEPDIR)/_pager_swig_la-pager_flex_deinterleave.Tpo -c -o _pager_swig_la-pager_flex_deinterleave.lo `test -f 'pager_flex_deinterleave.cc' || echo '$(srcdir)/'`pager_flex_deinterleave.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_pager_swig_la-pager_flex_deinterleave.Tpo $(DEPDIR)/_pager_swig_la-pager_flex_deinterleave.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='pager_flex_deinterleave.cc' object='_pager_swig_la-pager_flex_deinterleave.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_pager_swig_la_CXXFLAGS) $(CXXFLAGS) -c -o _pager_swig_la-pager_flex_deinterleave.lo `test -f 'pager_flex_deinterleave.cc' || echo '$(srcdir)/'`pager_flex_deinterleave.cc
+
+_pager_swig_la-pager_flex_parse.lo: pager_flex_parse.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_pager_swig_la_CXXFLAGS) $(CXXFLAGS) -MT _pager_swig_la-pager_flex_parse.lo -MD -MP -MF $(DEPDIR)/_pager_swig_la-pager_flex_parse.Tpo -c -o _pager_swig_la-pager_flex_parse.lo `test -f 'pager_flex_parse.cc' || echo '$(srcdir)/'`pager_flex_parse.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_pager_swig_la-pager_flex_parse.Tpo $(DEPDIR)/_pager_swig_la-pager_flex_parse.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='pager_flex_parse.cc' object='_pager_swig_la-pager_flex_parse.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_pager_swig_la_CXXFLAGS) $(CXXFLAGS) -c -o _pager_swig_la-pager_flex_parse.lo `test -f 'pager_flex_parse.cc' || echo '$(srcdir)/'`pager_flex_parse.cc
+
+_pager_swig_la-pageri_bch3221.lo: pageri_bch3221.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_pager_swig_la_CXXFLAGS) $(CXXFLAGS) -MT _pager_swig_la-pageri_bch3221.lo -MD -MP -MF $(DEPDIR)/_pager_swig_la-pageri_bch3221.Tpo -c -o _pager_swig_la-pageri_bch3221.lo `test -f 'pageri_bch3221.cc' || echo '$(srcdir)/'`pageri_bch3221.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_pager_swig_la-pageri_bch3221.Tpo $(DEPDIR)/_pager_swig_la-pageri_bch3221.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='pageri_bch3221.cc' object='_pager_swig_la-pageri_bch3221.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_pager_swig_la_CXXFLAGS) $(CXXFLAGS) -c -o _pager_swig_la-pageri_bch3221.lo `test -f 'pageri_bch3221.cc' || echo '$(srcdir)/'`pageri_bch3221.cc
+
+_pager_swig_la-pageri_flex_modes.lo: pageri_flex_modes.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_pager_swig_la_CXXFLAGS) $(CXXFLAGS) -MT _pager_swig_la-pageri_flex_modes.lo -MD -MP -MF $(DEPDIR)/_pager_swig_la-pageri_flex_modes.Tpo -c -o _pager_swig_la-pageri_flex_modes.lo `test -f 'pageri_flex_modes.cc' || echo '$(srcdir)/'`pageri_flex_modes.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_pager_swig_la-pageri_flex_modes.Tpo $(DEPDIR)/_pager_swig_la-pageri_flex_modes.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='pageri_flex_modes.cc' object='_pager_swig_la-pageri_flex_modes.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_pager_swig_la_CXXFLAGS) $(CXXFLAGS) -c -o _pager_swig_la-pageri_flex_modes.lo `test -f 'pageri_flex_modes.cc' || echo '$(srcdir)/'`pageri_flex_modes.cc
+
+_pager_swig_la-pageri_util.lo: pageri_util.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_pager_swig_la_CXXFLAGS) $(CXXFLAGS) -MT _pager_swig_la-pageri_util.lo -MD -MP -MF $(DEPDIR)/_pager_swig_la-pageri_util.Tpo -c -o _pager_swig_la-pageri_util.lo `test -f 'pageri_util.cc' || echo '$(srcdir)/'`pageri_util.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_pager_swig_la-pageri_util.Tpo $(DEPDIR)/_pager_swig_la-pageri_util.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='pageri_util.cc' object='_pager_swig_la-pageri_util.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_pager_swig_la_CXXFLAGS) $(CXXFLAGS) -c -o _pager_swig_la-pageri_util.lo `test -f 'pageri_util.cc' || echo '$(srcdir)/'`pageri_util.cc
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-pager_swig_pythonPYTHON: $(pager_swig_python_PYTHON)
+       @$(NORMAL_INSTALL)
+       test -z "$(pager_swig_pythondir)" || $(MKDIR_P) "$(DESTDIR)$(pager_swig_pythondir)"
+       @list='$(pager_swig_python_PYTHON)'; dlist=''; for p in $$list; do\
+         if test -f "$$p"; then b=; else b="$(srcdir)/"; fi; \
+         if test -f $$b$$p; then \
+           f=$(am__strip_dir) \
+           dlist="$$dlist $$f"; \
+           echo " $(pager_swig_pythonPYTHON_INSTALL) '$$b$$p' '$(DESTDIR)$(pager_swig_pythondir)/$$f'"; \
+           $(pager_swig_pythonPYTHON_INSTALL) "$$b$$p" "$(DESTDIR)$(pager_swig_pythondir)/$$f"; \
+         else :; fi; \
+       done; \
+       if test -n "$$dlist"; then \
+         if test -z "$(DESTDIR)"; then \
+           PYTHON=$(PYTHON) $(py_compile) --basedir "$(pager_swig_pythondir)" $$dlist; \
+         else \
+           PYTHON=$(PYTHON) $(py_compile) --destdir "$(DESTDIR)" --basedir "$(pager_swig_pythondir)" $$dlist; \
+         fi; \
+       else :; fi
+
+uninstall-pager_swig_pythonPYTHON:
+       @$(NORMAL_UNINSTALL)
+       @list='$(pager_swig_python_PYTHON)'; dlist=''; for p in $$list; do\
+         f=$(am__strip_dir) \
+         rm -f "$(DESTDIR)$(pager_swig_pythondir)/$$f"; \
+         rm -f "$(DESTDIR)$(pager_swig_pythondir)/$${f}c"; \
+         rm -f "$(DESTDIR)$(pager_swig_pythondir)/$${f}o"; \
+       done
+install-grincludeHEADERS: $(grinclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(grincludedir)" || $(MKDIR_P) "$(DESTDIR)$(grincludedir)"
+       @list='$(grinclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(grincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(grincludedir)/$$f'"; \
+         $(grincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(grincludedir)/$$f"; \
+       done
+
+uninstall-grincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(grinclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(grincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(grincludedir)/$$f"; \
+       done
+install-pager_swig_swigincludeHEADERS: $(pager_swig_swiginclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(pager_swig_swigincludedir)" || $(MKDIR_P) "$(DESTDIR)$(pager_swig_swigincludedir)"
+       @list='$(pager_swig_swiginclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(pager_swig_swigincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(pager_swig_swigincludedir)/$$f'"; \
+         $(pager_swig_swigincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(pager_swig_swigincludedir)/$$f"; \
+       done
+
+uninstall-pager_swig_swigincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(pager_swig_swiginclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(pager_swig_swigincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(pager_swig_swigincludedir)/$$f"; \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+check-TESTS: $(TESTS)
+       @failed=0; all=0; xfail=0; xpass=0; skip=0; \
+       srcdir=$(srcdir); export srcdir; \
+       list=' $(TESTS) '; \
+       if test -n "$$list"; then \
+         for tst in $$list; do \
+           if test -f ./$$tst; then dir=./; \
+           elif test -f $$tst; then dir=; \
+           else dir="$(srcdir)/"; fi; \
+           if $(TESTS_ENVIRONMENT) $${dir}$$tst; then \
+             all=`expr $$all + 1`; \
+             case " $(XFAIL_TESTS) " in \
+             *[\ \     ]$$tst[\ \      ]*) \
+               xpass=`expr $$xpass + 1`; \
+               failed=`expr $$failed + 1`; \
+               echo "XPASS: $$tst"; \
+             ;; \
+             *) \
+               echo "PASS: $$tst"; \
+             ;; \
+             esac; \
+           elif test $$? -ne 77; then \
+             all=`expr $$all + 1`; \
+             case " $(XFAIL_TESTS) " in \
+             *[\ \     ]$$tst[\ \      ]*) \
+               xfail=`expr $$xfail + 1`; \
+               echo "XFAIL: $$tst"; \
+             ;; \
+             *) \
+               failed=`expr $$failed + 1`; \
+               echo "FAIL: $$tst"; \
+             ;; \
+             esac; \
+           else \
+             skip=`expr $$skip + 1`; \
+             echo "SKIP: $$tst"; \
+           fi; \
+         done; \
+         if test "$$all" -eq 1; then \
+           tests="test"; \
+           All=""; \
+         else \
+           tests="tests"; \
+           All="All "; \
+         fi; \
+         if test "$$failed" -eq 0; then \
+           if test "$$xfail" -eq 0; then \
+             banner="$$All$$all $$tests passed"; \
+           else \
+             if test "$$xfail" -eq 1; then failures=failure; else failures=failures; fi; \
+             banner="$$All$$all $$tests behaved as expected ($$xfail expected $$failures)"; \
+           fi; \
+         else \
+           if test "$$xpass" -eq 0; then \
+             banner="$$failed of $$all $$tests failed"; \
+           else \
+             if test "$$xpass" -eq 1; then passes=pass; else passes=passes; fi; \
+             banner="$$failed of $$all $$tests did not behave as expected ($$xpass unexpected $$passes)"; \
+           fi; \
+         fi; \
+         dashes="$$banner"; \
+         skipped=""; \
+         if test "$$skip" -ne 0; then \
+           if test "$$skip" -eq 1; then \
+             skipped="($$skip test was not run)"; \
+           else \
+             skipped="($$skip tests were not run)"; \
+           fi; \
+           test `echo "$$skipped" | wc -c` -le `echo "$$banner" | wc -c` || \
+             dashes="$$skipped"; \
+         fi; \
+         report=""; \
+         if test "$$failed" -ne 0 && test -n "$(PACKAGE_BUGREPORT)"; then \
+           report="Please report to $(PACKAGE_BUGREPORT)"; \
+           test `echo "$$report" | wc -c` -le `echo "$$banner" | wc -c` || \
+             dashes="$$report"; \
+         fi; \
+         dashes=`echo "$$dashes" | sed s/./=/g`; \
+         echo "$$dashes"; \
+         echo "$$banner"; \
+         test -z "$$skipped" || echo "$$skipped"; \
+         test -z "$$report" || echo "$$report"; \
+         echo "$$dashes"; \
+         test "$$failed" -eq 0; \
+       else :; fi
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+       $(MAKE) $(AM_MAKEFLAGS) check-TESTS
+check: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) check-am
+all-am: Makefile $(LTLIBRARIES) $(SCRIPTS) $(HEADERS)
+installdirs:
+       for dir in "$(DESTDIR)$(pager_swig_pylibdir)" "$(DESTDIR)$(bindir)" "$(DESTDIR)$(pager_swig_pythondir)" "$(DESTDIR)$(grincludedir)" "$(DESTDIR)$(pager_swig_swigincludedir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+       -test -z "$(DISTCLEANFILES)" || rm -f $(DISTCLEANFILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+       -test -z "$(BUILT_SOURCES)" || rm -f $(BUILT_SOURCES)
+clean: clean-am
+
+clean-am: clean-generic clean-libtool \
+       clean-pager_swig_pylibLTLIBRARIES mostlyclean-am
+
+distclean: distclean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+distclean-am: clean-am distclean-compile distclean-generic \
+       distclean-tags
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-grincludeHEADERS \
+       install-pager_swig_pylibLTLIBRARIES \
+       install-pager_swig_pythonPYTHON \
+       install-pager_swig_swigincludeHEADERS
+
+install-dvi: install-dvi-am
+
+install-exec-am: install-dist_binSCRIPTS
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-compile mostlyclean-generic \
+       mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-dist_binSCRIPTS uninstall-grincludeHEADERS \
+       uninstall-pager_swig_pylibLTLIBRARIES \
+       uninstall-pager_swig_pythonPYTHON \
+       uninstall-pager_swig_swigincludeHEADERS
+
+.MAKE: install-am install-strip
+
+.PHONY: CTAGS GTAGS all all-am check check-TESTS check-am clean \
+       clean-generic clean-libtool clean-pager_swig_pylibLTLIBRARIES \
+       ctags dist-hook distclean distclean-compile distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dist_binSCRIPTS install-dvi \
+       install-dvi-am install-exec install-exec-am \
+       install-grincludeHEADERS install-html install-html-am \
+       install-info install-info-am install-man \
+       install-pager_swig_pylibLTLIBRARIES \
+       install-pager_swig_pythonPYTHON \
+       install-pager_swig_swigincludeHEADERS install-pdf \
+       install-pdf-am install-ps install-ps-am install-strip \
+       installcheck installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-compile \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       tags uninstall uninstall-am uninstall-dist_binSCRIPTS \
+       uninstall-grincludeHEADERS \
+       uninstall-pager_swig_pylibLTLIBRARIES \
+       uninstall-pager_swig_pythonPYTHON \
+       uninstall-pager_swig_swigincludeHEADERS
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+
+generate-makefile-swig $(srcdir)/Makefile.swig.gen: $(top_srcdir)/Makefile.swig.gen.t
+       @do_recreate=0; \
+       if test -f $(srcdir)/Makefile.swig.gen; then \
+               if $(RM) $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                       if touch $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                               do_recreate=1; \
+                       fi; \
+               fi; \
+       else \
+               if touch $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                       do_recreate=1; \
+               fi; \
+       fi; \
+       if test "$$do_recreate" == "1"; then \
+               echo "Regenerating $(srcdir)/Makefile.swig.gen"; \
+               for TFILE in $(TOP_SWIG_IFILES); do \
+                       TNAME=`python -c "import os.path as op; (dN, fN) = op.split ('$$TFILE'); (fbN, fE) = op.splitext (fN); print fbN;"`; \
+                       $(SED) -e 's|@NAME@|'$$TNAME'|g;' < $(top_srcdir)/Makefile.swig.gen.t >> $(srcdir)/Makefile.swig.gen; \
+                       echo "" >> $(srcdir)/Makefile.swig.gen; \
+               done; \
+       else \
+               echo "Cannot recreate $(srcdir)/Makefile.swig.gen because the directory or file is write-protected."; \
+               exit -1; \
+       fi;
+
+pager_swig_pythondir_category ?= gnuradio/pager_swig
+pager_swig_pylibdir_category ?= $(pager_swig_pythondir_category)
+
+pager_swig.h pager_swig.py pager_swig.cc: pager_swig.i
+       trap 'rm -rf $(DEPDIR)/pager_swig-generate-*' 1 2 13 15; \
+       if mkdir $(DEPDIR)/pager_swig-generate-lock 2>/dev/null; then \
+               rm -f $(DEPDIR)/pager_swig-generate-stamp; \
+               $(MAKE) $(AM_MAKEFLAGS) $(DEPDIR)/pager_swig-generate-stamp WHAT=$<; \
+               rmdir $(DEPDIR)/pager_swig-generate-lock; \
+       else \
+               while test -d $(DEPDIR)/pager_swig-generate-lock; do \
+                       sleep 1; \
+               done; \
+               test -f $(DEPDIR)/pager_swig-generate-stamp; \
+               exit $$?; \
+       fi;
+
+$(DEPDIR)/pager_swig-generate-stamp:
+       if $(SWIG) $(STD_SWIG_PYTHON_ARGS) $(pager_swig_swig_args) \
+               -MD -MF $(DEPDIR)/pager_swig.Std \
+               -module pager_swig -o pager_swig.cc $(WHAT); then \
+           if test $(host_os) = mingw32; then \
+               $(RM) $(DEPDIR)/pager_swig.Sd; \
+               $(SED) 's,\\\\,/,g' < $(DEPDIR)/pager_swig.Std \
+                       > $(DEPDIR)/pager_swig.Sd; \
+               $(RM) $(DEPDIR)/pager_swig.Std; \
+               $(MV) $(DEPDIR)/pager_swig.Sd $(DEPDIR)/pager_swig.Std; \
+           fi; \
+       else \
+           $(RM) $(DEPDIR)/pager_swig.S*; exit 1; \
+       fi;
+       $(RM) $(DEPDIR)/pager_swig.d
+       cp $(DEPDIR)/pager_swig.Std $(DEPDIR)/pager_swig.d
+       echo "" >> $(DEPDIR)/pager_swig.d
+       $(SED) -e '1d;s, \\,,g;s, ,,g' < $(DEPDIR)/pager_swig.Std | \
+               awk '{ printf "%s:\n\n", $$0 }' >> $(DEPDIR)/pager_swig.d
+       $(RM) $(DEPDIR)/pager_swig.Std
+       touch $(DEPDIR)/pager_swig-generate-stamp
+
+# KLUDGE: Force runtime include of a SWIG dependency file.  This is
+# not guaranteed to be portable, but will probably work.  If it works,
+# we have accurate dependencies for our swig stuff, which is good.
+
+@am__include@ @am__quote@./$(DEPDIR)/pager_swig.d@am__quote@
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-pager/src/Makefile.swig.gen b/gr-pager/src/Makefile.swig.gen
new file mode 100644 (file)
index 0000000..5cfbc66
--- /dev/null
@@ -0,0 +1,259 @@
+# -*- Makefile -*-
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# Makefile.swig.gen for pager_swig.i
+
+## Default install locations for these files:
+##
+## Default location for the Python directory is:
+##  ${prefix}/lib/python${python_version}/site-packages/[category]/pager_swig
+## Default location for the Python exec directory is:
+##  ${exec_prefix}/lib/python${python_version}/site-packages/[category]/pager_swig
+##
+## The following can be overloaded to change the install location, but
+## this has to be done in the including Makefile.am -before-
+## Makefile.swig is included.
+
+pager_swig_pythondir_category ?= gnuradio/pager_swig
+pager_swig_pylibdir_category ?= $(pager_swig_pythondir_category)
+pager_swig_pythondir = $(pythondir)/$(pager_swig_pythondir_category)
+pager_swig_pylibdir = $(pyexecdir)/$(pager_swig_pylibdir_category)
+
+## SWIG headers are always installed into the same directory.
+
+pager_swig_swigincludedir = $(swigincludedir)
+
+## This is a template file for a "generated" Makefile addition (in
+## this case, "Makefile.swig.gen").  By including the top-level
+## Makefile.swig, this file will be used to generate the SWIG
+## dependencies.  Assign the variable TOP_SWIG_FILES to be the list of
+## SWIG .i files to generated wrappings for; there can be more than 1
+## so long as the names are unique (no sorting is done on the
+## TOP_SWIG_FILES list). This file explicitly assumes that a SWIG .i
+## file will generate .cc, .py, and possibly .h files -- meaning that
+## all of these files will have the same base name (that provided for
+## the SWIG .i file).
+##
+## This code is setup to ensure parallel MAKE ("-j" or "-jN") does the
+## right thing.  For more info, see <
+## http://sources.redhat.com/automake/automake.html#Multiple-Outputs >
+
+## Stamps used to ensure parallel make does the right thing.  These
+## are removed by "make clean", but otherwise unused except during the
+## parallel built.  These will not be included in a tarball, because
+## the SWIG-generated files will be removed from the distribution.
+
+STAMPS += $(DEPDIR)/pager_swig-generate-*
+
+## Other cleaned files: dependency files generated by SWIG or this Makefile
+
+MOSTLYCLEANFILES += $(DEPDIR)/*.S*
+
+## Add the .py and .cc files to the list of SWIG built sources.  The
+## .h file is sometimes built, but not always ... so that one has to
+## be added manually by the including Makefile.am .
+
+swig_built_sources += pager_swig.py pager_swig.cc
+
+## Various SWIG variables.  These can be overloaded in the including
+## Makefile.am by setting the variable value there, then including
+## Makefile.swig .
+
+pager_swig_swiginclude_HEADERS =               \
+       pager_swig.i                    \
+       $(pager_swig_swiginclude_headers)
+
+pager_swig_pylib_LTLIBRARIES =         \
+       _pager_swig.la
+
+_pager_swig_la_SOURCES =                       \
+       pager_swig.cc                   \
+       $(pager_swig_la_swig_sources)
+
+_pager_swig_la_LIBADD =                        \
+       $(STD_SWIG_LA_LIB_ADD)          \
+       $(pager_swig_la_swig_libadd)
+
+_pager_swig_la_LDFLAGS =                       \
+       $(STD_SWIG_LA_LD_FLAGS)         \
+       $(pager_swig_la_swig_ldflags)
+
+_pager_swig_la_CXXFLAGS =                      \
+       $(STD_SWIG_CXX_FLAGS)           \
+       $(pager_swig_la_swig_cxxflags)
+
+pager_swig_python_PYTHON =                     \
+       pager_swig.py                   \
+       $(pager_swig_python)
+
+## Entry rule for running SWIG
+
+pager_swig.h pager_swig.py pager_swig.cc: pager_swig.i
+## This rule will get called only when MAKE decides that one of the
+## targets needs to be created or re-created, because:
+##
+## * The .i file is newer than any or all of the generated files;
+##
+## * Any or all of the .cc, .h, or .py files does not exist and is
+##   needed (in the case this file is not needed, the rule for it is
+##   ignored); or
+##
+## * Some SWIG-based dependecy of the .cc file isn't met and hence the
+##   .cc file needs be be regenerated.  Explanation: Because MAKE
+##   knows how to handle dependencies for .cc files (regardless of
+##   their name or extension), then the .cc file is used as a target
+##   instead of the .i file -- but with the dependencies of the .i
+##   file.  It is this last reason why the line:
+##
+##             if test -f $@; then :; else
+##
+##   cannot be used in this case: If a .i file dependecy is not met,
+##   then the .cc file needs to be rebuilt.  But if the stamp is newer
+##   than the .cc file, and the .cc file exists, then in the original
+##   version (with the 'test' above) the internal MAKE call will not
+##   be issued and hence the .cc file will not be rebuilt.
+##
+## Once execution gets to here, it should always proceed no matter the
+## state of a stamp (as discussed in link above).  The
+## $(DEPDIR)/pager_swig-generate stuff is used to allow for parallel
+## builds to "do the right thing".  The stamp has no relationship with
+## either the target files or dependency file; it is used solely for
+## the protection of multiple builds during a given call to MAKE.
+##
+## Catch signals SIGHUP (1), SIGINT (2), SIGPIPE (13), and SIGTERM
+## (15).  At a caught signal, the quoted command will be issued before
+## exiting.  In this case, remove any stamp, whether temporary of not.
+## The trap is valid until the process exits; the process includes all
+## commands appended via "\"s.
+##
+       trap 'rm -rf $(DEPDIR)/pager_swig-generate-*' 1 2 13 15; \
+##
+## Create a temporary directory, which acts as a lock.  The first
+## process to create the directory will succeed and issue the MAKE
+## command to do the actual work, while all subsequent processes will
+## fail -- leading them to wait for the first process to finish.
+##
+       if mkdir $(DEPDIR)/pager_swig-generate-lock 2>/dev/null; then \
+##
+## This code is being executed by the first process to succeed in
+## creating the directory lock.
+##
+## Remove the stamp associated with this filename.
+##
+               rm -f $(DEPDIR)/pager_swig-generate-stamp; \
+##
+## Tell MAKE to run the rule for creating this stamp.
+##
+               $(MAKE) $(AM_MAKEFLAGS) $(DEPDIR)/pager_swig-generate-stamp WHAT=$<; \
+##
+## Now that the .cc, .h, and .py files have been (re)created from the
+## .i file, future checking of this rule during the same MAKE
+## execution will come back that the rule doesn't need to be executed
+## because none of the conditions mentioned at the start of this rule
+## will be positive.  Remove the the directory lock, which frees up
+## any waiting process(es) to continue.
+##
+               rmdir $(DEPDIR)/pager_swig-generate-lock; \
+       else \
+##
+## This code is being executed by any follower processes while the
+## directory lock is in place.
+##
+## Wait until the first process is done, testing once per second.
+##
+               while test -d $(DEPDIR)/pager_swig-generate-lock; do \
+                       sleep 1; \
+               done; \
+##
+## Succeed if and only if the first process succeeded; exit this
+## process returning the status of the generated stamp.
+##
+               test -f $(DEPDIR)/pager_swig-generate-stamp; \
+               exit $$?; \
+       fi;
+
+$(DEPDIR)/pager_swig-generate-stamp:
+## This rule will be called only by the first process issuing the
+## above rule to succeed in creating the lock directory, after
+## removing the actual stamp file in order to guarantee that MAKE will
+## execute this rule.
+##
+## Call SWIG to generate the various output files; special
+## post-processing on 'mingw32' host OS for the dependency file.
+##
+       if $(SWIG) $(STD_SWIG_PYTHON_ARGS) $(pager_swig_swig_args) \
+               -MD -MF $(DEPDIR)/pager_swig.Std \
+               -module pager_swig -o pager_swig.cc $(WHAT); then \
+           if test $(host_os) = mingw32; then \
+               $(RM) $(DEPDIR)/pager_swig.Sd; \
+               $(SED) 's,\\\\,/,g' < $(DEPDIR)/pager_swig.Std \
+                       > $(DEPDIR)/pager_swig.Sd; \
+               $(RM) $(DEPDIR)/pager_swig.Std; \
+               $(MV) $(DEPDIR)/pager_swig.Sd $(DEPDIR)/pager_swig.Std; \
+           fi; \
+       else \
+           $(RM) $(DEPDIR)/pager_swig.S*; exit 1; \
+       fi;
+##
+## Mess with the SWIG output .Std dependency file, to create a
+## dependecy file valid for the input .i file: Basically, simulate the
+## dependency file created for libraries by GNU's libtool for C++,
+## where all of the dependencies for the target are first listed, then
+## each individual dependency is listed as a target with no further
+## dependencies.
+##
+## (1) remove the current dependency file
+##
+       $(RM) $(DEPDIR)/pager_swig.d
+##
+## (2) Copy the whole SWIG file:
+##
+       cp $(DEPDIR)/pager_swig.Std $(DEPDIR)/pager_swig.d
+##
+## (3) all a carriage return to the end of the dependency file.
+##
+       echo "" >> $(DEPDIR)/pager_swig.d
+##
+## (4) from the SWIG file, remove the first line (the target); remove
+##     trailing " \" and " " from each line.  Append ":" to each line,
+##     followed by 2 carriage returns, then append this to the end of
+##     the dependency file.
+##
+       $(SED) -e '1d;s, \\,,g;s, ,,g' < $(DEPDIR)/pager_swig.Std | \
+               awk '{ printf "%s:\n\n", $$0 }' >> $(DEPDIR)/pager_swig.d
+##
+## (5) remove the SWIG-generated file
+##
+       $(RM) $(DEPDIR)/pager_swig.Std
+##
+## Create the stamp for this filename generation, to signal success in
+## executing this rule; allows other threads waiting on this process
+## to continue.
+##
+       touch $(DEPDIR)/pager_swig-generate-stamp
+
+# KLUDGE: Force runtime include of a SWIG dependency file.  This is
+# not guaranteed to be portable, but will probably work.  If it works,
+# we have accurate dependencies for our swig stuff, which is good.
+
+@am__include@ @am__quote@./$(DEPDIR)/pager_swig.d@am__quote@
+
diff --git a/gr-pager/src/__init__.py b/gr-pager/src/__init__.py
new file mode 100644 (file)
index 0000000..664b799
--- /dev/null
@@ -0,0 +1,26 @@
+#
+# Copyright 2006 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# The presence of this file turns this directory into a Python package
+
+from pager_swig import *
+from flex_demod import flex_demod
+from pager_utils import *
diff --git a/gr-pager/src/flex_demod.py b/gr-pager/src/flex_demod.py
new file mode 100644 (file)
index 0000000..b79c1ad
--- /dev/null
@@ -0,0 +1,64 @@
+#
+# Copyright 2006,2007 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+from gnuradio import gr, gru, optfir, blks2
+from math import pi
+import pager_swig
+
+class flex_demod(gr.hier_block2):
+    """
+    FLEX pager protocol demodulation block.
+
+    This block demodulates a band-limited, complex down-converted baseband 
+    channel into FLEX protocol frames.
+
+    """
+
+    def __init__(self, queue, freq=0.0, verbose=False, log=False):
+       gr.hier_block2.__init__(self, "flex_demod",
+                               gr.io_signature(1, 1, gr.sizeof_gr_complex),
+                               gr.io_signature(0,0,0))
+
+        k = 25000/(2*pi*1600)        # 4800 Hz max deviation
+        quad = gr.quadrature_demod_cf(k)
+       self.connect(self, quad)
+       
+        rsamp = blks2.rational_resampler_fff(16, 25)
+        self.slicer = pager_swig.slicer_fb(5e-6) # DC removal averaging filter constant
+       self.sync = pager_swig.flex_sync()
+
+        self.connect(quad, rsamp, self.slicer, self.sync)
+
+       for i in range(4):
+           self.connect((self.sync, i), pager_swig.flex_deinterleave(), pager_swig.flex_parse(queue, freq))
+
+       if log:
+           suffix = '_'+ "%3.3f" % (freq/1e6,) + '.dat'
+           quad_sink = gr.file_sink(gr.sizeof_float, 'quad'+suffix)
+           rsamp_sink = gr.file_sink(gr.sizeof_float, 'rsamp'+suffix)
+           slicer_sink = gr.file_sink(gr.sizeof_char, 'slicer'+suffix)
+           self.connect(rsamp, rsamp_sink)
+           self.connect(quad, quad_sink)
+           self.connect(self.slicer, slicer_sink)
+
+    def dc_offset(self):
+       return self.slicer.dc_offset()
+                   
\ No newline at end of file
diff --git a/gr-pager/src/pager_flex_deinterleave.cc b/gr-pager/src/pager_flex_deinterleave.cc
new file mode 100644 (file)
index 0000000..25ea22e
--- /dev/null
@@ -0,0 +1,94 @@
+/*
+ * Copyright 2004,2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <pager_flex_deinterleave.h>
+#include <pageri_bch3221.h>
+#include <pageri_util.h>
+#include <gr_io_signature.h>
+
+pager_flex_deinterleave_sptr pager_make_flex_deinterleave()
+{
+    return pager_flex_deinterleave_sptr(new pager_flex_deinterleave());
+}
+
+pager_flex_deinterleave::pager_flex_deinterleave() :
+    gr_sync_decimator("flex_deinterleave",
+    gr_make_io_signature(1, 1, sizeof(unsigned char)),
+    gr_make_io_signature(1, 1, sizeof(gr_int32)), 32)
+{
+    set_output_multiple(8); // One FLEX block at a time
+}
+
+int pager_flex_deinterleave::work(int noutput_items,
+    gr_vector_const_void_star &input_items,
+    gr_vector_void_star &output_items)
+{
+    const unsigned char *in = (const unsigned char *)input_items[0];
+    gr_int32 *out = (gr_int32 *)output_items[0];    
+
+    // FLEX codewords are interleaved in blocks of 256 bits or 8, 32 bit
+    // codes.  To deinterleave we parcel each incoming bit into the MSB
+    // of each codeword, then switch to MSB-1, etc.  This is done by shifting
+    // in the bits from the right on each codeword as the bits come in.
+    // When we are done we have a FLEX block of eight codewords, ready for
+    // conversion to data words.
+    //
+    // FLEX data words are recovered by reversing the bit order of the code
+    // word, masking off the (reversed) ECC, and inverting the remainder of 
+    // the bits (!).
+    //
+    // The data portion of a FLEX frame consists of 11 of these deinterleaved
+    // and converted blocks.
+    //
+    // set_output_multiple garauntees we have output space for at least
+    // eight data words, and 256 bits are supplied on input
+
+    int i, j;
+    for (i = 0; i < 32; i++) {
+       for (j = 0; j < 8; j++) {
+           d_codewords[j] <<= 1;
+           d_codewords[j]  |= *in++;
+       }
+    }
+
+    // Now convert code words into data words  
+    for (j = 0; j < 8; j++) {
+       gr_int32 codeword = d_codewords[j];
+       
+       // Apply BCH 32,21 error correction
+       // TODO: mark dataword when codeword fails ECC
+       pageri_bch3221(codeword);
+       
+       // Reverse bit order
+       codeword = pageri_reverse_bits32(codeword);
+
+       // Mask off ECC then invert lower 21 bits
+       codeword = (codeword & 0x001FFFFF)^0x001FFFFF;
+
+       *out++ = codeword;
+    }
+    
+    return j;
+}
diff --git a/gr-pager/src/pager_flex_deinterleave.h b/gr-pager/src/pager_flex_deinterleave.h
new file mode 100644 (file)
index 0000000..7211a71
--- /dev/null
@@ -0,0 +1,54 @@
+/*
+ * Copyright 2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifndef INCLUDED_PAGER_FLEX_DEINTERLEAVE_H
+#define INCLUDED_PAGER_FLEX_DEINTERLEAVE_H
+
+#include <gr_sync_decimator.h>
+
+class pager_flex_deinterleave;
+typedef boost::shared_ptr<pager_flex_deinterleave> pager_flex_deinterleave_sptr;
+
+pager_flex_deinterleave_sptr pager_make_flex_deinterleave();
+
+/*!
+ * \brief flex deinterleave description
+ * \ingroup pager_blk
+ */
+
+class pager_flex_deinterleave : public gr_sync_decimator
+{
+private:
+    // Constructors
+    friend pager_flex_deinterleave_sptr pager_make_flex_deinterleave();
+    pager_flex_deinterleave();
+
+    // One FLEX block of deinterleaved data
+    gr_int32 d_codewords[8];
+   
+public:
+
+    int work(int noutput_items,
+             gr_vector_const_void_star &input_items, 
+             gr_vector_void_star &output_items);
+};
+
+#endif /* INCLUDED_PAGER_FLEX_DEINTERLEAVE_H */
diff --git a/gr-pager/src/pager_flex_frame.cc b/gr-pager/src/pager_flex_frame.cc
new file mode 100644 (file)
index 0000000..b707dbb
--- /dev/null
@@ -0,0 +1,38 @@
+/*
+ * Copyright 2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+#include <pager_flex_frame.h>
+
+pager_flex_frame_sptr pager_make_flex_frame()
+{
+    return pager_flex_frame_sptr(new pager_flex_frame());
+}
+
+pager_flex_frame::pager_flex_frame()
+{
+}
+
+pager_flex_frame::~pager_flex_frame()
+{
+}
diff --git a/gr-pager/src/pager_flex_frame.h b/gr-pager/src/pager_flex_frame.h
new file mode 100644 (file)
index 0000000..1f4999e
--- /dev/null
@@ -0,0 +1,46 @@
+/*
+ * Copyright 2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+#ifndef INCLUDED_PAGER_FLEX_FRAME_H
+#define INCLUDED_PAGER_FLEX_FRAME_H
+
+#include <boost/shared_ptr.hpp>
+
+class pager_flex_frame;
+typedef boost::shared_ptr<pager_flex_frame> pager_flex_frame_sptr;
+
+/*!
+ * \brief public constructor for pager_flex_frame
+ */
+pager_flex_frame_sptr pager_make_flex_frame();
+
+/*!
+ * \brief flex_frame.
+ */
+class pager_flex_frame {
+    // Constructor is private to force use of shared_ptr
+    pager_flex_frame();
+    friend pager_flex_frame_sptr pager_make_flex_frame();
+
+public:
+    ~pager_flex_frame();
+};
+
+#endif /* INCLUDED_PAGER_FLEX_FRAME_H */
diff --git a/gr-pager/src/pager_flex_frame.i b/gr-pager/src/pager_flex_frame.i
new file mode 100644 (file)
index 0000000..d754f5f
--- /dev/null
@@ -0,0 +1,37 @@
+/*
+ * Copyright 2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+class pager_flex_frame;
+typedef boost::shared_ptr<pager_flex_frame> pager_flex_frame_sptr;
+%template(pager_flex_frame_sptr) boost::shared_ptr<pager_flex_frame>;
+
+%rename(flex_frame) pager_make_flex_frame;
+pager_flex_frame_sptr pager_make_flex_frame();
+
+/*!
+ * \brief flex_frame.
+ */
+class pager_flex_frame {
+    pager_flex_frame();
+
+public:
+};
+
diff --git a/gr-pager/src/pager_flex_parse.cc b/gr-pager/src/pager_flex_parse.cc
new file mode 100644 (file)
index 0000000..0819294
--- /dev/null
@@ -0,0 +1,240 @@
+/*
+ * Copyright 2004,2006,2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <pager_flex_parse.h>
+#include <pageri_bch3221.h>
+#include <gr_io_signature.h>
+#include <ctype.h>
+#include <iostream>
+#include <iomanip>
+
+pager_flex_parse_sptr pager_make_flex_parse(gr_msg_queue_sptr queue, float freq)
+{
+    return pager_flex_parse_sptr(new pager_flex_parse(queue, freq));
+}
+
+pager_flex_parse::pager_flex_parse(gr_msg_queue_sptr queue, float freq) :
+    gr_sync_block("flex_parse",
+    gr_make_io_signature(1, 1, sizeof(gr_int32)),
+    gr_make_io_signature(0, 0, 0)),
+    d_queue(queue),
+    d_freq(freq)
+{
+    d_count = 0;
+}
+
+int pager_flex_parse::work(int noutput_items,
+    gr_vector_const_void_star &input_items,
+    gr_vector_void_star &output_items)
+{
+    const gr_int32 *in = (const gr_int32 *)input_items[0];
+    
+    int i = 0;
+    while (i < noutput_items) {
+       // Accumulate one whole frame's worth of data words (88 of them)
+       d_datawords[d_count] = *in++; i++;
+       if (++d_count == 88) {
+           parse_data();
+           d_count = 0;
+       }
+    }
+
+    return i;
+}
+
+/* FLEX data frames (that is, 88 data words per phase recovered after sync,
+   symbol decoding, dephasing, deinterleaving, error correction, and conversion
+   from codewords to data words) start with a block information word containing
+   indices of the page address field and page vector fields.
+*/
+
+void pager_flex_parse::parse_capcode(gr_int32 aw1, gr_int32 aw2)
+{
+    d_laddr = (aw1 < 0x008001L) ||
+              (aw1 > 0x1E0000L) ||
+             (aw1 > 0x1E7FFEL);        
+    
+    if (d_laddr)
+        d_capcode = aw1+((aw2^0x001FFFFF)<<15)+0x1F9000;  // Don't ask
+    else
+        d_capcode = aw1-0x8000;
+}
+
+void pager_flex_parse::parse_data()
+{
+    // Block information word is the first data word in frame
+    gr_int32 biw = d_datawords[0];
+
+    // Nothing to see here, please move along
+    if (biw == 0 || biw == 0x001FFFFF)
+       return;
+
+    // Vector start index is bits 15-10
+    // Address start address is bits 9-8, plus one for offset
+    int voffset = (biw >> 10) & 0x3f;
+    int aoffset = ((biw >> 8) & 0x03) + 1;
+    
+    //printf("BIW:%08X AW:%02i-%02i\n", biw, aoffset, voffset);
+
+    // Iterate through pages and dispatch to appropriate handler
+    for (int i = aoffset; i < voffset; i++) {
+       int j = voffset+i-aoffset;              // Start of vector field for address @ i
+
+       if (d_datawords[i] == 0x00000000 ||
+           d_datawords[i] == 0x001FFFFF)
+           continue;                           // Idle codewords, invalid address
+
+       parse_capcode(d_datawords[i], d_datawords[i+1]);
+        if (d_laddr)
+           i++;
+                           
+        if (d_capcode < 0)                     // Invalid address, skip
+          continue;        
+
+        // Parse vector information word for address @ offset 'i'
+       gr_int32 viw = d_datawords[j];
+       d_type = (page_type_t)((viw >> 4) & 0x00000007);
+       int mw1 = (viw >> 7) & 0x00000007F;
+       int len = (viw >> 14) & 0x0000007F;
+
+       if (is_numeric_page(d_type))
+            len &= 0x07;
+        int mw2 = mw1+len;
+           
+       if (mw1 == 0 && mw2 == 0)
+           continue;                           // Invalid VIW
+
+       if (is_tone_page(d_type))
+           mw1 = mw2 = 0;
+
+       if (mw1 > 87 || mw2 > 87)
+           continue;                           // Invalid offsets
+
+       d_payload.str("");
+       d_payload.setf(std::ios::showpoint);
+       d_payload << std::setprecision(6) << std::setw(7)
+                 << d_freq/1e6 << FIELD_DELIM 
+                 << std::setw(10) << d_capcode << FIELD_DELIM
+                 << flex_page_desc[d_type] << FIELD_DELIM;
+
+       if (is_alphanumeric_page(d_type))
+           parse_alphanumeric(mw1, mw2-1, j);
+       else if (is_numeric_page(d_type))
+           parse_numeric(mw1, mw2, j);
+       else if (is_tone_page(d_type))
+           parse_tone_only();
+       else
+           parse_unknown(mw1, mw2);
+
+       gr_message_sptr msg = gr_make_message_from_string(std::string(d_payload.str()));
+       d_queue->handle(msg);
+    }
+}
+
+void pager_flex_parse::parse_alphanumeric(int mw1, int mw2, int j)
+{
+    int frag;
+    bool cont;
+
+    if (!d_laddr) {
+       frag = (d_datawords[mw1] >> 11) & 0x03;
+       cont = (d_datawords[mw1] >> 10) & 0x01;
+       mw1++;
+    }
+    else {
+       frag = (d_datawords[j+1] >> 11) & 0x03;
+       cont = (d_datawords[j+1] >> 10) & 0x01;
+       mw2--;
+    }    
+
+    //d_payload << frag << FIELD_DELIM;
+    //d_payload << cont << FIELD_DELIM;
+
+    for (int i = mw1; i <= mw2; i++) {
+       gr_int32 dw = d_datawords[i];
+       unsigned char ch;
+       
+       if (i > mw1 || frag != 0x03) {
+           ch = dw & 0x7F;
+           if (ch != 0x03)
+               d_payload << ch;
+       }
+       
+       ch = (dw >> 7) & 0x7F;
+       if (ch != 0x03) // Fill
+           d_payload << ch;
+               
+       ch = (dw >> 14) & 0x7F;
+       if (ch != 0x03) // Fill
+           d_payload << ch;
+    }
+}
+
+void pager_flex_parse::parse_numeric(int mw1, int mw2, int j)
+{
+    // Get first dataword from message field or from second
+    // vector word if long address
+    gr_int32 dw;
+    if (!d_laddr) {
+       dw = d_datawords[mw1];
+       mw1++;
+       mw2++;
+    }
+    else {
+       dw = d_datawords[j+1];
+    }
+
+    unsigned char digit = 0;
+    int count = 4;
+    if (d_type == FLEX_NUMBERED_NUMERIC)
+       count += 10;    // Skip 10 header bits for numbered numeric pages
+    else
+       count += 2;     // Otherwise skip 2
+    
+    for (int i = mw1; i <= mw2; i++) {
+       for (int k = 0; k < 21; k++) {
+           // Shift LSB from data word into digit
+           digit = (digit >> 1) & 0x0F;
+           if (dw & 0x01)
+               digit ^= 0x08;
+           dw >>= 1;
+           if (--count == 0) {
+               if (digit != 0x0C) // Fill
+                    d_payload << flex_bcd[digit];
+               count = 4;
+           }
+       }
+       
+       dw = d_datawords[i];
+    }
+}
+
+void pager_flex_parse::parse_tone_only()
+{
+}
+
+void pager_flex_parse::parse_unknown(int mw1, int mw2)
+{
+}
diff --git a/gr-pager/src/pager_flex_parse.h b/gr-pager/src/pager_flex_parse.h
new file mode 100644 (file)
index 0000000..0f7cfb3
--- /dev/null
@@ -0,0 +1,72 @@
+/*
+ * Copyright 2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifndef INCLUDED_PAGER_FLEX_PARSE_H
+#define INCLUDED_PAGER_FLEX_PARSE_H
+
+#include <gr_sync_block.h>
+#include <gr_msg_queue.h>
+#include <pageri_flex_modes.h>
+#include <sstream>
+
+class pager_flex_parse;
+typedef boost::shared_ptr<pager_flex_parse> pager_flex_parse_sptr;
+
+pager_flex_parse_sptr pager_make_flex_parse(gr_msg_queue_sptr queue, float freq);
+
+#define FIELD_DELIM ((unsigned char)128)
+
+/*!
+ * \brief flex parse description
+ * \ingroup pager_blk
+ */
+class pager_flex_parse : public gr_sync_block
+{
+private:
+    // Constructors
+    friend pager_flex_parse_sptr pager_make_flex_parse(gr_msg_queue_sptr queue, float freq);
+    pager_flex_parse(gr_msg_queue_sptr queue, float freq);
+
+    std::ostringstream d_payload;
+    gr_msg_queue_sptr d_queue;           // Destination for decoded pages
+
+    int d_count;                         // Count of received codewords
+    gr_int32 d_datawords[88];             // 11 blocks of 8 32-bit words
+
+    page_type_t d_type;                          // Current page type
+    int d_capcode;                       // Current page destination address
+    bool d_laddr;                        // Current page has long address
+    float d_freq;                        // Channel frequency
+    
+    void parse_data();                   // Handle a frame's worth of data
+    void parse_capcode(gr_int32 aw1, gr_int32 aw2);     
+    void parse_alphanumeric(int mw1, int mw2, int j);
+    void parse_numeric(int mw1, int mw2, int j);
+    void parse_tone_only();
+    void parse_unknown(int mw1, int mw2);
+    
+public:
+    int work(int noutput_items,
+        gr_vector_const_void_star &input_items, 
+        gr_vector_void_star &output_items);
+};
+
+#endif /* INCLUDED_PAGER_FLEX_PARSE_H */
diff --git a/gr-pager/src/pager_flex_sync.cc b/gr-pager/src/pager_flex_sync.cc
new file mode 100644 (file)
index 0000000..6bcee8e
--- /dev/null
@@ -0,0 +1,340 @@
+/*
+ * Copyright 2004,2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <pager_flex_sync.h>
+#include <pageri_flex_modes.h>
+#include <pageri_bch3221.h>
+#include <pageri_util.h>
+#include <gr_io_signature.h>
+#include <gr_count_bits.h>
+#include <cstdio>
+
+pager_flex_sync_sptr pager_make_flex_sync()
+{
+    return pager_flex_sync_sptr(new pager_flex_sync());
+}
+
+// FLEX sync block takes input from sliced baseband stream [0-3] at specified 
+// channel rate.  Symbol timing is established based on receiving one of the
+// defined FLEX protocol synchronization words.  The block outputs one FLEX frame
+// worth of bits on each output phase for the data portion of the frame. Unused phases
+// get all zeros, which are considered idle code words.
+
+pager_flex_sync::pager_flex_sync() :
+    gr_block ("flex_sync",
+    gr_make_io_signature (1, 1, sizeof(unsigned char)),
+    gr_make_io_signature (4, 4, sizeof(unsigned char))),
+    d_sync(10) // Fixed at 10 samples per baud (@ 1600 baud)
+{
+    enter_idle();
+}
+
+void pager_flex_sync::forecast(int noutput_items, gr_vector_int &inputs_required)
+{
+    // samples per bit X number of outputs needed
+    int items = noutput_items*d_spb;
+    for (unsigned int i = 0; i < inputs_required.size(); i++)
+        inputs_required[i] = items;
+}
+
+int pager_flex_sync::index_avg(int start, int end)
+{
+    // modulo average
+    if (start < end)
+        return (end + start)/2;
+    else
+        return ((end + start)/2 + d_spb/2) % d_spb;
+}
+
+bool pager_flex_sync::test_sync(unsigned char sym)
+{
+    // 64-bit FLEX sync code:
+    // AAAA:BBBBBBBB:CCCC
+    //
+    // Where BBBBBBBB is always 0xA6C6AAAA
+    // and AAAA^CCCC is 0xFFFF
+    // 
+    // Specific values of AAAA determine what bps and encoding the
+    // packet is beyond the frame information word
+    //
+    // First we match on the marker field with a hamming distance < 4
+    // Then we match on the outer code with a hamming distance < 4
+
+    d_sync[d_index] = (d_sync[d_index] << 1) | (sym < 2);
+    gr_int64 val = d_sync[d_index];
+    gr_int32 marker = ((val & 0x0000FFFFFFFF0000ULL)) >> 16;
+
+    if (gr_count_bits32(marker^FLEX_SYNC_MARKER) < 4) {
+        gr_int32 code = ((val & 0xFFFF000000000000ULL) >> 32) |
+                         (val & 0x000000000000FFFFULL);
+
+        for (int i = 0; i < num_flex_modes; i++) {
+            if (gr_count_bits32(code^flex_modes[i].sync) < 4) {
+                d_mode = i;
+                return true;
+            }
+        }
+
+        // Marker received but doesn't match known codes
+        // All codes have high word inverted to low word
+        unsigned short high = (code & 0xFFFF0000) >> 16;
+        unsigned short low = code & 0x0000FFFF;
+        unsigned short syn = high^low;
+        if (syn == 0xFFFF)
+            fprintf(stderr, "Unknown sync code detected: %08X\n", code);
+    }
+
+    return false;
+}
+
+void pager_flex_sync::enter_idle()
+{
+    d_state = ST_IDLE;
+    d_index = 0;
+    d_start = 0;
+    d_center = 0;
+    d_end = 0;
+    d_count = 0;
+    d_mode = 0;
+    d_baudrate = 1600;
+    d_levels = 2;
+    d_spb = 16000/d_baudrate;
+    d_bit_a = 0;
+    d_bit_b = 0;
+    d_bit_c = 0;
+    d_bit_d = 0;
+    d_hibit = false;
+    fflush(stdout);
+}
+
+void pager_flex_sync::enter_syncing()
+{
+    d_start = d_index;
+    d_state = ST_SYNCING;
+}
+
+void pager_flex_sync::enter_sync1()
+{
+    d_state = ST_SYNC1;
+    d_end = d_index;
+    d_center = index_avg(d_start, d_end); // Center of goodness
+    d_count = 0;
+}
+
+void pager_flex_sync::enter_sync2()
+{
+    d_state = ST_SYNC2;
+    d_count = 0;
+    d_baudrate = flex_modes[d_mode].baud;
+    d_levels = flex_modes[d_mode].levels;
+    d_spb = 16000/d_baudrate;
+
+    if (d_baudrate == 3200) {
+        // Oversampling buffer just got halved
+        d_center = d_center/2;
+
+       // We're here at the center of a 1600 baud bit
+       // So this hack puts the index and bit counter
+       // in the right place for 3200 bps.
+        d_index = d_index/2-d_spb/2;         
+       d_count = -1;                
+    }                               
+}
+
+void pager_flex_sync::enter_data()
+{
+    d_state = ST_DATA;
+    d_count = 0;
+}
+
+void pager_flex_sync::parse_fiw()
+{
+    // Nothing is done with these now, but these will end up getting
+    // passed as metadata when mblocks are available
+
+    // Bits 31-28 are frame number related, but unknown function
+    // This might be a checksum
+    d_unknown2 = pageri_reverse_bits8((d_fiw >> 24) & 0xF0);
+       
+    // Cycle is bits 27-24, reversed
+    d_cycle = pageri_reverse_bits8((d_fiw >> 20) & 0xF0);
+
+    // Frame is bits 23-17, reversed
+    d_frame = pageri_reverse_bits8((d_fiw >> 16) & 0xFE);
+
+    // Bits 16-11 are some sort of marker, usually identical across
+    // many frames but sometimes changes between frames or modes
+    d_unknown1 = (d_fiw >> 11) & 0x3F;
+
+    //printf("CYC:%02i FRM:%03i\n", d_cycle, d_frame);
+}
+
+int pager_flex_sync::output_symbol(unsigned char sym)
+{
+    // Here is where we output a 1 or 0 on each phase according
+    // to current FLEX mode and symbol value.  Unassigned phases
+    // are zero from the enter_idle() initialization.
+    //
+    // FLEX can transmit the data portion of the frame at either
+    // 1600 bps or 3200 bps, and can use either two- or four-level
+    // FSK encoding.
+    //
+    // At 1600 bps, 2-level, a single "phase" is transmitted with bit
+    // value '0' using level '3' and bit value '1' using level '0'.
+    //
+    // At 1600 bps, 4-level, a second "phase" is transmitted, and the 
+    // di-bits are encoded with a gray code:
+    //
+    // Symbol  Phase 1  Phase 2
+    // ------   -------  -------
+    //   0         1        1
+    //   1         1        0
+    //   2         0        0
+    //   3         0        1
+    //
+    // At 1600 bps, 4-level, these are called PHASE A and PHASE B.
+    //
+    // At 3200 bps, the same 1 or 2 bit encoding occurs, except that
+    // additionally two streams are interleaved on alternating symbols.
+    // Thus, PHASE A (and PHASE B if 4-level) are decoded on one symbol,
+    // then PHASE C (and PHASE D if 4-level) are decoded on the next.
+    
+    int bits = 0;
+    
+    if (d_baudrate == 1600) {
+       d_bit_a = (sym < 2);
+       if (d_levels == 4)
+           d_bit_b = (sym == 0) || (sym == 3);
+
+       *d_phase_a++ = d_bit_a;
+       *d_phase_b++ = d_bit_b;
+       *d_phase_c++ = d_bit_c;
+       *d_phase_d++ = d_bit_d;
+       bits++;
+    }
+    else {
+       if (!d_hibit) {
+           d_bit_a = (sym < 2);
+           if (d_levels == 4)
+               d_bit_b = (sym == 0) || (sym == 3);
+           d_hibit = true;
+       }
+       else {
+           d_bit_c = (sym < 2);
+           if (d_levels == 4)
+               d_bit_d = (sym == 0) || (sym == 3);
+           d_hibit = false;
+
+           *d_phase_a++ = d_bit_a;
+           *d_phase_b++ = d_bit_b;
+           *d_phase_c++ = d_bit_c;
+           *d_phase_d++ = d_bit_d;
+           bits++;
+       }
+    }
+
+    return bits;
+}
+
+int pager_flex_sync::general_work(int noutput_items,
+    gr_vector_int &ninput_items,
+    gr_vector_const_void_star &input_items,
+    gr_vector_void_star &output_items)
+{
+    const unsigned char *in = (const unsigned char *)input_items[0];
+    d_phase_a = (unsigned char *)output_items[0];
+    d_phase_b = (unsigned char *)output_items[1];
+    d_phase_c = (unsigned char *)output_items[2];
+    d_phase_d = (unsigned char *)output_items[3];
+
+    int i = 0, j = 0;
+    int ninputs = ninput_items[0];
+
+    while (i < ninputs && j < noutput_items) {
+        unsigned char sym = *in++; i++;
+        d_index = ++d_index % d_spb;
+    
+        switch (d_state) {
+            case ST_IDLE:
+               // Continually compare the received symbol stream
+               // against the known FLEX sync words.
+                if (test_sync(sym))
+                    enter_syncing();
+                break;
+    
+            case ST_SYNCING:
+               // Wait until we stop seeing sync, then calculate
+               // the center of the bit period (d_center)
+                if (!test_sync(sym))
+                    enter_sync1();
+                break;
+    
+            case ST_SYNC1:
+               // Skip 16 bits of dotting, then accumulate 32 bits
+               // of Frame Information Word.
+                if (d_index == d_center) {
+                   d_fiw = (d_fiw << 1) | (sym > 1);
+                    if (++d_count == 48) {
+                       // FIW is accumulated, call BCH to error correct it
+                       pageri_bch3221(d_fiw);
+                       parse_fiw();
+                        enter_sync2();  
+                   }
+                }
+                break;
+    
+            case ST_SYNC2:
+               // This part and the remainder of the frame are transmitted
+               // at either 1600 bps or 3200 bps based on the received
+               // FLEX sync word. The second SYNC header is 25ms of idle bits
+               // at either speed.
+                if (d_index == d_center) {
+                    // Skip 25 ms = 40 bits @ 1600 bps, 80 @ 3200 bps
+                    if (++d_count == d_baudrate/40)
+                        enter_data();
+                }
+                break;
+    
+            case ST_DATA:
+               // The data portion of the frame is 1760 ms long at either 
+               // baudrate.  This is 2816 bits @ 1600 bps and 5632 bits @ 3200 bps.
+               // The output_symbol() routine decodes and doles out the bits
+               // to each of the four transmitted phases of FLEX interleaved codes.
+                if (d_index == d_center) {
+                   j += output_symbol(sym);                
+                    if (++d_count == d_baudrate*1760/1000)
+                        enter_idle();
+               }
+                break;
+
+            default:
+                assert(0); // memory corruption of d_state if ever gets here
+                break;
+        }
+    }
+
+    consume_each(i);
+    return j;
+}
diff --git a/gr-pager/src/pager_flex_sync.h b/gr-pager/src/pager_flex_sync.h
new file mode 100644 (file)
index 0000000..b401bf5
--- /dev/null
@@ -0,0 +1,100 @@
+/*
+ * Copyright 2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifndef INCLUDED_PAGER_FLEX_SYNC_H
+#define INCLUDED_PAGER_FLEX_SYNC_H
+
+#include <gr_block.h>
+
+class pager_flex_sync;
+typedef boost::shared_ptr<pager_flex_sync> pager_flex_sync_sptr;
+typedef std::vector<gr_int64> gr_int64_vector;
+
+pager_flex_sync_sptr pager_make_flex_sync();
+
+/*!
+ * \brief flex sync description
+ * \ingroup pager_blk
+ */
+
+class pager_flex_sync : public gr_block
+{
+private:
+    // Constructors
+    friend pager_flex_sync_sptr pager_make_flex_sync();
+    pager_flex_sync();
+   
+    // State machine transitions
+    void enter_idle();
+    void enter_syncing();
+    void enter_sync1();
+    void enter_sync2();
+    void enter_data();
+
+    int index_avg(int start, int end);
+    bool test_sync(unsigned char sym);
+    void parse_fiw();
+    int output_symbol(unsigned char sym);    
+    
+    // Simple state machine
+    enum state_t { ST_IDLE, ST_SYNCING, ST_SYNC1, ST_SYNC2, ST_DATA };
+    state_t d_state;     
+
+    int d_index;    // Index into current baud
+    int d_start;    // Start of good sync 
+    int d_center;   // Center of bit
+    int d_end;      // End of good sync
+    int d_count;    // Bit counter
+
+    int d_mode;     // Current packet mode
+    int d_baudrate; // Current decoding baud rate
+    int d_levels;   // Current decoding levels
+    int d_spb;      // Current samples per baud
+    bool d_hibit;   // Alternating bit indicator for 3200 bps
+    
+    gr_int32 d_fiw; // Frame information word
+    int d_frame;    // Current FLEX frame
+    int d_cycle;    // Current FLEX cycle
+    int d_unknown1;
+    int d_unknown2;
+
+    unsigned char d_bit_a;
+    unsigned char d_bit_b;
+    unsigned char d_bit_c;
+    unsigned char d_bit_d;
+    
+    unsigned char *d_phase_a;  
+    unsigned char *d_phase_b;
+    unsigned char *d_phase_c;
+    unsigned char *d_phase_d;
+    
+    gr_int64_vector d_sync; // Trial synchronizers
+
+public:
+    void forecast(int noutput_items, gr_vector_int &inputs_required);
+
+    int general_work(int noutput_items,
+                     gr_vector_int &ninput_items,
+                     gr_vector_const_void_star &input_items, 
+                     gr_vector_void_star &output_items);
+};
+
+#endif /* INCLUDED_PAGER_FLEX_SYNC_H */
diff --git a/gr-pager/src/pager_slicer_fb.cc b/gr-pager/src/pager_slicer_fb.cc
new file mode 100644 (file)
index 0000000..d419db7
--- /dev/null
@@ -0,0 +1,84 @@
+/*
+ * Copyright 2004,2006,2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <pager_slicer_fb.h>
+#include <gr_io_signature.h>
+
+pager_slicer_fb_sptr pager_make_slicer_fb(float alpha)
+{
+    return pager_slicer_fb_sptr(new pager_slicer_fb(alpha));
+}
+
+pager_slicer_fb::pager_slicer_fb(float alpha) :
+    gr_sync_block ("slicer_fb",
+                   gr_make_io_signature (1, 1, sizeof(float)),
+                   gr_make_io_signature (1, 1, sizeof(unsigned char)))
+{
+    d_alpha = alpha;
+    d_beta = 1.0-alpha;
+    d_avg = 0.0;
+}
+
+// Tracks average, minimum, and peak, then converts input into one of:
+//
+// [0, 1, 2, 3]
+unsigned char pager_slicer_fb::slice(float sample)
+{
+    unsigned char decision;
+
+    // Update DC level and remove
+    d_avg = d_avg*d_beta+sample*d_alpha;
+    sample -= d_avg;
+
+    if (sample > 0) {
+        if (sample > 2.0)          
+            decision = 3;
+        else
+            decision = 2;
+    }
+    else {
+        if (sample < -2.0)
+            decision = 0;
+        else
+            decision = 1;
+    }
+
+    return decision;
+}
+
+int pager_slicer_fb::work(int noutput_items,
+                          gr_vector_const_void_star &input_items,
+                                 gr_vector_void_star &output_items)
+{
+    float *iptr = (float *) input_items[0];
+    unsigned char *optr = (unsigned char *) output_items[0];
+
+    int size = noutput_items;
+
+    for (int i = 0; i < size; i++)
+        *optr++ = slice(*iptr++);
+
+    return noutput_items;
+}
diff --git a/gr-pager/src/pager_slicer_fb.h b/gr-pager/src/pager_slicer_fb.h
new file mode 100644 (file)
index 0000000..75eea3c
--- /dev/null
@@ -0,0 +1,56 @@
+/*
+ * Copyright 2006,2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifndef INCLUDED_PAGER_SLICER_FB_H
+#define INCLUDED_PAGER_SLICER_FB_H
+
+#include <gr_sync_block.h>
+
+class pager_slicer_fb;
+typedef boost::shared_ptr<pager_slicer_fb> pager_slicer_fb_sptr;
+
+pager_slicer_fb_sptr pager_make_slicer_fb(float alpha);
+
+/*!
+ * \brief slicer description
+ * \ingroup pager_blk
+ */
+class pager_slicer_fb : public gr_sync_block
+{
+private:
+    friend pager_slicer_fb_sptr pager_make_slicer_fb(float alpha);
+    pager_slicer_fb(float alpha);
+
+    unsigned char slice(float sample);
+
+    float d_alpha;      // DC removal time constant
+    float d_beta;      // 1.0-d_alpha
+    float d_avg;        // Average value for DC offset subtraction
+
+public:
+    int work (int noutput_items,
+              gr_vector_const_void_star &input_items, 
+              gr_vector_void_star &output_items);
+
+    float dc_offset() const { return d_avg; }
+};
+
+#endif /* INCLUDED_PAGER_SLICER_FB_H */
diff --git a/gr-pager/src/pager_swig.i b/gr-pager/src/pager_swig.i
new file mode 100644 (file)
index 0000000..aeb576d
--- /dev/null
@@ -0,0 +1,89 @@
+/*
+ * Copyright 2005,2006,2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+%include "gnuradio.i"
+
+%{
+#include "pager_flex_frame.h"
+#include "pager_slicer_fb.h"
+#include "pager_flex_sync.h"
+#include "pager_flex_deinterleave.h"
+#include "pager_flex_parse.h"
+%}
+
+%include "pager_flex_frame.i"
+
+// ----------------------------------------------------------------
+
+GR_SWIG_BLOCK_MAGIC(pager,slicer_fb);
+
+pager_slicer_fb_sptr pager_make_slicer_fb(float alpha);
+
+class pager_slicer_fb : public gr_sync_block
+{
+private:
+    pager_slicer_fb(float alpha);
+
+public:
+    float dc_offset() const { return d_avg; }
+};
+
+// ----------------------------------------------------------------
+
+GR_SWIG_BLOCK_MAGIC(pager,flex_sync);
+
+pager_flex_sync_sptr pager_make_flex_sync();
+
+class pager_flex_sync : public gr_block
+{
+private:
+    pager_flex_sync();
+
+public:
+};
+
+// ----------------------------------------------------------------
+
+GR_SWIG_BLOCK_MAGIC(pager,flex_deinterleave);
+
+pager_flex_deinterleave_sptr pager_make_flex_deinterleave();
+
+class pager_flex_deinterleave : public gr_sync_decimator
+{
+private:
+    pager_flex_deinterleave();
+
+public:
+};
+
+// ----------------------------------------------------------------
+
+GR_SWIG_BLOCK_MAGIC(pager,flex_parse);
+
+pager_flex_parse_sptr pager_make_flex_parse(gr_msg_queue_sptr queue, float freq);
+
+class pager_flex_parse : public gr_block
+{
+private:
+    pager_flex_parse(gr_msg_queue_sptr queue, float freq);
+
+public:
+};
diff --git a/gr-pager/src/pager_utils.py b/gr-pager/src/pager_utils.py
new file mode 100644 (file)
index 0000000..72aac68
--- /dev/null
@@ -0,0 +1,60 @@
+#
+# Copyright 2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License along
+# with this program; if not, write to the Free Software Foundation, Inc.,
+# 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+#
+
+from gnuradio import gr
+import gnuradio.gr.gr_threading as _threading
+from string import split, join, printable
+import time
+
+def make_trans_table():
+    table = 256 * ['.']
+    for i in range(256):
+        if (i < 32):
+            table[i] = '.'
+        else:
+            table[i] = chr(i)
+    return ''.join(table)
+
+_trans_table = make_trans_table()
+
+def make_printable(s):
+    return s.translate(_trans_table)
+
+
+class queue_runner(_threading.Thread):
+    def __init__(self, msgq):
+        _threading.Thread.__init__(self)
+        self.msgq = msgq
+        self.done = False
+        self.start()
+
+    def run(self):
+        while 1:
+            msg = self.msgq.delete_head() # Blocking read
+            if msg.type() != 0:
+                break
+            
+            page = join(split(msg.to_string(), chr(128)), '|')
+            s = make_printable(page)
+            print msg.type(), s
+                
+    def end(self):
+        self.msgq.insert_tail(gr.message(1))
+        self.done = True
diff --git a/gr-pager/src/pageri_bch3221.cc b/gr-pager/src/pageri_bch3221.cc
new file mode 100644 (file)
index 0000000..984ed4d
--- /dev/null
@@ -0,0 +1,36 @@
+/*
+ * Copyright 2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <pageri_bch3221.h>
+
+// Corrects supplied data word according to BCH3221 encoding and
+// returns the number of errors detected/corrected.
+//
+// Not implemented yet
+
+int pageri_bch3221(gr_int32 &data)
+{
+    return 0;
+}
diff --git a/gr-pager/src/pageri_bch3221.h b/gr-pager/src/pageri_bch3221.h
new file mode 100644 (file)
index 0000000..9dd2be8
--- /dev/null
@@ -0,0 +1,31 @@
+/*
+ * Copyright 2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifndef INCLUDED_PAGERI_BCH3221_H
+#define INCLUDED_PAGERI_BCH3221_H
+
+#include <gr_types.h>
+
+// Perform BCH (32,21) error correction on supplied data
+// Return number of errors found/corrected (0, 1, or 2)
+int pageri_bch3221(gr_int32 &data);
+
+#endif /* INCLUDED_PAGERI_BCH3221_H */
diff --git a/gr-pager/src/pageri_flex_modes.cc b/gr-pager/src/pageri_flex_modes.cc
new file mode 100644 (file)
index 0000000..4553e0b
--- /dev/null
@@ -0,0 +1,57 @@
+/*
+ * Copyright 2006,2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#include "pageri_flex_modes.h"
+
+const flex_mode_t flex_modes[] = 
+{
+    { 0x870C78F3, 1600, 2 },
+    { 0xB0684F97, 1600, 4 },
+//  { 0xUNKNOWN,  3200, 2 },
+    { 0xDEA0215F, 3200, 4 },
+    { 0x4C7CB383, 3200, 4 }
+};
+
+const int num_flex_modes = sizeof(flex_modes)/sizeof(flex_modes[0]);
+
+unsigned char flex_bcd[17] = "0123456789 U -][";
+
+const char *flex_page_desc[] =
+{
+    "ENC",
+    "UNK",
+    "TON",
+    "NUM",
+    "SPN",
+    "ALN",
+    "BIN",
+    "NNM"
+};
+
+int find_flex_mode(gr_int32 sync_code)
+{
+    for (int i = 0; i < num_flex_modes; i++)
+       if (flex_modes[i].sync == sync_code)
+           return i;
+       
+    // Not found
+    return -1;
+}
diff --git a/gr-pager/src/pageri_flex_modes.h b/gr-pager/src/pageri_flex_modes.h
new file mode 100644 (file)
index 0000000..bc53c12
--- /dev/null
@@ -0,0 +1,74 @@
+/*
+ * Copyright 2006,2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifndef INCLUDED_PAGERI_FLEX_MODES_H
+#define INCLUDED_PAGERI_FLEX_MODES_H
+
+#include <gr_types.h>
+
+#define FLEX_SYNC_MARKER 0xA6C6AAAA
+
+typedef struct flex_mode
+{
+    gr_int32     sync;          // Outer synchronization code
+    unsigned int baud;          // Baudrate of SYNC2 and DATA
+    unsigned int levels;        // FSK encoding of SYNC2 and DATA
+}
+flex_mode_t;
+
+extern const flex_mode_t flex_modes[];
+extern const char *flex_page_desc[];
+extern const int num_flex_modes;
+int find_flex_mode(gr_int32 sync_code);
+extern unsigned char flex_bcd[];
+
+typedef enum {
+    FLEX_SECURE,
+    FLEX_UNKNOWN,
+    FLEX_TONE,
+    FLEX_STANDARD_NUMERIC,
+    FLEX_SPECIAL_NUMERIC,
+    FLEX_ALPHANUMERIC,
+    FLEX_BINARY,
+    FLEX_NUMBERED_NUMERIC,
+    NUM_FLEX_PAGE_TYPES
+}
+page_type_t;
+
+inline bool is_alphanumeric_page(page_type_t type)
+{
+    return (type == FLEX_ALPHANUMERIC ||
+           type == FLEX_SECURE);
+}
+
+inline bool is_numeric_page(page_type_t type)
+{
+    return (type == FLEX_STANDARD_NUMERIC ||
+            type == FLEX_SPECIAL_NUMERIC  ||
+            type == FLEX_NUMBERED_NUMERIC);
+}
+
+inline bool is_tone_page(page_type_t type)
+{
+    return (type == FLEX_TONE);
+}
+
+#endif // INCLUDED_PAGERI_FLEX_MODES_H
diff --git a/gr-pager/src/pageri_util.cc b/gr-pager/src/pageri_util.cc
new file mode 100644 (file)
index 0000000..bdd0957
--- /dev/null
@@ -0,0 +1,46 @@
+/*
+ * Copyright 2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <pageri_util.h>
+
+unsigned char pageri_reverse_bits8(unsigned char val)
+{
+    // This method was attributed to Rich Schroeppel in the Programming 
+    // Hacks section of Beeler, M., Gosper, R. W., and Schroeppel, R. 
+    // HAKMEM. MIT AI Memo 239, Feb. 29, 1972.
+    //
+    // Reverses 8 bits in 5 machine operations with 64 bit arch
+    return (val * 0x0202020202ULL & 0x010884422010ULL) % 1023;
+}
+
+gr_int32 pageri_reverse_bits32(gr_int32 val)
+{
+    gr_int32 out = 0x00000000;
+    out |= (pageri_reverse_bits8((val >> 24) & 0x000000FF)      );
+    out |= (pageri_reverse_bits8((val >> 16) & 0x000000FF) <<  8);
+    out |= (pageri_reverse_bits8((val >>  8) & 0x000000FF) << 16);
+    out |= (pageri_reverse_bits8((val      ) & 0x000000FF) << 24);
+    return out;
+}
diff --git a/gr-pager/src/pageri_util.h b/gr-pager/src/pageri_util.h
new file mode 100644 (file)
index 0000000..78ae7aa
--- /dev/null
@@ -0,0 +1,30 @@
+/*
+ * Copyright 2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifndef INCLUDED_PAGERI_UTIL_H
+#define INCLUDED_PAGERI_UTIL_H
+
+#include <gr_types.h>
+
+unsigned char pageri_reverse_bits8(unsigned char val);
+gr_int32 pageri_reverse_bits32(gr_int32 val);
+
+#endif /* INCLUDED_PAGERI_UTIL_H */
diff --git a/gr-pager/src/qa_pager.py b/gr-pager/src/qa_pager.py
new file mode 100755 (executable)
index 0000000..5bf72b5
--- /dev/null
@@ -0,0 +1,35 @@
+#!/usr/bin/env python
+#
+# Copyright 2004,2006 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+from gnuradio import gr, gr_unittest
+import pager_swig
+
+class qa_pgr(gr_unittest.TestCase):
+
+    def setUp (self):
+        self.tb = gr.top_block ()
+
+    def tearDown (self):
+        self.tb = None
+
+if __name__ == '__main__':
+    gr_unittest.main ()
diff --git a/gr-pager/src/run_tests.in b/gr-pager/src/run_tests.in
new file mode 100644 (file)
index 0000000..6bb0c39
--- /dev/null
@@ -0,0 +1,10 @@
+#!/bin/sh
+
+# 1st parameter is absolute path to component source directory
+# 2nd parameter is absolute path to component build directory
+# 3rd parameter is path to Python QA directory
+
+@top_builddir@/run_tests.sh \
+    @abs_top_srcdir@/gr-pager \
+    @abs_top_builddir@/gr-pager \
+    @srcdir@
diff --git a/gr-pager/src/usrp_flex.py b/gr-pager/src/usrp_flex.py
new file mode 100755 (executable)
index 0000000..f8d9d25
--- /dev/null
@@ -0,0 +1,172 @@
+#!/usr/bin/env python
+#
+# Copyright 2006,2007,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+from gnuradio import gr, gru, usrp, optfir, eng_notation, pager
+from gnuradio.eng_option import eng_option
+from optparse import OptionParser
+import time, os, sys
+
+"""
+This example application demonstrates receiving and demodulating the
+FLEX pager protocol.
+
+The following are required command line parameters:
+
+-f FREQ            USRP receive frequency
+
+The following are optional command line parameters:
+
+-R SUBDEV   Daughter board specification, defaults to first found
+-F FILE     Read samples from a file instead of USRP.
+-c FREQ     Calibration offset.  Gets added to receive frequency.
+            Defaults to 0.0 Hz.
+-g GAIN     Daughterboard gain setting. Defaults to mid-range.
+-l          Log flow graph to files (LOTS of data)
+-v          Verbose output
+
+Once the program is running, ctrl-break (Ctrl-C) stops operation.
+"""
+
+class app_top_block(gr.top_block):
+    def __init__(self, options, queue):
+        gr.top_block.__init__(self, "usrp_flex")
+        self.options = options
+       self.offset = 0.0
+       self.adj_time = time.time()
+       self.verbose = options.verbose
+                       
+       if options.from_file is None:
+            # Set up USRP source with specified RX daughterboard
+            self.src = usrp.source_c()
+            if options.rx_subdev_spec == None:
+                options.rx_subdev_spec = usrp.pick_rx_subdevice(self.src)
+            self.subdev = usrp.selected_subdev(self.src, options.rx_subdev_spec)
+            self.src.set_mux(usrp.determine_rx_mux_value(self.src, options.rx_subdev_spec))
+
+            # Grab 250 KHz of spectrum (sample rate becomes 250 ksps complex)
+            self.src.set_decim_rate(256)
+                   
+            # If no gain specified, set to midrange
+            if options.gain is None:
+                g = self.subdev.gain_range()
+                options.gain = (g[0]+g[1])/2.0
+            self.subdev.set_gain(options.gain)
+
+            # Tune daughterboard
+            actual_frequency = options.frequency+options.calibration
+            tune_result = usrp.tune(self.src, 0, self.subdev, actual_frequency)
+            if not tune_result:
+                sys.stderr.write("Failed to set center frequency to "+`actual_frequency`+"\n")
+                sys.exit(1)
+
+            if options.verbose:
+                print "Using RX daughterboard", self.subdev.side_and_name()
+                print "USRP gain is", options.gain
+                print "USRP tuned to", actual_frequency
+            
+        else:
+            # Use supplied file as source of samples
+            self.src = gr.file_source(gr.sizeof_gr_complex, options.from_file)
+            if options.verbose:
+                print "Reading samples from", options.from_file
+           
+        if options.log and not options.from_file:
+            usrp_sink = gr.file_sink(gr.sizeof_gr_complex, 'usrp.dat')
+            self.connect(self.src, usrp_sink)
+
+        # Set up 22KHz-wide bandpass about center frequency. Decimate by 10
+        # to get channel rate of 25Ksps
+        taps = optfir.low_pass(1.0,   # Filter gain
+                               250e3, # Sample rate
+                               11000, # One-sided modulation bandwidth
+                               12500, # One-sided channel bandwidth
+                               0.1,   # Passband ripple
+                               60)    # Stopband attenuation
+       
+       if options.verbose:
+           print "Channel filter has", len(taps), "taps."
+
+        self.chan = gr.freq_xlating_fir_filter_ccf(10,    # Decimation rate
+                                                   taps,  # Filter taps
+                                                   0.0,   # Offset frequency
+                                                   250e3) # Sample rate
+
+       if options.log:
+           chan_sink = gr.file_sink(gr.sizeof_gr_complex, 'chan.dat')
+           self.connect(self.chan, chan_sink)
+
+        # FLEX protocol demodulator
+        self.flex = pager.flex_demod(queue, options.frequency, options.verbose, options.log)
+
+        self.connect(self.src, self.chan, self.flex)
+
+    def freq_offset(self):
+       return self.flex.dc_offset()*1600
+
+    def adjust_freq(self):
+       if time.time() - self.adj_time > 1.6:   # Only do it once per FLEX frame
+           self.adj_time = time.time()
+           self.offset -= self.freq_offset()
+           self.chan.set_center_freq(self.offset)
+           if self.verbose:
+               print "Channel frequency offset (Hz):", int(self.offset)
+                       
+def main():
+    parser = OptionParser(option_class=eng_option)
+    parser.add_option("-f", "--frequency", type="eng_float", default=None,
+                      help="set receive frequency to Hz", metavar="Hz")
+    parser.add_option("-R", "--rx-subdev-spec", type="subdev",
+                      help="select USRP Rx side A or B", metavar="SUBDEV")
+    parser.add_option("-c",   "--calibration", type="eng_float", default=0.0,
+                      help="set frequency offset to Hz", metavar="Hz")
+    parser.add_option("-g", "--gain", type="int", default=None,
+                      help="set RF gain", metavar="dB")
+    parser.add_option("-l", "--log", action="store_true", default=False,
+                      help="log flowgraph to files (LOTS of data)")
+    parser.add_option("-v", "--verbose", action="store_true", default=False,
+                      help="display debug output")
+    parser.add_option("-F", "--from-file", default=None,
+                      help="read samples from file instead of USRP")
+    (options, args) = parser.parse_args()
+
+    if len(args) > 0 or (options.frequency == None and options.from_file == None):
+       print "Run 'usrp_flex.py -h' for options."
+       sys.exit(1)
+
+    if options.frequency == None:
+       options.frequency = 0.0
+
+    # Flow graph emits pages into message queue
+    queue = gr.msg_queue()
+    tb = app_top_block(options, queue)
+    runner = pager.queue_runner(queue)
+    
+    try:
+        tb.run()
+    except KeyboardInterrupt:
+        pass
+
+    runner.end()
+
+
+if __name__ == "__main__":
+    main()
diff --git a/gr-pager/src/usrp_flex_all.py b/gr-pager/src/usrp_flex_all.py
new file mode 100755 (executable)
index 0000000..14f9151
--- /dev/null
@@ -0,0 +1,109 @@
+#!/usr/bin/env python
+#
+# Copyright 2006,2007,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+from gnuradio import gr, gru, usrp, optfir, eng_notation, blks2, pager
+from gnuradio.eng_option import eng_option
+from optparse import OptionParser
+from string import split, join, printable
+import time
+
+class app_top_block(gr.top_block):
+    def __init__(self, options, queue):
+       gr.top_block.__init__(self, "usrp_flex_all")
+
+        if options.from_file is not None:
+            src = gr.file_source(gr.sizeof_gr_complex, options.from_file)
+            if options.verbose:
+                print "Reading samples from file", options.from_file
+        else:
+            src = usrp.source_c()
+            if options.rx_subdev_spec is None:
+                options.rx_subdev_spec = usrp.pick_rx_subdevice(src)
+            subdev = usrp.selected_subdev(src, options.rx_subdev_spec)
+            src.set_mux(usrp.determine_rx_mux_value(src, options.rx_subdev_spec))
+            src.set_decim_rate(20)
+            result = usrp.tune(src, 0, subdev, 930.5125e6+options.calibration)
+            if options.verbose:
+                print "Using", subdev.name(), " for receiving."
+                print "Tuned USRP to", 930.5125e6+options.calibration
+                
+        taps = gr.firdes.low_pass(1.0,
+                                  1.0,
+                                  1.0/128.0*0.4,
+                                  1.0/128.0*0.1,
+                                  gr.firdes.WIN_HANN)
+
+        if options.verbose:
+            print "Channel filter has", len(taps), "taps"
+
+        bank = blks2.analysis_filterbank(128, taps)
+        self.connect(src, bank)
+
+        if options.log and options.from_file == None:
+            src_sink = gr.file_sink(gr.sizeof_gr_complex, 'usrp.dat')
+            self.connect(src, src_sink)
+
+        for i in range(128):
+           if i < 64:
+               freq = 930.5e6+i*25e3
+           else:
+               freq = 928.9e6+(i-64)*25e3
+
+           if (freq < 929.0e6 or freq > 932.0e6):
+                self.connect((bank, i), gr.null_sink(gr.sizeof_gr_complex))
+           else:
+               self.connect((bank, i), pager.flex_demod(queue, freq, options.verbose, options.log))
+                if options.log:
+                    self.connect((bank, i), gr.file_sink(gr.sizeof_gr_complex, 'chan_'+'%3.3f'%(freq/1e6)+'.dat'))
+
+def main():
+    parser = OptionParser(option_class=eng_option)
+    parser.add_option("-R", "--rx-subdev-spec", type="subdev",
+                      help="select USRP Rx side A or B (default=first daughterboard found)")
+    parser.add_option("-c", "--calibration", type="eng_float", default=0.0,
+                      help="set frequency offset to Hz", metavar="Hz")
+    parser.add_option("-g", "--gain", type="int",
+                      help="set RF gain", metavar="dB")
+    parser.add_option("-F", "--from-file", default=None,
+                      help="Read from file instead of USRP")
+    parser.add_option("-l", "--log", action="store_true", default=False,
+                      help="log flowgraph to files (LOTS of data)")
+    parser.add_option("-v", "--verbose", action="store_true", default=False,
+                      help="display debug output")
+    (options, args) = parser.parse_args()
+
+    if options.verbose:
+        print options
+
+    queue = gr.msg_queue()
+    tb = app_top_block(options, queue)
+    runner = pager.queue_runner(queue)
+
+    try:
+        tb.run()
+    except KeyboardInterrupt:
+        pass
+
+    runner.end()
+    
+if __name__ == "__main__":
+    main()
diff --git a/gr-pager/src/usrp_flex_band.py b/gr-pager/src/usrp_flex_band.py
new file mode 100755 (executable)
index 0000000..06c2488
--- /dev/null
@@ -0,0 +1,110 @@
+#!/usr/bin/env python
+#
+# Copyright 2006,2007,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+from gnuradio import gr, gru, usrp, optfir, eng_notation, blks2, pager
+from gnuradio.eng_option import eng_option
+from optparse import OptionParser
+
+class app_top_block(gr.top_block):
+    def __init__(self, options, queue):
+       gr.top_block.__init__(self, "usrp_flex_all")
+        self.subdev = None
+
+        if options.from_file is not None:
+            self.src = gr.file_source(gr.sizeof_gr_complex, options.from_file)
+            if options.verbose:
+                print "Reading samples from file", options.from_file
+        else:
+            self.src = usrp.source_c()
+            if options.rx_subdev_spec is None:
+                options.rx_subdev_spec = usrp.pick_rx_subdevice(self.src)
+            self.subdev = usrp.selected_subdev(self.src, options.rx_subdev_spec)
+            self.src.set_mux(usrp.determine_rx_mux_value(self.src, options.rx_subdev_spec))
+            self.src.set_decim_rate(64)
+           frequency = options.frequency+options.calibration
+            result = usrp.tune(self.src, 0, self.subdev, frequency)
+            if options.verbose:
+                print "Using", self.subdev.name(), " for receiving."
+                print "Tuned USRP to", frequency
+                
+        taps = gr.firdes.low_pass(1.0,
+                                  1.0,
+                                  1.0/40.0*0.4,
+                                  1.0/40.0*0.1,
+                                  gr.firdes.WIN_HANN)
+
+        if options.verbose:
+            print "Channel filter has", len(taps), "taps"
+
+        bank = blks2.analysis_filterbank(40, taps)
+        self.connect(self.src, bank)
+
+        if options.log and options.from_file == None:
+            src_sink = gr.file_sink(gr.sizeof_gr_complex, 'usrp.dat')
+            self.connect(self.src, src_sink)
+
+        for i in range(40):
+           if i < 20:
+               freq = options.frequency+i*25e3
+           else:
+               freq = options.frequency-0.5e6+(i-20)*25e3
+
+           self.connect((bank, i), pager.flex_demod(queue, freq, options.verbose, options.log))
+           if options.log:
+               self.connect((bank, i), gr.file_sink(gr.sizeof_gr_complex, 'chan_'+'%3.3f'%(freq/1e6)+'.dat'))
+
+
+def main():
+    parser = OptionParser(option_class=eng_option)
+    parser.add_option("-f", "--frequency", type="eng_float", default=929.5e6,
+                      help="set receive center frequency to Hz", metavar="Hz")
+    parser.add_option("-R", "--rx-subdev-spec", type="subdev",
+                      help="select USRP Rx side A or B (default=first daughterboard found)")
+    parser.add_option("-c", "--calibration", type="eng_float", default=0.0,
+                      help="set frequency offset to Hz", metavar="Hz")
+    parser.add_option("-g", "--gain", type="int",
+                      help="set RF gain", metavar="dB")
+    parser.add_option("-F", "--from-file", default=None,
+                      help="Read from file instead of USRP")
+    parser.add_option("-l", "--log", action="store_true", default=False,
+                      help="log flowgraph to files (LOTS of data)")
+    parser.add_option("-v", "--verbose", action="store_true", default=False,
+                      help="display debug output")
+    (options, args) = parser.parse_args()
+
+    if options.verbose:
+        print options
+
+    queue = gr.msg_queue()
+    tb = app_top_block(options, queue)
+    runner = pager.queue_runner(queue)
+
+    try:
+        tb.run()
+    except KeyboardInterrupt:
+        pass
+
+    runner.end()
+
+    
+if __name__ == "__main__":
+    main()
diff --git a/gr-qtgui/Makefile.in b/gr-qtgui/Makefile.in
new file mode 100644 (file)
index 0000000..57cd901
--- /dev/null
@@ -0,0 +1,1030 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2008 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gr-qtgui
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+SUBDIRS = src
+DIST_SUBDIRS = src
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-qtgui/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-qtgui/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-recursive
+all-am: Makefile
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive dist-hook distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-qtgui/src/Makefile.in b/gr-qtgui/src/Makefile.in
new file mode 100644 (file)
index 0000000..4ab4741
--- /dev/null
@@ -0,0 +1,896 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2008 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+subdir = gr-qtgui/src
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+SUBDIRS = lib python
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am  $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-qtgui/src/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-qtgui/src/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+check-am: all-am
+check: check-recursive
+all-am: Makefile
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am
+
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-qtgui/src/lib/ConstellationDisplayPlot_moc.cc b/gr-qtgui/src/lib/ConstellationDisplayPlot_moc.cc
new file mode 100644 (file)
index 0000000..ce1be09
--- /dev/null
@@ -0,0 +1,74 @@
+/****************************************************************************
+** Meta object code from reading C++ file 'ConstellationDisplayPlot.h'
+**
+** Created: Tue Jul 14 15:57:22 2009
+**      by: The Qt Meta Object Compiler version 61 (Qt 4.5.0)
+**
+** WARNING! All changes made in this file will be lost!
+*****************************************************************************/
+
+#include "ConstellationDisplayPlot.h"
+#if !defined(Q_MOC_OUTPUT_REVISION)
+#error "The header file 'ConstellationDisplayPlot.h' doesn't include <QObject>."
+#elif Q_MOC_OUTPUT_REVISION != 61
+#error "This file was generated using the moc from 4.5.0. It"
+#error "cannot be used with the include files from this version of Qt."
+#error "(The moc has changed too much.)"
+#endif
+
+QT_BEGIN_MOC_NAMESPACE
+static const uint qt_meta_data_ConstellationDisplayPlot[] = {
+
+ // content:
+       2,       // revision
+       0,       // classname
+       0,    0, // classinfo
+       1,   12, // methods
+       0,    0, // properties
+       0,    0, // enums/sets
+       0,    0, // constructors
+
+ // slots: signature, parameters, type, tag, flags
+      38,   26,   25,   25, 0x09,
+
+       0        // eod
+};
+
+static const char qt_meta_stringdata_ConstellationDisplayPlot[] = {
+    "ConstellationDisplayPlot\0\0plotItem,on\0"
+    "LegendEntryChecked(QwtPlotItem*,bool)\0"
+};
+
+const QMetaObject ConstellationDisplayPlot::staticMetaObject = {
+    { &QwtPlot::staticMetaObject, qt_meta_stringdata_ConstellationDisplayPlot,
+      qt_meta_data_ConstellationDisplayPlot, 0 }
+};
+
+const QMetaObject *ConstellationDisplayPlot::metaObject() const
+{
+    return &staticMetaObject;
+}
+
+void *ConstellationDisplayPlot::qt_metacast(const char *_clname)
+{
+    if (!_clname) return 0;
+    if (!strcmp(_clname, qt_meta_stringdata_ConstellationDisplayPlot))
+        return static_cast<void*>(const_cast< ConstellationDisplayPlot*>(this));
+    return QwtPlot::qt_metacast(_clname);
+}
+
+int ConstellationDisplayPlot::qt_metacall(QMetaObject::Call _c, int _id, void **_a)
+{
+    _id = QwtPlot::qt_metacall(_c, _id, _a);
+    if (_id < 0)
+        return _id;
+    if (_c == QMetaObject::InvokeMetaMethod) {
+        switch (_id) {
+        case 0: LegendEntryChecked((*reinterpret_cast< QwtPlotItem*(*)>(_a[1])),(*reinterpret_cast< bool(*)>(_a[2]))); break;
+        default: ;
+        }
+        _id -= 1;
+    }
+    return _id;
+}
+QT_END_MOC_NAMESPACE
diff --git a/gr-qtgui/src/lib/FrequencyDisplayPlot_moc.cc b/gr-qtgui/src/lib/FrequencyDisplayPlot_moc.cc
new file mode 100644 (file)
index 0000000..2e26984
--- /dev/null
@@ -0,0 +1,77 @@
+/****************************************************************************
+** Meta object code from reading C++ file 'FrequencyDisplayPlot.h'
+**
+** Created: Tue Jul 14 15:57:22 2009
+**      by: The Qt Meta Object Compiler version 61 (Qt 4.5.0)
+**
+** WARNING! All changes made in this file will be lost!
+*****************************************************************************/
+
+#include "FrequencyDisplayPlot.h"
+#if !defined(Q_MOC_OUTPUT_REVISION)
+#error "The header file 'FrequencyDisplayPlot.h' doesn't include <QObject>."
+#elif Q_MOC_OUTPUT_REVISION != 61
+#error "This file was generated using the moc from 4.5.0. It"
+#error "cannot be used with the include files from this version of Qt."
+#error "(The moc has changed too much.)"
+#endif
+
+QT_BEGIN_MOC_NAMESPACE
+static const uint qt_meta_data_FrequencyDisplayPlot[] = {
+
+ // content:
+       2,       // revision
+       0,       // classname
+       0,    0, // classinfo
+       2,   12, // methods
+       0,    0, // properties
+       0,    0, // enums/sets
+       0,    0, // constructors
+
+ // slots: signature, parameters, type, tag, flags
+      22,   21,   21,   21, 0x0a,
+      53,   21,   21,   21, 0x0a,
+
+       0        // eod
+};
+
+static const char qt_meta_stringdata_FrequencyDisplayPlot[] = {
+    "FrequencyDisplayPlot\0\0"
+    "SetLowerIntensityLevel(double)\0"
+    "SetUpperIntensityLevel(double)\0"
+};
+
+const QMetaObject FrequencyDisplayPlot::staticMetaObject = {
+    { &QwtPlot::staticMetaObject, qt_meta_stringdata_FrequencyDisplayPlot,
+      qt_meta_data_FrequencyDisplayPlot, 0 }
+};
+
+const QMetaObject *FrequencyDisplayPlot::metaObject() const
+{
+    return &staticMetaObject;
+}
+
+void *FrequencyDisplayPlot::qt_metacast(const char *_clname)
+{
+    if (!_clname) return 0;
+    if (!strcmp(_clname, qt_meta_stringdata_FrequencyDisplayPlot))
+        return static_cast<void*>(const_cast< FrequencyDisplayPlot*>(this));
+    return QwtPlot::qt_metacast(_clname);
+}
+
+int FrequencyDisplayPlot::qt_metacall(QMetaObject::Call _c, int _id, void **_a)
+{
+    _id = QwtPlot::qt_metacall(_c, _id, _a);
+    if (_id < 0)
+        return _id;
+    if (_c == QMetaObject::InvokeMetaMethod) {
+        switch (_id) {
+        case 0: SetLowerIntensityLevel((*reinterpret_cast< const double(*)>(_a[1]))); break;
+        case 1: SetUpperIntensityLevel((*reinterpret_cast< const double(*)>(_a[1]))); break;
+        default: ;
+        }
+        _id -= 2;
+    }
+    return _id;
+}
+QT_END_MOC_NAMESPACE
diff --git a/gr-qtgui/src/lib/Makefile.in b/gr-qtgui/src/lib/Makefile.in
new file mode 100644 (file)
index 0000000..df217f9
--- /dev/null
@@ -0,0 +1,1465 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# Makefile.swig.gen for qtgui.i
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(grinclude_HEADERS) $(qtgui_python_PYTHON) \
+       $(qtgui_swiginclude_HEADERS) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(srcdir)/Makefile.swig.gen \
+       $(top_srcdir)/Makefile.common $(top_srcdir)/Makefile.swig
+subdir = gr-qtgui/src/lib
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(libdir)" "$(DESTDIR)$(qtgui_pylibdir)" \
+       "$(DESTDIR)$(qtgui_pythondir)" "$(DESTDIR)$(grincludedir)" \
+       "$(DESTDIR)$(qtgui_swigincludedir)"
+libLTLIBRARIES_INSTALL = $(INSTALL)
+qtgui_pylibLTLIBRARIES_INSTALL = $(INSTALL)
+LTLIBRARIES = $(lib_LTLIBRARIES) $(qtgui_pylib_LTLIBRARIES)
+am__DEPENDENCIES_1 =
+_qtgui_la_DEPENDENCIES = $(am__DEPENDENCIES_1) $(qtgui_la_swig_libadd)
+am__qtgui_la_OBJECTS = _qtgui_la-qtgui.lo
+_qtgui_la_OBJECTS = $(am__qtgui_la_OBJECTS)
+_qtgui_la_LINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) \
+       $(LIBTOOLFLAGS) --mode=link $(CXXLD) $(_qtgui_la_CXXFLAGS) \
+       $(CXXFLAGS) $(_qtgui_la_LDFLAGS) $(LDFLAGS) -o $@
+libgnuradio_qtgui_la_DEPENDENCIES = $(am__DEPENDENCIES_1) \
+       $(am__DEPENDENCIES_1)
+am__libgnuradio_qtgui_la_SOURCES_DIST = FrequencyDisplayPlot.cc \
+       TimeDomainDisplayPlot.cc WaterfallDisplayPlot.cc \
+       Waterfall3DDisplayPlot.cc waterfallGlobalData.cc \
+       ConstellationDisplayPlot.cc spectrumdisplayform.cc \
+       SpectrumGUIClass.cc spectrumUpdateEvents.cc plot_waterfall.cc \
+       spectrumdisplayform_moc.cc FrequencyDisplayPlot_moc.cc \
+       TimeDomainDisplayPlot_moc.cc WaterfallDisplayPlot_moc.cc \
+       Waterfall3DDisplayPlot_moc.cc ConstellationDisplayPlot_moc.cc \
+       spectrumdisplayform_ui.h qtgui_sink_c.cc qtgui_sink_f.cc
+@BUILD_QT_TRUE@am__objects_1 = spectrumdisplayform_moc.lo \
+@BUILD_QT_TRUE@        FrequencyDisplayPlot_moc.lo \
+@BUILD_QT_TRUE@        TimeDomainDisplayPlot_moc.lo \
+@BUILD_QT_TRUE@        WaterfallDisplayPlot_moc.lo \
+@BUILD_QT_TRUE@        Waterfall3DDisplayPlot_moc.lo \
+@BUILD_QT_TRUE@        ConstellationDisplayPlot_moc.lo
+am_libgnuradio_qtgui_la_OBJECTS = FrequencyDisplayPlot.lo \
+       TimeDomainDisplayPlot.lo WaterfallDisplayPlot.lo \
+       Waterfall3DDisplayPlot.lo waterfallGlobalData.lo \
+       ConstellationDisplayPlot.lo spectrumdisplayform.lo \
+       SpectrumGUIClass.lo spectrumUpdateEvents.lo plot_waterfall.lo \
+       $(am__objects_1) qtgui_sink_c.lo qtgui_sink_f.lo
+libgnuradio_qtgui_la_OBJECTS = $(am_libgnuradio_qtgui_la_OBJECTS)
+libgnuradio_qtgui_la_LINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) \
+       $(LIBTOOLFLAGS) --mode=link $(CXXLD) $(AM_CXXFLAGS) \
+       $(CXXFLAGS) $(libgnuradio_qtgui_la_LDFLAGS) $(LDFLAGS) -o $@
+DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
+depcomp = $(SHELL) $(top_srcdir)/depcomp
+am__depfiles_maybe = depfiles
+CXXCOMPILE = $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+LTCXXCOMPILE = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+CXXLD = $(CXX)
+CXXLINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CXXLD) $(AM_CXXFLAGS) $(CXXFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+COMPILE = $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) \
+       $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
+LTCOMPILE = $(LIBTOOL) --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
+CCLD = $(CC)
+LINK = $(LIBTOOL) --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CCLD) $(AM_CFLAGS) $(CFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+SOURCES = $(_qtgui_la_SOURCES) $(libgnuradio_qtgui_la_SOURCES)
+DIST_SOURCES = $(_qtgui_la_SOURCES) \
+       $(am__libgnuradio_qtgui_la_SOURCES_DIST)
+qtgui_pythonPYTHON_INSTALL = $(INSTALL_DATA)
+py_compile = $(top_srcdir)/py-compile
+grincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+qtgui_swigincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+HEADERS = $(grinclude_HEADERS) $(qtgui_swiginclude_HEADERS)
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = $(DEPDIR)/qtgui-generate-*
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp \
+       *.loT $(DEPDIR)/*.S*
+AM_CPPFLAGS = $(STD_DEFINES_AND_INCLUDES) $(PYTHON_CPPFLAGS) \
+              $(QT_INCLUDES) -I. $(WITH_INCLUDES)
+
+
+# Only include these files in the build if qtgui passes configure checks
+# This is mostly to help make distcheck pass
+@BUILD_QT_TRUE@QMAKE_SOURCES = \
+@BUILD_QT_TRUE@        spectrumdisplayform_moc.cc              \
+@BUILD_QT_TRUE@        FrequencyDisplayPlot_moc.cc             \
+@BUILD_QT_TRUE@        TimeDomainDisplayPlot_moc.cc            \
+@BUILD_QT_TRUE@        WaterfallDisplayPlot_moc.cc             \
+@BUILD_QT_TRUE@        Waterfall3DDisplayPlot_moc.cc           \
+@BUILD_QT_TRUE@        ConstellationDisplayPlot_moc.cc         \
+@BUILD_QT_TRUE@        spectrumdisplayform_ui.h
+
+EXTRA_DIST = spectrumdisplayform.ui
+
+# Build the normal library for C++ apps to link against
+lib_LTLIBRARIES = libgnuradio-qtgui.la
+
+# These are the source files that go into the shared library
+libgnuradio_qtgui_la_SOURCES = \
+       FrequencyDisplayPlot.cc                 \
+       TimeDomainDisplayPlot.cc                \
+       WaterfallDisplayPlot.cc                 \
+       Waterfall3DDisplayPlot.cc               \
+       waterfallGlobalData.cc                  \
+       ConstellationDisplayPlot.cc             \
+       spectrumdisplayform.cc                  \
+       SpectrumGUIClass.cc                     \
+       spectrumUpdateEvents.cc                 \
+       plot_waterfall.cc                       \
+       $(QMAKE_SOURCES)                        \
+       qtgui_sink_c.cc                         \
+       qtgui_sink_f.cc
+
+
+# These headers get installed in ${prefix}/include/gnuradio
+grinclude_HEADERS = \
+       FrequencyDisplayPlot.h          \
+       TimeDomainDisplayPlot.h         \
+       WaterfallDisplayPlot.h          \
+       Waterfall3DDisplayPlot.h        \
+       waterfallGlobalData.h           \
+       ConstellationDisplayPlot.h      \
+       highResTimeFunctions.h          \
+       plot_waterfall.h                \
+       spectrumdisplayform.h           \
+       SpectrumGUIClass.h              \
+       spectrumUpdateEvents.h          \
+       qtgui.h                         \
+       qtgui_sink_c.h                  \
+       qtgui_sink_f.h
+
+
+# magic flags
+libgnuradio_qtgui_la_LDFLAGS = $(NO_UNDEFINED) -version-info 0:0:0
+libgnuradio_qtgui_la_LIBADD = \
+       $(GNURADIO_CORE_LA)     \
+       -lstdc++                \
+       $(QT_LIBS)
+
+
+##############################
+# SWIG interface and library
+TOP_SWIG_IFILES = \
+       qtgui.i
+
+
+# Install so that they end up available as:
+#   import gnuradio.qtgui
+# This ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+qtgui_pythondir_category = \
+       gnuradio/qtgui
+
+
+# additional libraries for linking with the SWIG-generated library
+qtgui_la_swig_libadd = \
+       libgnuradio-qtgui.la
+
+SWIG_PYTHON_FLAGS = \
+       -fvirtual       \
+       -python         \
+       -modern         \
+       -keyword        \
+       -w511           \
+       -outdir .
+
+STD_SWIG_PYTHON_ARGS = \
+       $(SWIG_PYTHON_FLAGS)                    \
+       $(STD_DEFINES_AND_INCLUDES)             \
+       $(WITH_SWIG_INCLUDES)                   \
+       $(WITH_INCLUDES)
+
+STD_SWIG_LA_LD_FLAGS = \
+       $(PYTHON_LDFLAGS)       \
+       -module                 \
+       -avoid-version          \
+       $(NO_UNDEFINED)
+
+STD_SWIG_LA_LIB_ADD = \
+       -lstdc++
+
+STD_SWIG_CXX_FLAGS = @swig_CXXFLAGS@
+SUFFIXES = .i
+swig_built_sources = qtgui.py qtgui.cc
+qtgui_pythondir = $(pythondir)/$(qtgui_pythondir_category)
+qtgui_pylibdir = $(pyexecdir)/$(qtgui_pylibdir_category)
+qtgui_swigincludedir = $(swigincludedir)
+qtgui_swiginclude_HEADERS = \
+       qtgui.i                 \
+       $(qtgui_swiginclude_headers)
+
+qtgui_pylib_LTLIBRARIES = \
+       _qtgui.la
+
+_qtgui_la_SOURCES = \
+       qtgui.cc                        \
+       $(qtgui_la_swig_sources)
+
+_qtgui_la_LIBADD = \
+       $(STD_SWIG_LA_LIB_ADD)          \
+       $(qtgui_la_swig_libadd)
+
+_qtgui_la_LDFLAGS = \
+       $(STD_SWIG_LA_LD_FLAGS)         \
+       $(qtgui_la_swig_ldflags)
+
+_qtgui_la_CXXFLAGS = \
+       $(STD_SWIG_CXX_FLAGS)           \
+       $(qtgui_la_swig_cxxflags)
+
+qtgui_python_PYTHON = \
+       qtgui.py                        \
+       $(qtgui_python)
+
+
+# add some of the variables generated inside the Makefile.swig.gen
+BUILT_SOURCES = \
+       $(QMAKE_SOURCES)        \
+       $(swig_built_sources)
+
+
+# Do not distribute the output of SWIG
+no_dist_files = $(swig_built_sources)
+all: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) all-am
+
+.SUFFIXES:
+.SUFFIXES: .i .cc .lo .o .obj
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(top_srcdir)/Makefile.swig $(srcdir)/Makefile.swig.gen $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-qtgui/src/lib/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-qtgui/src/lib/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+install-libLTLIBRARIES: $(lib_LTLIBRARIES)
+       @$(NORMAL_INSTALL)
+       test -z "$(libdir)" || $(MKDIR_P) "$(DESTDIR)$(libdir)"
+       @list='$(lib_LTLIBRARIES)'; for p in $$list; do \
+         if test -f $$p; then \
+           f=$(am__strip_dir) \
+           echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(libLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) '$$p' '$(DESTDIR)$(libdir)/$$f'"; \
+           $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(libLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) "$$p" "$(DESTDIR)$(libdir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-libLTLIBRARIES:
+       @$(NORMAL_UNINSTALL)
+       @list='$(lib_LTLIBRARIES)'; for p in $$list; do \
+         p=$(am__strip_dir) \
+         echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f '$(DESTDIR)$(libdir)/$$p'"; \
+         $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f "$(DESTDIR)$(libdir)/$$p"; \
+       done
+
+clean-libLTLIBRARIES:
+       -test -z "$(lib_LTLIBRARIES)" || rm -f $(lib_LTLIBRARIES)
+       @list='$(lib_LTLIBRARIES)'; for p in $$list; do \
+         dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
+         test "$$dir" != "$$p" || dir=.; \
+         echo "rm -f \"$${dir}/so_locations\""; \
+         rm -f "$${dir}/so_locations"; \
+       done
+install-qtgui_pylibLTLIBRARIES: $(qtgui_pylib_LTLIBRARIES)
+       @$(NORMAL_INSTALL)
+       test -z "$(qtgui_pylibdir)" || $(MKDIR_P) "$(DESTDIR)$(qtgui_pylibdir)"
+       @list='$(qtgui_pylib_LTLIBRARIES)'; for p in $$list; do \
+         if test -f $$p; then \
+           f=$(am__strip_dir) \
+           echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(qtgui_pylibLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) '$$p' '$(DESTDIR)$(qtgui_pylibdir)/$$f'"; \
+           $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(qtgui_pylibLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) "$$p" "$(DESTDIR)$(qtgui_pylibdir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-qtgui_pylibLTLIBRARIES:
+       @$(NORMAL_UNINSTALL)
+       @list='$(qtgui_pylib_LTLIBRARIES)'; for p in $$list; do \
+         p=$(am__strip_dir) \
+         echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f '$(DESTDIR)$(qtgui_pylibdir)/$$p'"; \
+         $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f "$(DESTDIR)$(qtgui_pylibdir)/$$p"; \
+       done
+
+clean-qtgui_pylibLTLIBRARIES:
+       -test -z "$(qtgui_pylib_LTLIBRARIES)" || rm -f $(qtgui_pylib_LTLIBRARIES)
+       @list='$(qtgui_pylib_LTLIBRARIES)'; for p in $$list; do \
+         dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
+         test "$$dir" != "$$p" || dir=.; \
+         echo "rm -f \"$${dir}/so_locations\""; \
+         rm -f "$${dir}/so_locations"; \
+       done
+_qtgui.la: $(_qtgui_la_OBJECTS) $(_qtgui_la_DEPENDENCIES) 
+       $(_qtgui_la_LINK) -rpath $(qtgui_pylibdir) $(_qtgui_la_OBJECTS) $(_qtgui_la_LIBADD) $(LIBS)
+libgnuradio-qtgui.la: $(libgnuradio_qtgui_la_OBJECTS) $(libgnuradio_qtgui_la_DEPENDENCIES) 
+       $(libgnuradio_qtgui_la_LINK) -rpath $(libdir) $(libgnuradio_qtgui_la_OBJECTS) $(libgnuradio_qtgui_la_LIBADD) $(LIBS)
+
+mostlyclean-compile:
+       -rm -f *.$(OBJEXT)
+
+distclean-compile:
+       -rm -f *.tab.c
+
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/ConstellationDisplayPlot.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/ConstellationDisplayPlot_moc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/FrequencyDisplayPlot.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/FrequencyDisplayPlot_moc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/SpectrumGUIClass.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/TimeDomainDisplayPlot.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/TimeDomainDisplayPlot_moc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/Waterfall3DDisplayPlot.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/Waterfall3DDisplayPlot_moc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/WaterfallDisplayPlot.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/WaterfallDisplayPlot_moc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_qtgui_la-qtgui.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/plot_waterfall.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/qtgui_sink_c.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/qtgui_sink_f.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/spectrumUpdateEvents.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/spectrumdisplayform.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/spectrumdisplayform_moc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/waterfallGlobalData.Plo@am__quote@
+
+.cc.o:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ $<
+
+.cc.obj:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ `$(CYGPATH_W) '$<'`
+
+.cc.lo:
+@am__fastdepCXX_TRUE@  $(LTCXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LTCXXCOMPILE) -c -o $@ $<
+
+_qtgui_la-qtgui.lo: qtgui.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_qtgui_la_CXXFLAGS) $(CXXFLAGS) -MT _qtgui_la-qtgui.lo -MD -MP -MF $(DEPDIR)/_qtgui_la-qtgui.Tpo -c -o _qtgui_la-qtgui.lo `test -f 'qtgui.cc' || echo '$(srcdir)/'`qtgui.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_qtgui_la-qtgui.Tpo $(DEPDIR)/_qtgui_la-qtgui.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='qtgui.cc' object='_qtgui_la-qtgui.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_qtgui_la_CXXFLAGS) $(CXXFLAGS) -c -o _qtgui_la-qtgui.lo `test -f 'qtgui.cc' || echo '$(srcdir)/'`qtgui.cc
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-qtgui_pythonPYTHON: $(qtgui_python_PYTHON)
+       @$(NORMAL_INSTALL)
+       test -z "$(qtgui_pythondir)" || $(MKDIR_P) "$(DESTDIR)$(qtgui_pythondir)"
+       @list='$(qtgui_python_PYTHON)'; dlist=''; for p in $$list; do\
+         if test -f "$$p"; then b=; else b="$(srcdir)/"; fi; \
+         if test -f $$b$$p; then \
+           f=$(am__strip_dir) \
+           dlist="$$dlist $$f"; \
+           echo " $(qtgui_pythonPYTHON_INSTALL) '$$b$$p' '$(DESTDIR)$(qtgui_pythondir)/$$f'"; \
+           $(qtgui_pythonPYTHON_INSTALL) "$$b$$p" "$(DESTDIR)$(qtgui_pythondir)/$$f"; \
+         else :; fi; \
+       done; \
+       if test -n "$$dlist"; then \
+         if test -z "$(DESTDIR)"; then \
+           PYTHON=$(PYTHON) $(py_compile) --basedir "$(qtgui_pythondir)" $$dlist; \
+         else \
+           PYTHON=$(PYTHON) $(py_compile) --destdir "$(DESTDIR)" --basedir "$(qtgui_pythondir)" $$dlist; \
+         fi; \
+       else :; fi
+
+uninstall-qtgui_pythonPYTHON:
+       @$(NORMAL_UNINSTALL)
+       @list='$(qtgui_python_PYTHON)'; dlist=''; for p in $$list; do\
+         f=$(am__strip_dir) \
+         rm -f "$(DESTDIR)$(qtgui_pythondir)/$$f"; \
+         rm -f "$(DESTDIR)$(qtgui_pythondir)/$${f}c"; \
+         rm -f "$(DESTDIR)$(qtgui_pythondir)/$${f}o"; \
+       done
+install-grincludeHEADERS: $(grinclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(grincludedir)" || $(MKDIR_P) "$(DESTDIR)$(grincludedir)"
+       @list='$(grinclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(grincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(grincludedir)/$$f'"; \
+         $(grincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(grincludedir)/$$f"; \
+       done
+
+uninstall-grincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(grinclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(grincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(grincludedir)/$$f"; \
+       done
+install-qtgui_swigincludeHEADERS: $(qtgui_swiginclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(qtgui_swigincludedir)" || $(MKDIR_P) "$(DESTDIR)$(qtgui_swigincludedir)"
+       @list='$(qtgui_swiginclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(qtgui_swigincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(qtgui_swigincludedir)/$$f'"; \
+         $(qtgui_swigincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(qtgui_swigincludedir)/$$f"; \
+       done
+
+uninstall-qtgui_swigincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(qtgui_swiginclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(qtgui_swigincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(qtgui_swigincludedir)/$$f"; \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) check-am
+all-am: Makefile $(LTLIBRARIES) $(HEADERS)
+installdirs:
+       for dir in "$(DESTDIR)$(libdir)" "$(DESTDIR)$(qtgui_pylibdir)" "$(DESTDIR)$(qtgui_pythondir)" "$(DESTDIR)$(grincludedir)" "$(DESTDIR)$(qtgui_swigincludedir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+       -test -z "$(BUILT_SOURCES)" || rm -f $(BUILT_SOURCES)
+clean: clean-am
+
+clean-am: clean-generic clean-libLTLIBRARIES clean-libtool \
+       clean-qtgui_pylibLTLIBRARIES mostlyclean-am
+
+distclean: distclean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+distclean-am: clean-am distclean-compile distclean-generic \
+       distclean-tags
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-grincludeHEADERS \
+       install-qtgui_pylibLTLIBRARIES install-qtgui_pythonPYTHON \
+       install-qtgui_swigincludeHEADERS
+
+install-dvi: install-dvi-am
+
+install-exec-am: install-libLTLIBRARIES
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-compile mostlyclean-generic \
+       mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-grincludeHEADERS uninstall-libLTLIBRARIES \
+       uninstall-qtgui_pylibLTLIBRARIES uninstall-qtgui_pythonPYTHON \
+       uninstall-qtgui_swigincludeHEADERS
+
+.MAKE: install-am install-strip
+
+.PHONY: CTAGS GTAGS all all-am check check-am clean clean-generic \
+       clean-libLTLIBRARIES clean-libtool \
+       clean-qtgui_pylibLTLIBRARIES ctags dist-hook distclean \
+       distclean-compile distclean-generic distclean-libtool \
+       distclean-tags distdir dvi dvi-am html html-am info info-am \
+       install install-am install-data install-data-am install-dvi \
+       install-dvi-am install-exec install-exec-am \
+       install-grincludeHEADERS install-html install-html-am \
+       install-info install-info-am install-libLTLIBRARIES \
+       install-man install-pdf install-pdf-am install-ps \
+       install-ps-am install-qtgui_pylibLTLIBRARIES \
+       install-qtgui_pythonPYTHON install-qtgui_swigincludeHEADERS \
+       install-strip installcheck installcheck-am installdirs \
+       maintainer-clean maintainer-clean-generic mostlyclean \
+       mostlyclean-compile mostlyclean-generic mostlyclean-libtool \
+       pdf pdf-am ps ps-am tags uninstall uninstall-am \
+       uninstall-grincludeHEADERS uninstall-libLTLIBRARIES \
+       uninstall-qtgui_pylibLTLIBRARIES uninstall-qtgui_pythonPYTHON \
+       uninstall-qtgui_swigincludeHEADERS
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+
+@BUILD_QT_TRUE@%_moc.cc : %.h
+@BUILD_QT_TRUE@        $(QT_MOC_EXEC) -DQT_SHARED -DQT_NO_DEBUG -DQT_OPENGL_LIB -DQT_GUI_LIB -DQT_CORE_LIB $< -o $@
+
+@BUILD_QT_TRUE@%_ui.h : %.ui
+@BUILD_QT_TRUE@        $(QT_UIC_EXEC) $< -o $@
+@BUILD_QT_FALSE@%_moc.cc : %.h
+@BUILD_QT_FALSE@       touch $@
+
+@BUILD_QT_FALSE@%_ui.h : %.ui
+@BUILD_QT_FALSE@       touch $@
+
+generate-makefile-swig $(srcdir)/Makefile.swig.gen: $(top_srcdir)/Makefile.swig.gen.t
+       @do_recreate=0; \
+       if test -f $(srcdir)/Makefile.swig.gen; then \
+               if $(RM) $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                       if touch $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                               do_recreate=1; \
+                       fi; \
+               fi; \
+       else \
+               if touch $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                       do_recreate=1; \
+               fi; \
+       fi; \
+       if test "$$do_recreate" == "1"; then \
+               echo "Regenerating $(srcdir)/Makefile.swig.gen"; \
+               for TFILE in $(TOP_SWIG_IFILES); do \
+                       TNAME=`python -c "import os.path as op; (dN, fN) = op.split ('$$TFILE'); (fbN, fE) = op.splitext (fN); print fbN;"`; \
+                       $(SED) -e 's|@NAME@|'$$TNAME'|g;' < $(top_srcdir)/Makefile.swig.gen.t >> $(srcdir)/Makefile.swig.gen; \
+                       echo "" >> $(srcdir)/Makefile.swig.gen; \
+               done; \
+       else \
+               echo "Cannot recreate $(srcdir)/Makefile.swig.gen because the directory or file is write-protected."; \
+               exit -1; \
+       fi;
+
+qtgui_pythondir_category ?= gnuradio/qtgui
+qtgui_pylibdir_category ?= $(qtgui_pythondir_category)
+
+qtgui.h qtgui.py qtgui.cc: qtgui.i
+       trap 'rm -rf $(DEPDIR)/qtgui-generate-*' 1 2 13 15; \
+       if mkdir $(DEPDIR)/qtgui-generate-lock 2>/dev/null; then \
+               rm -f $(DEPDIR)/qtgui-generate-stamp; \
+               $(MAKE) $(AM_MAKEFLAGS) $(DEPDIR)/qtgui-generate-stamp WHAT=$<; \
+               rmdir $(DEPDIR)/qtgui-generate-lock; \
+       else \
+               while test -d $(DEPDIR)/qtgui-generate-lock; do \
+                       sleep 1; \
+               done; \
+               test -f $(DEPDIR)/qtgui-generate-stamp; \
+               exit $$?; \
+       fi;
+
+$(DEPDIR)/qtgui-generate-stamp:
+       if $(SWIG) $(STD_SWIG_PYTHON_ARGS) $(qtgui_swig_args) \
+               -MD -MF $(DEPDIR)/qtgui.Std \
+               -module qtgui -o qtgui.cc $(WHAT); then \
+           if test $(host_os) = mingw32; then \
+               $(RM) $(DEPDIR)/qtgui.Sd; \
+               $(SED) 's,\\\\,/,g' < $(DEPDIR)/qtgui.Std \
+                       > $(DEPDIR)/qtgui.Sd; \
+               $(RM) $(DEPDIR)/qtgui.Std; \
+               $(MV) $(DEPDIR)/qtgui.Sd $(DEPDIR)/qtgui.Std; \
+           fi; \
+       else \
+           $(RM) $(DEPDIR)/qtgui.S*; exit 1; \
+       fi;
+       $(RM) $(DEPDIR)/qtgui.d
+       cp $(DEPDIR)/qtgui.Std $(DEPDIR)/qtgui.d
+       echo "" >> $(DEPDIR)/qtgui.d
+       $(SED) -e '1d;s, \\,,g;s, ,,g' < $(DEPDIR)/qtgui.Std | \
+               awk '{ printf "%s:\n\n", $$0 }' >> $(DEPDIR)/qtgui.d
+       $(RM) $(DEPDIR)/qtgui.Std
+       touch $(DEPDIR)/qtgui-generate-stamp
+
+# KLUDGE: Force runtime include of a SWIG dependency file.  This is
+# not guaranteed to be portable, but will probably work.  If it works,
+# we have accurate dependencies for our swig stuff, which is good.
+
+@am__include@ @am__quote@./$(DEPDIR)/qtgui.d@am__quote@
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-qtgui/src/lib/TimeDomainDisplayPlot_moc.cc b/gr-qtgui/src/lib/TimeDomainDisplayPlot_moc.cc
new file mode 100644 (file)
index 0000000..1ad5825
--- /dev/null
@@ -0,0 +1,74 @@
+/****************************************************************************
+** Meta object code from reading C++ file 'TimeDomainDisplayPlot.h'
+**
+** Created: Tue Jul 14 15:57:22 2009
+**      by: The Qt Meta Object Compiler version 61 (Qt 4.5.0)
+**
+** WARNING! All changes made in this file will be lost!
+*****************************************************************************/
+
+#include "TimeDomainDisplayPlot.h"
+#if !defined(Q_MOC_OUTPUT_REVISION)
+#error "The header file 'TimeDomainDisplayPlot.h' doesn't include <QObject>."
+#elif Q_MOC_OUTPUT_REVISION != 61
+#error "This file was generated using the moc from 4.5.0. It"
+#error "cannot be used with the include files from this version of Qt."
+#error "(The moc has changed too much.)"
+#endif
+
+QT_BEGIN_MOC_NAMESPACE
+static const uint qt_meta_data_TimeDomainDisplayPlot[] = {
+
+ // content:
+       2,       // revision
+       0,       // classname
+       0,    0, // classinfo
+       1,   12, // methods
+       0,    0, // properties
+       0,    0, // enums/sets
+       0,    0, // constructors
+
+ // slots: signature, parameters, type, tag, flags
+      35,   23,   22,   22, 0x09,
+
+       0        // eod
+};
+
+static const char qt_meta_stringdata_TimeDomainDisplayPlot[] = {
+    "TimeDomainDisplayPlot\0\0plotItem,on\0"
+    "LegendEntryChecked(QwtPlotItem*,bool)\0"
+};
+
+const QMetaObject TimeDomainDisplayPlot::staticMetaObject = {
+    { &QwtPlot::staticMetaObject, qt_meta_stringdata_TimeDomainDisplayPlot,
+      qt_meta_data_TimeDomainDisplayPlot, 0 }
+};
+
+const QMetaObject *TimeDomainDisplayPlot::metaObject() const
+{
+    return &staticMetaObject;
+}
+
+void *TimeDomainDisplayPlot::qt_metacast(const char *_clname)
+{
+    if (!_clname) return 0;
+    if (!strcmp(_clname, qt_meta_stringdata_TimeDomainDisplayPlot))
+        return static_cast<void*>(const_cast< TimeDomainDisplayPlot*>(this));
+    return QwtPlot::qt_metacast(_clname);
+}
+
+int TimeDomainDisplayPlot::qt_metacall(QMetaObject::Call _c, int _id, void **_a)
+{
+    _id = QwtPlot::qt_metacall(_c, _id, _a);
+    if (_id < 0)
+        return _id;
+    if (_c == QMetaObject::InvokeMetaMethod) {
+        switch (_id) {
+        case 0: LegendEntryChecked((*reinterpret_cast< QwtPlotItem*(*)>(_a[1])),(*reinterpret_cast< bool(*)>(_a[2]))); break;
+        default: ;
+        }
+        _id -= 1;
+    }
+    return _id;
+}
+QT_END_MOC_NAMESPACE
diff --git a/gr-qtgui/src/lib/Waterfall3DDisplayPlot_moc.cc b/gr-qtgui/src/lib/Waterfall3DDisplayPlot_moc.cc
new file mode 100644 (file)
index 0000000..ef2c2d3
--- /dev/null
@@ -0,0 +1,93 @@
+/****************************************************************************
+** Meta object code from reading C++ file 'Waterfall3DDisplayPlot.h'
+**
+** Created: Tue Jul 14 15:57:22 2009
+**      by: The Qt Meta Object Compiler version 61 (Qt 4.5.0)
+**
+** WARNING! All changes made in this file will be lost!
+*****************************************************************************/
+
+#include "Waterfall3DDisplayPlot.h"
+#if !defined(Q_MOC_OUTPUT_REVISION)
+#error "The header file 'Waterfall3DDisplayPlot.h' doesn't include <QObject>."
+#elif Q_MOC_OUTPUT_REVISION != 61
+#error "This file was generated using the moc from 4.5.0. It"
+#error "cannot be used with the include files from this version of Qt."
+#error "(The moc has changed too much.)"
+#endif
+
+QT_BEGIN_MOC_NAMESPACE
+static const uint qt_meta_data_Waterfall3DDisplayPlot[] = {
+
+ // content:
+       2,       // revision
+       0,       // classname
+       0,    0, // classinfo
+       2,   12, // methods
+       0,    0, // properties
+       0,    0, // enums/sets
+       0,    0, // constructors
+
+ // signals: signature, parameters, type, tag, flags
+      24,   23,   23,   23, 0x05,
+      59,   23,   23,   23, 0x05,
+
+       0        // eod
+};
+
+static const char qt_meta_stringdata_Waterfall3DDisplayPlot[] = {
+    "Waterfall3DDisplayPlot\0\0"
+    "UpdatedLowerIntensityLevel(double)\0"
+    "UpdatedUpperIntensityLevel(double)\0"
+};
+
+const QMetaObject Waterfall3DDisplayPlot::staticMetaObject = {
+    { &Qwt3D::SurfacePlot::staticMetaObject, qt_meta_stringdata_Waterfall3DDisplayPlot,
+      qt_meta_data_Waterfall3DDisplayPlot, 0 }
+};
+
+const QMetaObject *Waterfall3DDisplayPlot::metaObject() const
+{
+    return &staticMetaObject;
+}
+
+void *Waterfall3DDisplayPlot::qt_metacast(const char *_clname)
+{
+    if (!_clname) return 0;
+    if (!strcmp(_clname, qt_meta_stringdata_Waterfall3DDisplayPlot))
+        return static_cast<void*>(const_cast< Waterfall3DDisplayPlot*>(this));
+    typedef Qwt3D::SurfacePlot QMocSuperClass;
+    return QMocSuperClass::qt_metacast(_clname);
+}
+
+int Waterfall3DDisplayPlot::qt_metacall(QMetaObject::Call _c, int _id, void **_a)
+{
+    typedef Qwt3D::SurfacePlot QMocSuperClass;
+    _id = QMocSuperClass::qt_metacall(_c, _id, _a);
+    if (_id < 0)
+        return _id;
+    if (_c == QMetaObject::InvokeMetaMethod) {
+        switch (_id) {
+        case 0: UpdatedLowerIntensityLevel((*reinterpret_cast< const double(*)>(_a[1]))); break;
+        case 1: UpdatedUpperIntensityLevel((*reinterpret_cast< const double(*)>(_a[1]))); break;
+        default: ;
+        }
+        _id -= 2;
+    }
+    return _id;
+}
+
+// SIGNAL 0
+void Waterfall3DDisplayPlot::UpdatedLowerIntensityLevel(const double _t1)
+{
+    void *_a[] = { 0, const_cast<void*>(reinterpret_cast<const void*>(&_t1)) };
+    QMetaObject::activate(this, &staticMetaObject, 0, _a);
+}
+
+// SIGNAL 1
+void Waterfall3DDisplayPlot::UpdatedUpperIntensityLevel(const double _t1)
+{
+    void *_a[] = { 0, const_cast<void*>(reinterpret_cast<const void*>(&_t1)) };
+    QMetaObject::activate(this, &staticMetaObject, 1, _a);
+}
+QT_END_MOC_NAMESPACE
diff --git a/gr-qtgui/src/lib/WaterfallDisplayPlot_moc.cc b/gr-qtgui/src/lib/WaterfallDisplayPlot_moc.cc
new file mode 100644 (file)
index 0000000..105223e
--- /dev/null
@@ -0,0 +1,91 @@
+/****************************************************************************
+** Meta object code from reading C++ file 'WaterfallDisplayPlot.h'
+**
+** Created: Tue Jul 14 15:57:22 2009
+**      by: The Qt Meta Object Compiler version 61 (Qt 4.5.0)
+**
+** WARNING! All changes made in this file will be lost!
+*****************************************************************************/
+
+#include "WaterfallDisplayPlot.h"
+#if !defined(Q_MOC_OUTPUT_REVISION)
+#error "The header file 'WaterfallDisplayPlot.h' doesn't include <QObject>."
+#elif Q_MOC_OUTPUT_REVISION != 61
+#error "This file was generated using the moc from 4.5.0. It"
+#error "cannot be used with the include files from this version of Qt."
+#error "(The moc has changed too much.)"
+#endif
+
+QT_BEGIN_MOC_NAMESPACE
+static const uint qt_meta_data_WaterfallDisplayPlot[] = {
+
+ // content:
+       2,       // revision
+       0,       // classname
+       0,    0, // classinfo
+       2,   12, // methods
+       0,    0, // properties
+       0,    0, // enums/sets
+       0,    0, // constructors
+
+ // signals: signature, parameters, type, tag, flags
+      22,   21,   21,   21, 0x05,
+      57,   21,   21,   21, 0x05,
+
+       0        // eod
+};
+
+static const char qt_meta_stringdata_WaterfallDisplayPlot[] = {
+    "WaterfallDisplayPlot\0\0"
+    "UpdatedLowerIntensityLevel(double)\0"
+    "UpdatedUpperIntensityLevel(double)\0"
+};
+
+const QMetaObject WaterfallDisplayPlot::staticMetaObject = {
+    { &QwtPlot::staticMetaObject, qt_meta_stringdata_WaterfallDisplayPlot,
+      qt_meta_data_WaterfallDisplayPlot, 0 }
+};
+
+const QMetaObject *WaterfallDisplayPlot::metaObject() const
+{
+    return &staticMetaObject;
+}
+
+void *WaterfallDisplayPlot::qt_metacast(const char *_clname)
+{
+    if (!_clname) return 0;
+    if (!strcmp(_clname, qt_meta_stringdata_WaterfallDisplayPlot))
+        return static_cast<void*>(const_cast< WaterfallDisplayPlot*>(this));
+    return QwtPlot::qt_metacast(_clname);
+}
+
+int WaterfallDisplayPlot::qt_metacall(QMetaObject::Call _c, int _id, void **_a)
+{
+    _id = QwtPlot::qt_metacall(_c, _id, _a);
+    if (_id < 0)
+        return _id;
+    if (_c == QMetaObject::InvokeMetaMethod) {
+        switch (_id) {
+        case 0: UpdatedLowerIntensityLevel((*reinterpret_cast< const double(*)>(_a[1]))); break;
+        case 1: UpdatedUpperIntensityLevel((*reinterpret_cast< const double(*)>(_a[1]))); break;
+        default: ;
+        }
+        _id -= 2;
+    }
+    return _id;
+}
+
+// SIGNAL 0
+void WaterfallDisplayPlot::UpdatedLowerIntensityLevel(const double _t1)
+{
+    void *_a[] = { 0, const_cast<void*>(reinterpret_cast<const void*>(&_t1)) };
+    QMetaObject::activate(this, &staticMetaObject, 0, _a);
+}
+
+// SIGNAL 1
+void WaterfallDisplayPlot::UpdatedUpperIntensityLevel(const double _t1)
+{
+    void *_a[] = { 0, const_cast<void*>(reinterpret_cast<const void*>(&_t1)) };
+    QMetaObject::activate(this, &staticMetaObject, 1, _a);
+}
+QT_END_MOC_NAMESPACE
diff --git a/gr-qtgui/src/lib/spectrumdisplayform_moc.cc b/gr-qtgui/src/lib/spectrumdisplayform_moc.cc
new file mode 100644 (file)
index 0000000..43e0be9
--- /dev/null
@@ -0,0 +1,164 @@
+/****************************************************************************
+** Meta object code from reading C++ file 'spectrumdisplayform.h'
+**
+** Created: Tue Jul 14 15:57:22 2009
+**      by: The Qt Meta Object Compiler version 61 (Qt 4.5.0)
+**
+** WARNING! All changes made in this file will be lost!
+*****************************************************************************/
+
+#include "spectrumdisplayform.h"
+#if !defined(Q_MOC_OUTPUT_REVISION)
+#error "The header file 'spectrumdisplayform.h' doesn't include <QObject>."
+#elif Q_MOC_OUTPUT_REVISION != 61
+#error "This file was generated using the moc from 4.5.0. It"
+#error "cannot be used with the include files from this version of Qt."
+#error "(The moc has changed too much.)"
+#endif
+
+QT_BEGIN_MOC_NAMESPACE
+static const uint qt_meta_data_SpectrumDisplayForm[] = {
+
+ // content:
+       2,       // revision
+       0,       // classname
+       0,    0, // classinfo
+      30,   12, // methods
+       0,    0, // properties
+       0,    0, // enums/sets
+       0,    0, // constructors
+
+ // slots: signature, parameters, type, tag, flags
+      23,   21,   20,   20, 0x0a,
+      50,   21,   20,   20, 0x0a,
+      83,   71,   20,   20, 0x0a,
+     125,  116,   20,   20, 0x0a,
+     155,  116,   20,   20, 0x0a,
+     185,   20,   20,   20, 0x0a,
+     211,   20,   20,   20, 0x0a,
+     237,   71,   20,   20, 0x0a,
+     326,  272,   20,   20, 0x0a,
+     366,   21,   20,   20, 0x0a,
+     399,  391,   20,   20, 0x0a,
+     432,  422,   20,   20, 0x0a,
+     457,   20,   20,   20, 0x0a,
+     500,   20,   20,   20, 0x0a,
+     543,   20,   20,   20, 0x0a,
+     583,   20,   20,   20, 0x0a,
+     609,   20,   20,   20, 0x0a,
+     654,   20,   20,   20, 0x0a,
+     699,   20,   20,   20, 0x0a,
+     741,   20,   20,   20, 0x0a,
+     769,   20,   20,   20, 0x0a,
+     806,  800,   20,   20, 0x0a,
+     831,  800,   20,   20, 0x0a,
+     856,  800,   20,   20, 0x0a,
+     883,  800,   20,   20, 0x0a,
+     903,  800,   20,   20, 0x0a,
+     940,  932,   20,   20, 0x0a,
+     993,  973,   20,   20, 0x0a,
+    1043,  932,   20,   20, 0x0a,
+    1075,   20,   20,   20, 0x08,
+
+       0        // eod
+};
+
+static const char qt_meta_stringdata_SpectrumDisplayForm[] = {
+    "SpectrumDisplayForm\0\0e\0"
+    "resizeEvent(QResizeEvent*)\0"
+    "customEvent(QEvent*)\0valueString\0"
+    "AvgLineEdit_textChanged(QString)\0"
+    "newState\0MaxHoldCheckBox_toggled(bool)\0"
+    "MinHoldCheckBox_toggled(bool)\0"
+    "MinHoldResetBtn_clicked()\0"
+    "MaxHoldResetBtn_clicked()\0"
+    "PowerLineEdit_textChanged(QString)\0"
+    "newCenterFrequency,newStartFrequency,newStopFrequency\0"
+    "SetFrequencyRange(double,double,double)\0"
+    "closeEvent(QCloseEvent*)\0newItem\0"
+    "WindowTypeChanged(int)\0useRFFlag\0"
+    "UseRFFrequenciesCB(bool)\0"
+    "waterfallMaximumIntensityChangedCB(double)\0"
+    "waterfallMinimumIntensityChangedCB(double)\0"
+    "WaterfallIntensityColorTypeChanged(int)\0"
+    "WaterfallAutoScaleBtnCB()\0"
+    "waterfall3DMaximumIntensityChangedCB(double)\0"
+    "waterfall3DMinimumIntensityChangedCB(double)\0"
+    "Waterfall3DIntensityColorTypeChanged(int)\0"
+    "Waterfall3DAutoScaleBtnCB()\0"
+    "FFTComboBoxSelectedCB(QString)\0state\0"
+    "ToggleTabFrequency(bool)\0"
+    "ToggleTabWaterfall(bool)\0"
+    "ToggleTabWaterfall3D(bool)\0"
+    "ToggleTabTime(bool)\0ToggleTabConstellation(bool)\0"
+    "min,max\0SetTimeDomainAxis(double,double)\0"
+    "xmin,xmax,ymin,ymax\0"
+    "SetConstellationAxis(double,double,double,double)\0"
+    "SetFrequencyAxis(double,double)\0"
+    "newFrequencyData(const SpectrumUpdateEvent*)\0"
+};
+
+const QMetaObject SpectrumDisplayForm::staticMetaObject = {
+    { &QWidget::staticMetaObject, qt_meta_stringdata_SpectrumDisplayForm,
+      qt_meta_data_SpectrumDisplayForm, 0 }
+};
+
+const QMetaObject *SpectrumDisplayForm::metaObject() const
+{
+    return &staticMetaObject;
+}
+
+void *SpectrumDisplayForm::qt_metacast(const char *_clname)
+{
+    if (!_clname) return 0;
+    if (!strcmp(_clname, qt_meta_stringdata_SpectrumDisplayForm))
+        return static_cast<void*>(const_cast< SpectrumDisplayForm*>(this));
+    if (!strcmp(_clname, "Ui::SpectrumDisplayForm"))
+        return static_cast< Ui::SpectrumDisplayForm*>(const_cast< SpectrumDisplayForm*>(this));
+    return QWidget::qt_metacast(_clname);
+}
+
+int SpectrumDisplayForm::qt_metacall(QMetaObject::Call _c, int _id, void **_a)
+{
+    _id = QWidget::qt_metacall(_c, _id, _a);
+    if (_id < 0)
+        return _id;
+    if (_c == QMetaObject::InvokeMetaMethod) {
+        switch (_id) {
+        case 0: resizeEvent((*reinterpret_cast< QResizeEvent*(*)>(_a[1]))); break;
+        case 1: customEvent((*reinterpret_cast< QEvent*(*)>(_a[1]))); break;
+        case 2: AvgLineEdit_textChanged((*reinterpret_cast< const QString(*)>(_a[1]))); break;
+        case 3: MaxHoldCheckBox_toggled((*reinterpret_cast< bool(*)>(_a[1]))); break;
+        case 4: MinHoldCheckBox_toggled((*reinterpret_cast< bool(*)>(_a[1]))); break;
+        case 5: MinHoldResetBtn_clicked(); break;
+        case 6: MaxHoldResetBtn_clicked(); break;
+        case 7: PowerLineEdit_textChanged((*reinterpret_cast< const QString(*)>(_a[1]))); break;
+        case 8: SetFrequencyRange((*reinterpret_cast< const double(*)>(_a[1])),(*reinterpret_cast< const double(*)>(_a[2])),(*reinterpret_cast< const double(*)>(_a[3]))); break;
+        case 9: closeEvent((*reinterpret_cast< QCloseEvent*(*)>(_a[1]))); break;
+        case 10: WindowTypeChanged((*reinterpret_cast< int(*)>(_a[1]))); break;
+        case 11: UseRFFrequenciesCB((*reinterpret_cast< bool(*)>(_a[1]))); break;
+        case 12: waterfallMaximumIntensityChangedCB((*reinterpret_cast< double(*)>(_a[1]))); break;
+        case 13: waterfallMinimumIntensityChangedCB((*reinterpret_cast< double(*)>(_a[1]))); break;
+        case 14: WaterfallIntensityColorTypeChanged((*reinterpret_cast< int(*)>(_a[1]))); break;
+        case 15: WaterfallAutoScaleBtnCB(); break;
+        case 16: waterfall3DMaximumIntensityChangedCB((*reinterpret_cast< double(*)>(_a[1]))); break;
+        case 17: waterfall3DMinimumIntensityChangedCB((*reinterpret_cast< double(*)>(_a[1]))); break;
+        case 18: Waterfall3DIntensityColorTypeChanged((*reinterpret_cast< int(*)>(_a[1]))); break;
+        case 19: Waterfall3DAutoScaleBtnCB(); break;
+        case 20: FFTComboBoxSelectedCB((*reinterpret_cast< const QString(*)>(_a[1]))); break;
+        case 21: ToggleTabFrequency((*reinterpret_cast< const bool(*)>(_a[1]))); break;
+        case 22: ToggleTabWaterfall((*reinterpret_cast< const bool(*)>(_a[1]))); break;
+        case 23: ToggleTabWaterfall3D((*reinterpret_cast< const bool(*)>(_a[1]))); break;
+        case 24: ToggleTabTime((*reinterpret_cast< const bool(*)>(_a[1]))); break;
+        case 25: ToggleTabConstellation((*reinterpret_cast< const bool(*)>(_a[1]))); break;
+        case 26: SetTimeDomainAxis((*reinterpret_cast< double(*)>(_a[1])),(*reinterpret_cast< double(*)>(_a[2]))); break;
+        case 27: SetConstellationAxis((*reinterpret_cast< double(*)>(_a[1])),(*reinterpret_cast< double(*)>(_a[2])),(*reinterpret_cast< double(*)>(_a[3])),(*reinterpret_cast< double(*)>(_a[4]))); break;
+        case 28: SetFrequencyAxis((*reinterpret_cast< double(*)>(_a[1])),(*reinterpret_cast< double(*)>(_a[2]))); break;
+        case 29: newFrequencyData((*reinterpret_cast< const SpectrumUpdateEvent*(*)>(_a[1]))); break;
+        default: ;
+        }
+        _id -= 30;
+    }
+    return _id;
+}
+QT_END_MOC_NAMESPACE
diff --git a/gr-qtgui/src/lib/spectrumdisplayform_ui.h b/gr-qtgui/src/lib/spectrumdisplayform_ui.h
new file mode 100644 (file)
index 0000000..0d5b112
--- /dev/null
@@ -0,0 +1,363 @@
+/********************************************************************************
+** Form generated from reading ui file 'spectrumdisplayform.ui'
+**
+** Created: Tue Jul 14 15:57:22 2009
+**      by: Qt User Interface Compiler version 4.5.0
+**
+** WARNING! All changes made in this file will be lost when recompiling ui file!
+********************************************************************************/
+
+#ifndef SPECTRUMDISPLAYFORM_UI_H
+#define SPECTRUMDISPLAYFORM_UI_H
+
+#include <FrequencyDisplayPlot.h>
+#include <Qt3Support/Q3MimeSourceFactory>
+#include <QtCore/QVariant>
+#include <QtGui/QAction>
+#include <QtGui/QApplication>
+#include <QtGui/QButtonGroup>
+#include <QtGui/QCheckBox>
+#include <QtGui/QComboBox>
+#include <QtGui/QFrame>
+#include <QtGui/QHeaderView>
+#include <QtGui/QLabel>
+#include <QtGui/QLineEdit>
+#include <QtGui/QPushButton>
+#include <QtGui/QTabWidget>
+#include <QtGui/QWidget>
+#include <SpectrumGUIClass.h>
+#include <TimeDomainDisplayPlot.h>
+#include <Waterfall3DDisplayPlot.h>
+#include <WaterfallDisplayPlot.h>
+#include <QtGui/QRegExpValidator>
+#include <vector>
+#include "qwt_wheel.h"
+
+QT_BEGIN_NAMESPACE
+
+class Ui_SpectrumDisplayForm
+{
+public:
+    QCheckBox *UseRFFrequenciesCheckBox;
+    QComboBox *WindowComboBox;
+    QLabel *WindowLbl;
+    QLabel *FFTSizeLabel;
+    QComboBox *FFTSizeComboBox;
+    QTabWidget *SpectrumTypeTab;
+    QWidget *FrequencyPage;
+    QLineEdit *PowerLineEdit;
+    QCheckBox *MinHoldCheckBox;
+    QLabel *AvgLabel;
+    QPushButton *MinHoldResetBtn;
+    QLineEdit *AvgLineEdit;
+    QLabel *PowerLabel;
+    QPushButton *MaxHoldResetBtn;
+    QCheckBox *MaxHoldCheckBox;
+    QFrame *FrequencyPlotDisplayFrame;
+    QWidget *WaterfallPage;
+    QLabel *textLabel1;
+    QComboBox *WaterfallIntensityComboBox;
+    QwtWheel *WaterfallMaximumIntensityWheel;
+    QLabel *WaterfallMaximumIntensityLabel;
+    QFrame *WaterfallPlotDisplayFrame;
+    QwtWheel *WaterfallMinimumIntensityWheel;
+    QLabel *WaterfallMinimumIntensityLabel;
+    QPushButton *WaterfallAutoScaleBtn;
+    QWidget *Waterfall3DPage;
+    QLabel *textLabel1_2;
+    QLabel *Waterfall3DMaximumIntensityLabel;
+    QPushButton *Waterfall3DAutoScaleBtn;
+    QwtWheel *Waterfall3DMinimumIntensityWheel;
+    QLabel *Waterfall3DMinimumIntensityLabel;
+    QFrame *Waterfall3DPlotDisplayFrame;
+    QComboBox *Waterfall3DIntensityComboBox;
+    QwtWheel *Waterfall3DMaximumIntensityWheel;
+    QWidget *TimeDomainPage;
+    QFrame *TimeDomainDisplayFrame;
+    QWidget *ConstellationPage;
+    QFrame *ConstellationDisplayFrame;
+
+    void setupUi(QWidget *SpectrumDisplayForm)
+    {
+        if (SpectrumDisplayForm->objectName().isEmpty())
+            SpectrumDisplayForm->setObjectName(QString::fromUtf8("SpectrumDisplayForm"));
+        SpectrumDisplayForm->resize(633, 436);
+        UseRFFrequenciesCheckBox = new QCheckBox(SpectrumDisplayForm);
+        UseRFFrequenciesCheckBox->setObjectName(QString::fromUtf8("UseRFFrequenciesCheckBox"));
+        UseRFFrequenciesCheckBox->setGeometry(QRect(10, 385, 180, 20));
+        WindowComboBox = new QComboBox(SpectrumDisplayForm);
+        WindowComboBox->setObjectName(QString::fromUtf8("WindowComboBox"));
+        WindowComboBox->setGeometry(QRect(105, 410, 170, 20));
+        QFont font;
+        font.setPointSize(9);
+        WindowComboBox->setFont(font);
+        WindowLbl = new QLabel(SpectrumDisplayForm);
+        WindowLbl->setObjectName(QString::fromUtf8("WindowLbl"));
+        WindowLbl->setGeometry(QRect(10, 410, 90, 17));
+        WindowLbl->setAlignment(Qt::AlignRight|Qt::AlignTrailing|Qt::AlignVCenter);
+        WindowLbl->setWordWrap(false);
+        FFTSizeLabel = new QLabel(SpectrumDisplayForm);
+        FFTSizeLabel->setObjectName(QString::fromUtf8("FFTSizeLabel"));
+        FFTSizeLabel->setGeometry(QRect(405, 385, 116, 20));
+        FFTSizeLabel->setAlignment(Qt::AlignRight|Qt::AlignTrailing|Qt::AlignVCenter);
+        FFTSizeLabel->setWordWrap(false);
+        FFTSizeComboBox = new QComboBox(SpectrumDisplayForm);
+        FFTSizeComboBox->setObjectName(QString::fromUtf8("FFTSizeComboBox"));
+        FFTSizeComboBox->setGeometry(QRect(525, 385, 100, 20));
+        SpectrumTypeTab = new QTabWidget(SpectrumDisplayForm);
+        SpectrumTypeTab->setObjectName(QString::fromUtf8("SpectrumTypeTab"));
+        SpectrumTypeTab->setGeometry(QRect(0, 0, 630, 380));
+        FrequencyPage = new QWidget();
+        FrequencyPage->setObjectName(QString::fromUtf8("FrequencyPage"));
+        PowerLineEdit = new QLineEdit(FrequencyPage);
+        PowerLineEdit->setObjectName(QString::fromUtf8("PowerLineEdit"));
+        PowerLineEdit->setGeometry(QRect(480, 320, 60, 20));
+        MinHoldCheckBox = new QCheckBox(FrequencyPage);
+        MinHoldCheckBox->setObjectName(QString::fromUtf8("MinHoldCheckBox"));
+        MinHoldCheckBox->setGeometry(QRect(10, 325, 95, 20));
+        MinHoldCheckBox->setChecked(false);
+        AvgLabel = new QLabel(FrequencyPage);
+        AvgLabel->setObjectName(QString::fromUtf8("AvgLabel"));
+        AvgLabel->setGeometry(QRect(545, 300, 72, 20));
+        AvgLabel->setAlignment(Qt::AlignCenter);
+        AvgLabel->setWordWrap(false);
+        MinHoldResetBtn = new QPushButton(FrequencyPage);
+        MinHoldResetBtn->setObjectName(QString::fromUtf8("MinHoldResetBtn"));
+        MinHoldResetBtn->setGeometry(QRect(105, 325, 61, 20));
+        AvgLineEdit = new QLineEdit(FrequencyPage);
+        AvgLineEdit->setObjectName(QString::fromUtf8("AvgLineEdit"));
+        AvgLineEdit->setGeometry(QRect(550, 320, 60, 20));
+        PowerLabel = new QLabel(FrequencyPage);
+        PowerLabel->setObjectName(QString::fromUtf8("PowerLabel"));
+        PowerLabel->setGeometry(QRect(475, 300, 72, 20));
+        PowerLabel->setAlignment(Qt::AlignCenter);
+        PowerLabel->setWordWrap(false);
+        MaxHoldResetBtn = new QPushButton(FrequencyPage);
+        MaxHoldResetBtn->setObjectName(QString::fromUtf8("MaxHoldResetBtn"));
+        MaxHoldResetBtn->setGeometry(QRect(105, 300, 61, 20));
+        MaxHoldCheckBox = new QCheckBox(FrequencyPage);
+        MaxHoldCheckBox->setObjectName(QString::fromUtf8("MaxHoldCheckBox"));
+        MaxHoldCheckBox->setGeometry(QRect(10, 300, 95, 20));
+        MaxHoldCheckBox->setChecked(false);
+        FrequencyPlotDisplayFrame = new QFrame(FrequencyPage);
+        FrequencyPlotDisplayFrame->setObjectName(QString::fromUtf8("FrequencyPlotDisplayFrame"));
+        FrequencyPlotDisplayFrame->setGeometry(QRect(5, 5, 620, 290));
+        FrequencyPlotDisplayFrame->setFrameShape(QFrame::NoFrame);
+        FrequencyPlotDisplayFrame->setFrameShadow(QFrame::Plain);
+        SpectrumTypeTab->addTab(FrequencyPage, QString());
+        WaterfallPage = new QWidget();
+        WaterfallPage->setObjectName(QString::fromUtf8("WaterfallPage"));
+        textLabel1 = new QLabel(WaterfallPage);
+        textLabel1->setObjectName(QString::fromUtf8("textLabel1"));
+        textLabel1->setGeometry(QRect(5, 0, 85, 21));
+        textLabel1->setWordWrap(false);
+        WaterfallIntensityComboBox = new QComboBox(WaterfallPage);
+        WaterfallIntensityComboBox->setObjectName(QString::fromUtf8("WaterfallIntensityComboBox"));
+        WaterfallIntensityComboBox->setGeometry(QRect(90, 0, 121, 25));
+        WaterfallMaximumIntensityWheel = new QwtWheel(WaterfallPage);
+        WaterfallMaximumIntensityWheel->setObjectName(QString::fromUtf8("WaterfallMaximumIntensityWheel"));
+        WaterfallMaximumIntensityWheel->setGeometry(QRect(215, 0, 335, 24));
+        WaterfallMaximumIntensityWheel->setMouseTracking(true);
+        WaterfallMaximumIntensityWheel->setFocusPolicy(Qt::WheelFocus);
+        WaterfallMaximumIntensityWheel->setValid(true);
+        WaterfallMaximumIntensityWheel->setTotalAngle(200);
+        WaterfallMaximumIntensityWheel->setViewAngle(20);
+        WaterfallMaximumIntensityWheel->setMass(0);
+        WaterfallMaximumIntensityLabel = new QLabel(WaterfallPage);
+        WaterfallMaximumIntensityLabel->setObjectName(QString::fromUtf8("WaterfallMaximumIntensityLabel"));
+        WaterfallMaximumIntensityLabel->setGeometry(QRect(563, 3, 55, 21));
+        WaterfallMaximumIntensityLabel->setWordWrap(false);
+        WaterfallPlotDisplayFrame = new QFrame(WaterfallPage);
+        WaterfallPlotDisplayFrame->setObjectName(QString::fromUtf8("WaterfallPlotDisplayFrame"));
+        WaterfallPlotDisplayFrame->setGeometry(QRect(5, 30, 615, 295));
+        WaterfallPlotDisplayFrame->setFrameShape(QFrame::NoFrame);
+        WaterfallPlotDisplayFrame->setFrameShadow(QFrame::Plain);
+        WaterfallMinimumIntensityWheel = new QwtWheel(WaterfallPage);
+        WaterfallMinimumIntensityWheel->setObjectName(QString::fromUtf8("WaterfallMinimumIntensityWheel"));
+        WaterfallMinimumIntensityWheel->setGeometry(QRect(215, 325, 335, 24));
+        WaterfallMinimumIntensityWheel->setValid(true);
+        WaterfallMinimumIntensityWheel->setTotalAngle(200);
+        WaterfallMinimumIntensityWheel->setViewAngle(20);
+        WaterfallMinimumIntensityWheel->setMass(0);
+        WaterfallMinimumIntensityLabel = new QLabel(WaterfallPage);
+        WaterfallMinimumIntensityLabel->setObjectName(QString::fromUtf8("WaterfallMinimumIntensityLabel"));
+        WaterfallMinimumIntensityLabel->setGeometry(QRect(565, 325, 55, 20));
+        WaterfallMinimumIntensityLabel->setWordWrap(false);
+        WaterfallAutoScaleBtn = new QPushButton(WaterfallPage);
+        WaterfallAutoScaleBtn->setObjectName(QString::fromUtf8("WaterfallAutoScaleBtn"));
+        WaterfallAutoScaleBtn->setGeometry(QRect(0, 325, 135, 21));
+        SpectrumTypeTab->addTab(WaterfallPage, QString());
+        Waterfall3DPage = new QWidget();
+        Waterfall3DPage->setObjectName(QString::fromUtf8("Waterfall3DPage"));
+        textLabel1_2 = new QLabel(Waterfall3DPage);
+        textLabel1_2->setObjectName(QString::fromUtf8("textLabel1_2"));
+        textLabel1_2->setGeometry(QRect(5, 0, 85, 21));
+        textLabel1_2->setWordWrap(false);
+        Waterfall3DMaximumIntensityLabel = new QLabel(Waterfall3DPage);
+        Waterfall3DMaximumIntensityLabel->setObjectName(QString::fromUtf8("Waterfall3DMaximumIntensityLabel"));
+        Waterfall3DMaximumIntensityLabel->setGeometry(QRect(563, 3, 55, 21));
+        Waterfall3DMaximumIntensityLabel->setWordWrap(false);
+        Waterfall3DAutoScaleBtn = new QPushButton(Waterfall3DPage);
+        Waterfall3DAutoScaleBtn->setObjectName(QString::fromUtf8("Waterfall3DAutoScaleBtn"));
+        Waterfall3DAutoScaleBtn->setGeometry(QRect(0, 325, 135, 21));
+        Waterfall3DMinimumIntensityWheel = new QwtWheel(Waterfall3DPage);
+        Waterfall3DMinimumIntensityWheel->setObjectName(QString::fromUtf8("Waterfall3DMinimumIntensityWheel"));
+        Waterfall3DMinimumIntensityWheel->setGeometry(QRect(215, 325, 335, 24));
+        Waterfall3DMinimumIntensityWheel->setValid(true);
+        Waterfall3DMinimumIntensityWheel->setTotalAngle(200);
+        Waterfall3DMinimumIntensityWheel->setViewAngle(20);
+        Waterfall3DMinimumIntensityWheel->setMass(0);
+        Waterfall3DMinimumIntensityLabel = new QLabel(Waterfall3DPage);
+        Waterfall3DMinimumIntensityLabel->setObjectName(QString::fromUtf8("Waterfall3DMinimumIntensityLabel"));
+        Waterfall3DMinimumIntensityLabel->setGeometry(QRect(565, 325, 55, 20));
+        Waterfall3DMinimumIntensityLabel->setWordWrap(false);
+        Waterfall3DPlotDisplayFrame = new QFrame(Waterfall3DPage);
+        Waterfall3DPlotDisplayFrame->setObjectName(QString::fromUtf8("Waterfall3DPlotDisplayFrame"));
+        Waterfall3DPlotDisplayFrame->setGeometry(QRect(5, 30, 615, 295));
+        Waterfall3DPlotDisplayFrame->setFrameShape(QFrame::NoFrame);
+        Waterfall3DPlotDisplayFrame->setFrameShadow(QFrame::Plain);
+        Waterfall3DIntensityComboBox = new QComboBox(Waterfall3DPage);
+        Waterfall3DIntensityComboBox->setObjectName(QString::fromUtf8("Waterfall3DIntensityComboBox"));
+        Waterfall3DIntensityComboBox->setGeometry(QRect(90, 0, 121, 25));
+        Waterfall3DMaximumIntensityWheel = new QwtWheel(Waterfall3DPage);
+        Waterfall3DMaximumIntensityWheel->setObjectName(QString::fromUtf8("Waterfall3DMaximumIntensityWheel"));
+        Waterfall3DMaximumIntensityWheel->setGeometry(QRect(215, 0, 335, 24));
+        Waterfall3DMaximumIntensityWheel->setMouseTracking(true);
+        Waterfall3DMaximumIntensityWheel->setFocusPolicy(Qt::WheelFocus);
+        Waterfall3DMaximumIntensityWheel->setValid(true);
+        Waterfall3DMaximumIntensityWheel->setTotalAngle(200);
+        Waterfall3DMaximumIntensityWheel->setViewAngle(20);
+        Waterfall3DMaximumIntensityWheel->setMass(0);
+        SpectrumTypeTab->addTab(Waterfall3DPage, QString());
+        TimeDomainPage = new QWidget();
+        TimeDomainPage->setObjectName(QString::fromUtf8("TimeDomainPage"));
+        TimeDomainDisplayFrame = new QFrame(TimeDomainPage);
+        TimeDomainDisplayFrame->setObjectName(QString::fromUtf8("TimeDomainDisplayFrame"));
+        TimeDomainDisplayFrame->setGeometry(QRect(5, 5, 620, 340));
+        TimeDomainDisplayFrame->setFrameShape(QFrame::NoFrame);
+        TimeDomainDisplayFrame->setFrameShadow(QFrame::Plain);
+        SpectrumTypeTab->addTab(TimeDomainPage, QString());
+        ConstellationPage = new QWidget();
+        ConstellationPage->setObjectName(QString::fromUtf8("ConstellationPage"));
+        ConstellationDisplayFrame = new QFrame(ConstellationPage);
+        ConstellationDisplayFrame->setObjectName(QString::fromUtf8("ConstellationDisplayFrame"));
+        ConstellationDisplayFrame->setGeometry(QRect(5, 5, 620, 340));
+        ConstellationDisplayFrame->setFrameShape(QFrame::StyledPanel);
+        ConstellationDisplayFrame->setFrameShadow(QFrame::Raised);
+        SpectrumTypeTab->addTab(ConstellationPage, QString());
+        QWidget::setTabOrder(SpectrumTypeTab, MaxHoldCheckBox);
+        QWidget::setTabOrder(MaxHoldCheckBox, MaxHoldResetBtn);
+        QWidget::setTabOrder(MaxHoldResetBtn, MinHoldCheckBox);
+        QWidget::setTabOrder(MinHoldCheckBox, MinHoldResetBtn);
+        QWidget::setTabOrder(MinHoldResetBtn, PowerLineEdit);
+        QWidget::setTabOrder(PowerLineEdit, AvgLineEdit);
+        QWidget::setTabOrder(AvgLineEdit, UseRFFrequenciesCheckBox);
+        QWidget::setTabOrder(UseRFFrequenciesCheckBox, WindowComboBox);
+        QWidget::setTabOrder(WindowComboBox, FFTSizeComboBox);
+        QWidget::setTabOrder(FFTSizeComboBox, WaterfallMaximumIntensityWheel);
+        QWidget::setTabOrder(WaterfallMaximumIntensityWheel, WaterfallMinimumIntensityWheel);
+
+        retranslateUi(SpectrumDisplayForm);
+        QObject::connect(MaxHoldCheckBox, SIGNAL(toggled(bool)), SpectrumDisplayForm, SLOT(MaxHoldCheckBox_toggled(bool)));
+        QObject::connect(MaxHoldResetBtn, SIGNAL(clicked()), SpectrumDisplayForm, SLOT(MaxHoldResetBtn_clicked()));
+        QObject::connect(MinHoldCheckBox, SIGNAL(toggled(bool)), SpectrumDisplayForm, SLOT(MinHoldCheckBox_toggled(bool)));
+        QObject::connect(MinHoldResetBtn, SIGNAL(clicked()), SpectrumDisplayForm, SLOT(MinHoldResetBtn_clicked()));
+        QObject::connect(AvgLineEdit, SIGNAL(textChanged(QString)), SpectrumDisplayForm, SLOT(AvgLineEdit_textChanged(QString)));
+        QObject::connect(PowerLineEdit, SIGNAL(textChanged(QString)), SpectrumDisplayForm, SLOT(PowerLineEdit_textChanged(QString)));
+        QObject::connect(WindowComboBox, SIGNAL(activated(int)), SpectrumDisplayForm, SLOT(WindowTypeChanged(int)));
+        QObject::connect(UseRFFrequenciesCheckBox, SIGNAL(toggled(bool)), SpectrumDisplayForm, SLOT(UseRFFrequenciesCB(bool)));
+        QObject::connect(WaterfallMaximumIntensityWheel, SIGNAL(valueChanged(double)), SpectrumDisplayForm, SLOT(waterfallMaximumIntensityChangedCB(double)));
+        QObject::connect(WaterfallMinimumIntensityWheel, SIGNAL(valueChanged(double)), SpectrumDisplayForm, SLOT(waterfallMinimumIntensityChangedCB(double)));
+        QObject::connect(Waterfall3DMaximumIntensityWheel, SIGNAL(valueChanged(double)), SpectrumDisplayForm, SLOT(waterfall3DMaximumIntensityChangedCB(double)));
+        QObject::connect(Waterfall3DMinimumIntensityWheel, SIGNAL(valueChanged(double)), SpectrumDisplayForm, SLOT(waterfall3DMinimumIntensityChangedCB(double)));
+        QObject::connect(FFTSizeComboBox, SIGNAL(activated(QString)), SpectrumDisplayForm, SLOT(FFTComboBoxSelectedCB(QString)));
+        QObject::connect(WaterfallAutoScaleBtn, SIGNAL(clicked()), SpectrumDisplayForm, SLOT(WaterfallAutoScaleBtnCB()));
+        QObject::connect(Waterfall3DAutoScaleBtn, SIGNAL(clicked()), SpectrumDisplayForm, SLOT(Waterfall3DAutoScaleBtnCB()));
+        QObject::connect(WaterfallIntensityComboBox, SIGNAL(activated(int)), SpectrumDisplayForm, SLOT(WaterfallIntensityColorTypeChanged(int)));
+        QObject::connect(Waterfall3DIntensityComboBox, SIGNAL(activated(int)), SpectrumDisplayForm, SLOT(Waterfall3DIntensityColorTypeChanged(int)));
+
+        SpectrumTypeTab->setCurrentIndex(0);
+
+
+        QMetaObject::connectSlotsByName(SpectrumDisplayForm);
+    } // setupUi
+
+    void retranslateUi(QWidget *SpectrumDisplayForm)
+    {
+        SpectrumDisplayForm->setWindowTitle(QApplication::translate("SpectrumDisplayForm", "Spectrum Display", 0, QApplication::UnicodeUTF8));
+        UseRFFrequenciesCheckBox->setText(QApplication::translate("SpectrumDisplayForm", "Display RF Frequencies", 0, QApplication::UnicodeUTF8));
+        WindowComboBox->clear();
+        WindowComboBox->insertItems(0, QStringList()
+         << QApplication::translate("SpectrumDisplayForm", "Hamming", 0, QApplication::UnicodeUTF8)
+         << QApplication::translate("SpectrumDisplayForm", "Hann", 0, QApplication::UnicodeUTF8)
+         << QApplication::translate("SpectrumDisplayForm", "Blackman", 0, QApplication::UnicodeUTF8)
+         << QApplication::translate("SpectrumDisplayForm", "Rectangular", 0, QApplication::UnicodeUTF8)
+         << QApplication::translate("SpectrumDisplayForm", "Kaiser", 0, QApplication::UnicodeUTF8)
+         << QApplication::translate("SpectrumDisplayForm", "Blackman-harris", 0, QApplication::UnicodeUTF8)
+        );
+        WindowLbl->setText(QApplication::translate("SpectrumDisplayForm", "Window:", 0, QApplication::UnicodeUTF8));
+        FFTSizeLabel->setText(QApplication::translate("SpectrumDisplayForm", "FFT Size:", 0, QApplication::UnicodeUTF8));
+        FFTSizeComboBox->clear();
+        FFTSizeComboBox->insertItems(0, QStringList()
+         << QApplication::translate("SpectrumDisplayForm", "1024", 0, QApplication::UnicodeUTF8)
+         << QApplication::translate("SpectrumDisplayForm", "2048", 0, QApplication::UnicodeUTF8)
+         << QApplication::translate("SpectrumDisplayForm", "4096", 0, QApplication::UnicodeUTF8)
+         << QApplication::translate("SpectrumDisplayForm", "8192", 0, QApplication::UnicodeUTF8)
+         << QApplication::translate("SpectrumDisplayForm", "16384", 0, QApplication::UnicodeUTF8)
+         << QApplication::translate("SpectrumDisplayForm", "32768", 0, QApplication::UnicodeUTF8)
+        );
+        PowerLineEdit->setText(QApplication::translate("SpectrumDisplayForm", "1", 0, QApplication::UnicodeUTF8));
+        MinHoldCheckBox->setText(QApplication::translate("SpectrumDisplayForm", "Min Hold", 0, QApplication::UnicodeUTF8));
+        AvgLabel->setText(QApplication::translate("SpectrumDisplayForm", "Average", 0, QApplication::UnicodeUTF8));
+        MinHoldResetBtn->setText(QApplication::translate("SpectrumDisplayForm", "Reset", 0, QApplication::UnicodeUTF8));
+        AvgLineEdit->setText(QApplication::translate("SpectrumDisplayForm", "0", 0, QApplication::UnicodeUTF8));
+        PowerLabel->setText(QApplication::translate("SpectrumDisplayForm", "Power", 0, QApplication::UnicodeUTF8));
+        MaxHoldResetBtn->setText(QApplication::translate("SpectrumDisplayForm", "Reset", 0, QApplication::UnicodeUTF8));
+        MaxHoldCheckBox->setText(QApplication::translate("SpectrumDisplayForm", "Max Hold", 0, QApplication::UnicodeUTF8));
+        SpectrumTypeTab->setTabText(SpectrumTypeTab->indexOf(FrequencyPage), QApplication::translate("SpectrumDisplayForm", "Frequency Display", 0, QApplication::UnicodeUTF8));
+        textLabel1->setText(QApplication::translate("SpectrumDisplayForm", "<font size=\"-2\">Intensity Display:</font>", 0, QApplication::UnicodeUTF8));
+        WaterfallIntensityComboBox->clear();
+        WaterfallIntensityComboBox->insertItems(0, QStringList()
+         << QApplication::translate("SpectrumDisplayForm", "Color", 0, QApplication::UnicodeUTF8)
+         << QApplication::translate("SpectrumDisplayForm", "White Hot", 0, QApplication::UnicodeUTF8)
+         << QApplication::translate("SpectrumDisplayForm", "Black Hot", 0, QApplication::UnicodeUTF8)
+         << QApplication::translate("SpectrumDisplayForm", "Incandescent", 0, QApplication::UnicodeUTF8)
+         << QApplication::translate("SpectrumDisplayForm", "User Defined", 0, QApplication::UnicodeUTF8)
+        );
+        WaterfallMaximumIntensityLabel->setText(QApplication::translate("SpectrumDisplayForm", "100 dB", 0, QApplication::UnicodeUTF8));
+        WaterfallMinimumIntensityLabel->setText(QApplication::translate("SpectrumDisplayForm", "-100 dB", 0, QApplication::UnicodeUTF8));
+#ifndef QT_NO_TOOLTIP
+        WaterfallAutoScaleBtn->setToolTip(QApplication::translate("SpectrumDisplayForm", "Scales the Intensity to the current data extremes.", 0, QApplication::UnicodeUTF8));
+#endif // QT_NO_TOOLTIP
+        WaterfallAutoScaleBtn->setText(QApplication::translate("SpectrumDisplayForm", "Auto Scale", 0, QApplication::UnicodeUTF8));
+        SpectrumTypeTab->setTabText(SpectrumTypeTab->indexOf(WaterfallPage), QApplication::translate("SpectrumDisplayForm", "Waterfall Display", 0, QApplication::UnicodeUTF8));
+        textLabel1_2->setText(QApplication::translate("SpectrumDisplayForm", "<font size=\"-2\">Intensity Display:</font>", 0, QApplication::UnicodeUTF8));
+        Waterfall3DMaximumIntensityLabel->setText(QApplication::translate("SpectrumDisplayForm", "100 dB", 0, QApplication::UnicodeUTF8));
+#ifndef QT_NO_TOOLTIP
+        Waterfall3DAutoScaleBtn->setToolTip(QApplication::translate("SpectrumDisplayForm", "Scales the Intensity to the current data extremes.", 0, QApplication::UnicodeUTF8));
+#endif // QT_NO_TOOLTIP
+        Waterfall3DAutoScaleBtn->setText(QApplication::translate("SpectrumDisplayForm", "Auto Scale", 0, QApplication::UnicodeUTF8));
+        Waterfall3DMinimumIntensityLabel->setText(QApplication::translate("SpectrumDisplayForm", "-100 dB", 0, QApplication::UnicodeUTF8));
+        Waterfall3DIntensityComboBox->clear();
+        Waterfall3DIntensityComboBox->insertItems(0, QStringList()
+         << QApplication::translate("SpectrumDisplayForm", "Color", 0, QApplication::UnicodeUTF8)
+         << QApplication::translate("SpectrumDisplayForm", "White Hot", 0, QApplication::UnicodeUTF8)
+         << QApplication::translate("SpectrumDisplayForm", "Black Hot", 0, QApplication::UnicodeUTF8)
+         << QApplication::translate("SpectrumDisplayForm", "Incandescent", 0, QApplication::UnicodeUTF8)
+         << QApplication::translate("SpectrumDisplayForm", "User Defined", 0, QApplication::UnicodeUTF8)
+        );
+        SpectrumTypeTab->setTabText(SpectrumTypeTab->indexOf(Waterfall3DPage), QApplication::translate("SpectrumDisplayForm", "3D Waterfall Display", 0, QApplication::UnicodeUTF8));
+        SpectrumTypeTab->setTabText(SpectrumTypeTab->indexOf(TimeDomainPage), QApplication::translate("SpectrumDisplayForm", "Time Domain Display", 0, QApplication::UnicodeUTF8));
+        SpectrumTypeTab->setTabText(SpectrumTypeTab->indexOf(ConstellationPage), QApplication::translate("SpectrumDisplayForm", "Constellation Display", 0, QApplication::UnicodeUTF8));
+        Q_UNUSED(SpectrumDisplayForm);
+    } // retranslateUi
+
+};
+
+namespace Ui {
+    class SpectrumDisplayForm: public Ui_SpectrumDisplayForm {};
+} // namespace Ui
+
+QT_END_NAMESPACE
+
+#endif // SPECTRUMDISPLAYFORM_UI_H
diff --git a/gr-qtgui/src/python/Makefile.in b/gr-qtgui/src/python/Makefile.in
new file mode 100644 (file)
index 0000000..87b7e3a
--- /dev/null
@@ -0,0 +1,929 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(noinst_PYTHON) $(qtguipython_PYTHON) \
+       $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gr-qtgui/src/python
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(qtguipythondir)"
+qtguipythonPYTHON_INSTALL = $(INSTALL_DATA)
+py_compile = $(top_srcdir)/py-compile
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+noinst_PYTHON = \
+       pyqt_example_f.py       \
+       pyqt_example.py         \
+       qt_digital.py           \
+       qt_digital_window.py    \
+       usrp2_display.py        \
+       usrp_display.py
+
+EXTRA_DIST = \
+       qt_digital_window.ui
+
+qtguipythondir = $(grpythondir)/qtgui
+qtguipython_PYTHON = \
+       __init__.py
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-qtgui/src/python/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-qtgui/src/python/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-qtguipythonPYTHON: $(qtguipython_PYTHON)
+       @$(NORMAL_INSTALL)
+       test -z "$(qtguipythondir)" || $(MKDIR_P) "$(DESTDIR)$(qtguipythondir)"
+       @list='$(qtguipython_PYTHON)'; dlist=''; for p in $$list; do\
+         if test -f "$$p"; then b=; else b="$(srcdir)/"; fi; \
+         if test -f $$b$$p; then \
+           f=$(am__strip_dir) \
+           dlist="$$dlist $$f"; \
+           echo " $(qtguipythonPYTHON_INSTALL) '$$b$$p' '$(DESTDIR)$(qtguipythondir)/$$f'"; \
+           $(qtguipythonPYTHON_INSTALL) "$$b$$p" "$(DESTDIR)$(qtguipythondir)/$$f"; \
+         else :; fi; \
+       done; \
+       if test -n "$$dlist"; then \
+         if test -z "$(DESTDIR)"; then \
+           PYTHON=$(PYTHON) $(py_compile) --basedir "$(qtguipythondir)" $$dlist; \
+         else \
+           PYTHON=$(PYTHON) $(py_compile) --destdir "$(DESTDIR)" --basedir "$(qtguipythondir)" $$dlist; \
+         fi; \
+       else :; fi
+
+uninstall-qtguipythonPYTHON:
+       @$(NORMAL_UNINSTALL)
+       @list='$(qtguipython_PYTHON)'; dlist=''; for p in $$list; do\
+         f=$(am__strip_dir) \
+         rm -f "$(DESTDIR)$(qtguipythondir)/$$f"; \
+         rm -f "$(DESTDIR)$(qtguipythondir)/$${f}c"; \
+         rm -f "$(DESTDIR)$(qtguipythondir)/$${f}o"; \
+       done
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile
+installdirs:
+       for dir in "$(DESTDIR)$(qtguipythondir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-qtguipythonPYTHON
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-qtguipythonPYTHON
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am install-dvi \
+       install-dvi-am install-exec install-exec-am install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-ps install-ps-am \
+       install-qtguipythonPYTHON install-strip installcheck \
+       installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am uninstall uninstall-am \
+       uninstall-qtguipythonPYTHON
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-radar-mono/Makefile.in b/gr-radar-mono/Makefile.in
new file mode 100644 (file)
index 0000000..1f4825b
--- /dev/null
@@ -0,0 +1,1031 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2007 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = README $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gr-radar-mono
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+EXTRA_DIST = README
+SUBDIRS = src doc
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-radar-mono/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-radar-mono/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-recursive
+all-am: Makefile
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive dist-hook distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-radar-mono/doc/Makefile.in b/gr-radar-mono/doc/Makefile.in
new file mode 100644 (file)
index 0000000..c5f3a35
--- /dev/null
@@ -0,0 +1,873 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2007 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gr-radar-mono/doc
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+EXTRA_DIST = registers.ods
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-radar-mono/doc/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-radar-mono/doc/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile
+installdirs:
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am install-dvi \
+       install-dvi-am install-exec install-exec-am install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-ps install-ps-am \
+       install-strip installcheck installcheck-am installdirs \
+       maintainer-clean maintainer-clean-generic mostlyclean \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-radar-mono/src/Makefile.in b/gr-radar-mono/src/Makefile.in
new file mode 100644 (file)
index 0000000..07e5b0b
--- /dev/null
@@ -0,0 +1,1030 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2007 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gr-radar-mono/src
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+SUBDIRS = fpga lib python
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-radar-mono/src/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-radar-mono/src/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-recursive
+all-am: Makefile
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive dist-hook distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-radar-mono/src/fpga/Makefile.in b/gr-radar-mono/src/fpga/Makefile.in
new file mode 100644 (file)
index 0000000..5642046
--- /dev/null
@@ -0,0 +1,1030 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2007 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gr-radar-mono/src/fpga
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+SUBDIRS = lib top tb models
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-radar-mono/src/fpga/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-radar-mono/src/fpga/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-recursive
+all-am: Makefile
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive dist-hook distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-radar-mono/src/fpga/lib/Makefile.in b/gr-radar-mono/src/fpga/lib/Makefile.in
new file mode 100644 (file)
index 0000000..27815ec
--- /dev/null
@@ -0,0 +1,883 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2007,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gr-radar-mono/src/fpga/lib
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp \
+       *.loT *.bak
+EXTRA_DIST = \
+               radar.v         \
+               radar_config.vh \
+               radar_control.v \
+               radar_tx.v      \
+               radar_rx.v      \
+               dac_interface.v \
+               fifo32_2k.v     \
+               cordic_nco.v
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-radar-mono/src/fpga/lib/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-radar-mono/src/fpga/lib/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile
+installdirs:
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am install-dvi \
+       install-dvi-am install-exec install-exec-am install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-ps install-ps-am \
+       install-strip installcheck installcheck-am installdirs \
+       maintainer-clean maintainer-clean-generic mostlyclean \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-radar-mono/src/fpga/models/Makefile.in b/gr-radar-mono/src/fpga/models/Makefile.in
new file mode 100644 (file)
index 0000000..bd09bd6
--- /dev/null
@@ -0,0 +1,875 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2007,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gr-radar-mono/src/fpga/models
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+EXTRA_DIST = \
+       fifo_1clk.v
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-radar-mono/src/fpga/models/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-radar-mono/src/fpga/models/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile
+installdirs:
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am install-dvi \
+       install-dvi-am install-exec install-exec-am install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-ps install-ps-am \
+       install-strip installcheck installcheck-am installdirs \
+       maintainer-clean maintainer-clean-generic mostlyclean \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-radar-mono/src/fpga/tb/Makefile.in b/gr-radar-mono/src/fpga/tb/Makefile.in
new file mode 100644 (file)
index 0000000..0eca6c9
--- /dev/null
@@ -0,0 +1,879 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2007,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gr-radar-mono/src/fpga/tb
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp \
+       *.loT *.vcd *.out* radar_tb
+EXTRA_DIST = \
+       radar_tb.v \
+       radar_tb.sav \
+       radar_tb.sh \
+       radar_tb_wave.sh
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-radar-mono/src/fpga/tb/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-radar-mono/src/fpga/tb/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile
+installdirs:
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am install-dvi \
+       install-dvi-am install-exec install-exec-am install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-ps install-ps-am \
+       install-strip installcheck installcheck-am installdirs \
+       maintainer-clean maintainer-clean-generic mostlyclean \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-radar-mono/src/fpga/top/Makefile.in b/gr-radar-mono/src/fpga/top/Makefile.in
new file mode 100644 (file)
index 0000000..c3e5b85
--- /dev/null
@@ -0,0 +1,938 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2007,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(dist_rbf2data_DATA) $(dist_rbf4data_DATA) \
+       $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gr-radar-mono/src/fpga/top
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(rbf2datadir)" \
+       "$(DESTDIR)$(rbf4datadir)"
+dist_rbf2dataDATA_INSTALL = $(INSTALL_DATA)
+dist_rbf4dataDATA_INSTALL = $(INSTALL_DATA)
+DATA = $(dist_rbf2data_DATA) $(dist_rbf4data_DATA)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp \
+       *.loT db/* *.rpt *.summary *.qws *.smsg *.done *.pin *.sof
+RBFS = usrp_radar_mono.rbf
+rbf2datadir = $(prefix)/share/usrp/rev2
+dist_rbf2data_DATA = $(RBFS)
+rbf4datadir = $(prefix)/share/usrp/rev4
+dist_rbf4data_DATA = $(RBFS)
+EXTRA_DIST = \
+       usrp_radar_mono.csf \
+       usrp_radar_mono.esf \
+       usrp_radar_mono.psf \
+       usrp_radar_mono.qpf \
+       usrp_radar_mono.qsf \
+       usrp_radar_mono.v
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-radar-mono/src/fpga/top/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-radar-mono/src/fpga/top/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-dist_rbf2dataDATA: $(dist_rbf2data_DATA)
+       @$(NORMAL_INSTALL)
+       test -z "$(rbf2datadir)" || $(MKDIR_P) "$(DESTDIR)$(rbf2datadir)"
+       @list='$(dist_rbf2data_DATA)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(dist_rbf2dataDATA_INSTALL) '$$d$$p' '$(DESTDIR)$(rbf2datadir)/$$f'"; \
+         $(dist_rbf2dataDATA_INSTALL) "$$d$$p" "$(DESTDIR)$(rbf2datadir)/$$f"; \
+       done
+
+uninstall-dist_rbf2dataDATA:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_rbf2data_DATA)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(rbf2datadir)/$$f'"; \
+         rm -f "$(DESTDIR)$(rbf2datadir)/$$f"; \
+       done
+install-dist_rbf4dataDATA: $(dist_rbf4data_DATA)
+       @$(NORMAL_INSTALL)
+       test -z "$(rbf4datadir)" || $(MKDIR_P) "$(DESTDIR)$(rbf4datadir)"
+       @list='$(dist_rbf4data_DATA)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(dist_rbf4dataDATA_INSTALL) '$$d$$p' '$(DESTDIR)$(rbf4datadir)/$$f'"; \
+         $(dist_rbf4dataDATA_INSTALL) "$$d$$p" "$(DESTDIR)$(rbf4datadir)/$$f"; \
+       done
+
+uninstall-dist_rbf4dataDATA:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_rbf4data_DATA)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(rbf4datadir)/$$f'"; \
+         rm -f "$(DESTDIR)$(rbf4datadir)/$$f"; \
+       done
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile $(DATA)
+installdirs:
+       for dir in "$(DESTDIR)$(rbf2datadir)" "$(DESTDIR)$(rbf4datadir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-dist_rbf2dataDATA install-dist_rbf4dataDATA
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-dist_rbf2dataDATA uninstall-dist_rbf4dataDATA
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am \
+       install-dist_rbf2dataDATA install-dist_rbf4dataDATA \
+       install-dvi install-dvi-am install-exec install-exec-am \
+       install-html install-html-am install-info install-info-am \
+       install-man install-pdf install-pdf-am install-ps \
+       install-ps-am install-strip installcheck installcheck-am \
+       installdirs maintainer-clean maintainer-clean-generic \
+       mostlyclean mostlyclean-generic mostlyclean-libtool pdf pdf-am \
+       ps ps-am uninstall uninstall-am uninstall-dist_rbf2dataDATA \
+       uninstall-dist_rbf4dataDATA
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-radar-mono/src/lib/Makefile.in b/gr-radar-mono/src/lib/Makefile.in
new file mode 100644 (file)
index 0000000..57c32e9
--- /dev/null
@@ -0,0 +1,872 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2007 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gr-radar-mono/src/lib
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-radar-mono/src/lib/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-radar-mono/src/lib/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile
+installdirs:
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am install-dvi \
+       install-dvi-am install-exec install-exec-am install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-ps install-ps-am \
+       install-strip installcheck installcheck-am installdirs \
+       maintainer-clean maintainer-clean-generic mostlyclean \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-radar-mono/src/python/Makefile.in b/gr-radar-mono/src/python/Makefile.in
new file mode 100644 (file)
index 0000000..1ecc310
--- /dev/null
@@ -0,0 +1,948 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2007,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(dist_bin_SCRIPTS) $(radar_mono_python_PYTHON) \
+       $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(srcdir)/run_tests.in $(top_srcdir)/Makefile.common
+subdir = gr-radar-mono/src/python
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES = run_tests
+am__installdirs = "$(DESTDIR)$(bindir)" \
+       "$(DESTDIR)$(radar_mono_pythondir)"
+dist_binSCRIPT_INSTALL = $(INSTALL_SCRIPT)
+SCRIPTS = $(dist_bin_SCRIPTS)
+SOURCES =
+DIST_SOURCES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+radar_mono_pythonPYTHON_INSTALL = $(INSTALL_DATA)
+py_compile = $(top_srcdir)/py-compile
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+radar_mono_pythondir = $(grpythondir)
+dist_bin_SCRIPTS = \
+       usrp_radar_mono.py
+
+radar_mono_python_PYTHON = \
+       radar_mono.py
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-radar-mono/src/python/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-radar-mono/src/python/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+run_tests: $(top_builddir)/config.status $(srcdir)/run_tests.in
+       cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@
+install-dist_binSCRIPTS: $(dist_bin_SCRIPTS)
+       @$(NORMAL_INSTALL)
+       test -z "$(bindir)" || $(MKDIR_P) "$(DESTDIR)$(bindir)"
+       @list='$(dist_bin_SCRIPTS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         if test -f $$d$$p; then \
+           f=`echo "$$p" | sed 's|^.*/||;$(transform)'`; \
+           echo " $(dist_binSCRIPT_INSTALL) '$$d$$p' '$(DESTDIR)$(bindir)/$$f'"; \
+           $(dist_binSCRIPT_INSTALL) "$$d$$p" "$(DESTDIR)$(bindir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-dist_binSCRIPTS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_bin_SCRIPTS)'; for p in $$list; do \
+         f=`echo "$$p" | sed 's|^.*/||;$(transform)'`; \
+         echo " rm -f '$(DESTDIR)$(bindir)/$$f'"; \
+         rm -f "$(DESTDIR)$(bindir)/$$f"; \
+       done
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-radar_mono_pythonPYTHON: $(radar_mono_python_PYTHON)
+       @$(NORMAL_INSTALL)
+       test -z "$(radar_mono_pythondir)" || $(MKDIR_P) "$(DESTDIR)$(radar_mono_pythondir)"
+       @list='$(radar_mono_python_PYTHON)'; dlist=''; for p in $$list; do\
+         if test -f "$$p"; then b=; else b="$(srcdir)/"; fi; \
+         if test -f $$b$$p; then \
+           f=$(am__strip_dir) \
+           dlist="$$dlist $$f"; \
+           echo " $(radar_mono_pythonPYTHON_INSTALL) '$$b$$p' '$(DESTDIR)$(radar_mono_pythondir)/$$f'"; \
+           $(radar_mono_pythonPYTHON_INSTALL) "$$b$$p" "$(DESTDIR)$(radar_mono_pythondir)/$$f"; \
+         else :; fi; \
+       done; \
+       if test -n "$$dlist"; then \
+         if test -z "$(DESTDIR)"; then \
+           PYTHON=$(PYTHON) $(py_compile) --basedir "$(radar_mono_pythondir)" $$dlist; \
+         else \
+           PYTHON=$(PYTHON) $(py_compile) --destdir "$(DESTDIR)" --basedir "$(radar_mono_pythondir)" $$dlist; \
+         fi; \
+       else :; fi
+
+uninstall-radar_mono_pythonPYTHON:
+       @$(NORMAL_UNINSTALL)
+       @list='$(radar_mono_python_PYTHON)'; dlist=''; for p in $$list; do\
+         f=$(am__strip_dir) \
+         rm -f "$(DESTDIR)$(radar_mono_pythondir)/$$f"; \
+         rm -f "$(DESTDIR)$(radar_mono_pythondir)/$${f}c"; \
+         rm -f "$(DESTDIR)$(radar_mono_pythondir)/$${f}o"; \
+       done
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile $(SCRIPTS)
+installdirs:
+       for dir in "$(DESTDIR)$(bindir)" "$(DESTDIR)$(radar_mono_pythondir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-radar_mono_pythonPYTHON
+
+install-dvi: install-dvi-am
+
+install-exec-am: install-dist_binSCRIPTS
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-dist_binSCRIPTS \
+       uninstall-radar_mono_pythonPYTHON
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am \
+       install-dist_binSCRIPTS install-dvi install-dvi-am \
+       install-exec install-exec-am install-html install-html-am \
+       install-info install-info-am install-man install-pdf \
+       install-pdf-am install-ps install-ps-am \
+       install-radar_mono_pythonPYTHON install-strip installcheck \
+       installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am uninstall uninstall-am \
+       uninstall-dist_binSCRIPTS uninstall-radar_mono_pythonPYTHON
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-radio-astronomy/Makefile.in b/gr-radio-astronomy/Makefile.in
new file mode 100644 (file)
index 0000000..5989bdd
--- /dev/null
@@ -0,0 +1,1030 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gr-radio-astronomy
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+SUBDIRS = src
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-radio-astronomy/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-radio-astronomy/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-recursive
+all-am: Makefile
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive dist-hook distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-radio-astronomy/src/Makefile.in b/gr-radio-astronomy/src/Makefile.in
new file mode 100644 (file)
index 0000000..a77c99a
--- /dev/null
@@ -0,0 +1,896 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+subdir = gr-radio-astronomy/src
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+SUBDIRS = lib python
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am  $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-radio-astronomy/src/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-radio-astronomy/src/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+check-am: all-am
+check: check-recursive
+all-am: Makefile
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am
+
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-radio-astronomy/src/lib/Makefile.in b/gr-radio-astronomy/src/lib/Makefile.in
new file mode 100644 (file)
index 0000000..4e5aaa3
--- /dev/null
@@ -0,0 +1,1273 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004,2005,2006,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# Makefile.swig.gen for ra.i
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(ra_python_PYTHON) $(ra_swiginclude_HEADERS) \
+       $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(srcdir)/Makefile.swig.gen $(top_srcdir)/Makefile.common \
+       $(top_srcdir)/Makefile.swig
+subdir = gr-radio-astronomy/src/lib
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(ra_pylibdir)" \
+       "$(DESTDIR)$(ra_pythondir)" "$(DESTDIR)$(ra_swigincludedir)"
+ra_pylibLTLIBRARIES_INSTALL = $(INSTALL)
+LTLIBRARIES = $(ra_pylib_LTLIBRARIES)
+am__DEPENDENCIES_1 =
+_ra_la_DEPENDENCIES = $(am__DEPENDENCIES_1)
+am__ra_la_OBJECTS = _ra_la-ra.lo
+_ra_la_OBJECTS = $(am__ra_la_OBJECTS)
+_ra_la_LINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CXXLD) $(_ra_la_CXXFLAGS) $(CXXFLAGS) \
+       $(_ra_la_LDFLAGS) $(LDFLAGS) -o $@
+DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
+depcomp = $(SHELL) $(top_srcdir)/depcomp
+am__depfiles_maybe = depfiles
+CXXCOMPILE = $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+LTCXXCOMPILE = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+CXXLD = $(CXX)
+CXXLINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CXXLD) $(AM_CXXFLAGS) $(CXXFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+SOURCES = $(_ra_la_SOURCES)
+DIST_SOURCES = $(_ra_la_SOURCES)
+ra_pythonPYTHON_INSTALL = $(INSTALL_DATA)
+py_compile = $(top_srcdir)/py-compile
+ra_swigincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+HEADERS = $(ra_swiginclude_HEADERS)
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = $(DEPDIR)/ra-generate-*
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp \
+       *.loT $(DEPDIR)/*.S*
+AM_CPPFLAGS = $(STD_DEFINES_AND_INCLUDES) $(PYTHON_CPPFLAGS) $(WITH_INCLUDES)
+TOP_SWIG_IFILES = \
+       ra.i
+
+
+# Install so that they end up available as:
+#   import gnuradio.ra
+# This ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+ra_pythondir_category = \
+       gnuradio
+
+SWIG_PYTHON_FLAGS = \
+       -fvirtual       \
+       -python         \
+       -modern         \
+       -keyword        \
+       -w511           \
+       -outdir .
+
+STD_SWIG_PYTHON_ARGS = \
+       $(SWIG_PYTHON_FLAGS)                    \
+       $(STD_DEFINES_AND_INCLUDES)             \
+       $(WITH_SWIG_INCLUDES)                   \
+       $(WITH_INCLUDES)
+
+STD_SWIG_LA_LD_FLAGS = \
+       $(PYTHON_LDFLAGS)       \
+       -module                 \
+       -avoid-version          \
+       $(NO_UNDEFINED)
+
+STD_SWIG_LA_LIB_ADD = \
+       -lstdc++
+
+STD_SWIG_CXX_FLAGS = @swig_CXXFLAGS@
+SUFFIXES = .i
+swig_built_sources = ra.py ra.cc
+ra_pythondir = $(pythondir)/$(ra_pythondir_category)
+ra_pylibdir = $(pyexecdir)/$(ra_pylibdir_category)
+ra_swigincludedir = $(swigincludedir)
+ra_swiginclude_HEADERS = \
+       ra.i                    \
+       $(ra_swiginclude_headers)
+
+ra_pylib_LTLIBRARIES = \
+       _ra.la
+
+_ra_la_SOURCES = \
+       ra.cc                   \
+       $(ra_la_swig_sources)
+
+_ra_la_LIBADD = \
+       $(STD_SWIG_LA_LIB_ADD)          \
+       $(ra_la_swig_libadd)
+
+_ra_la_LDFLAGS = \
+       $(STD_SWIG_LA_LD_FLAGS)         \
+       $(ra_la_swig_ldflags)
+
+_ra_la_CXXFLAGS = \
+       $(STD_SWIG_CXX_FLAGS)           \
+       $(ra_la_swig_cxxflags)
+
+ra_python_PYTHON = \
+       ra.py                   \
+       $(ra_python)
+
+
+# add some of the variables generated inside the Makefile.swig.gen
+BUILT_SOURCES = $(swig_built_sources)
+
+# Do not distribute the output of SWIG
+no_dist_files = $(swig_built_sources)
+all: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) all-am
+
+.SUFFIXES:
+.SUFFIXES: .i .cc .lo .o .obj
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(top_srcdir)/Makefile.swig $(srcdir)/Makefile.swig.gen $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-radio-astronomy/src/lib/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-radio-astronomy/src/lib/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+install-ra_pylibLTLIBRARIES: $(ra_pylib_LTLIBRARIES)
+       @$(NORMAL_INSTALL)
+       test -z "$(ra_pylibdir)" || $(MKDIR_P) "$(DESTDIR)$(ra_pylibdir)"
+       @list='$(ra_pylib_LTLIBRARIES)'; for p in $$list; do \
+         if test -f $$p; then \
+           f=$(am__strip_dir) \
+           echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(ra_pylibLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) '$$p' '$(DESTDIR)$(ra_pylibdir)/$$f'"; \
+           $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(ra_pylibLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) "$$p" "$(DESTDIR)$(ra_pylibdir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-ra_pylibLTLIBRARIES:
+       @$(NORMAL_UNINSTALL)
+       @list='$(ra_pylib_LTLIBRARIES)'; for p in $$list; do \
+         p=$(am__strip_dir) \
+         echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f '$(DESTDIR)$(ra_pylibdir)/$$p'"; \
+         $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f "$(DESTDIR)$(ra_pylibdir)/$$p"; \
+       done
+
+clean-ra_pylibLTLIBRARIES:
+       -test -z "$(ra_pylib_LTLIBRARIES)" || rm -f $(ra_pylib_LTLIBRARIES)
+       @list='$(ra_pylib_LTLIBRARIES)'; for p in $$list; do \
+         dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
+         test "$$dir" != "$$p" || dir=.; \
+         echo "rm -f \"$${dir}/so_locations\""; \
+         rm -f "$${dir}/so_locations"; \
+       done
+_ra.la: $(_ra_la_OBJECTS) $(_ra_la_DEPENDENCIES) 
+       $(_ra_la_LINK) -rpath $(ra_pylibdir) $(_ra_la_OBJECTS) $(_ra_la_LIBADD) $(LIBS)
+
+mostlyclean-compile:
+       -rm -f *.$(OBJEXT)
+
+distclean-compile:
+       -rm -f *.tab.c
+
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_ra_la-ra.Plo@am__quote@
+
+.cc.o:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ $<
+
+.cc.obj:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ `$(CYGPATH_W) '$<'`
+
+.cc.lo:
+@am__fastdepCXX_TRUE@  $(LTCXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LTCXXCOMPILE) -c -o $@ $<
+
+_ra_la-ra.lo: ra.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_ra_la_CXXFLAGS) $(CXXFLAGS) -MT _ra_la-ra.lo -MD -MP -MF $(DEPDIR)/_ra_la-ra.Tpo -c -o _ra_la-ra.lo `test -f 'ra.cc' || echo '$(srcdir)/'`ra.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_ra_la-ra.Tpo $(DEPDIR)/_ra_la-ra.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='ra.cc' object='_ra_la-ra.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_ra_la_CXXFLAGS) $(CXXFLAGS) -c -o _ra_la-ra.lo `test -f 'ra.cc' || echo '$(srcdir)/'`ra.cc
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-ra_pythonPYTHON: $(ra_python_PYTHON)
+       @$(NORMAL_INSTALL)
+       test -z "$(ra_pythondir)" || $(MKDIR_P) "$(DESTDIR)$(ra_pythondir)"
+       @list='$(ra_python_PYTHON)'; dlist=''; for p in $$list; do\
+         if test -f "$$p"; then b=; else b="$(srcdir)/"; fi; \
+         if test -f $$b$$p; then \
+           f=$(am__strip_dir) \
+           dlist="$$dlist $$f"; \
+           echo " $(ra_pythonPYTHON_INSTALL) '$$b$$p' '$(DESTDIR)$(ra_pythondir)/$$f'"; \
+           $(ra_pythonPYTHON_INSTALL) "$$b$$p" "$(DESTDIR)$(ra_pythondir)/$$f"; \
+         else :; fi; \
+       done; \
+       if test -n "$$dlist"; then \
+         if test -z "$(DESTDIR)"; then \
+           PYTHON=$(PYTHON) $(py_compile) --basedir "$(ra_pythondir)" $$dlist; \
+         else \
+           PYTHON=$(PYTHON) $(py_compile) --destdir "$(DESTDIR)" --basedir "$(ra_pythondir)" $$dlist; \
+         fi; \
+       else :; fi
+
+uninstall-ra_pythonPYTHON:
+       @$(NORMAL_UNINSTALL)
+       @list='$(ra_python_PYTHON)'; dlist=''; for p in $$list; do\
+         f=$(am__strip_dir) \
+         rm -f "$(DESTDIR)$(ra_pythondir)/$$f"; \
+         rm -f "$(DESTDIR)$(ra_pythondir)/$${f}c"; \
+         rm -f "$(DESTDIR)$(ra_pythondir)/$${f}o"; \
+       done
+install-ra_swigincludeHEADERS: $(ra_swiginclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(ra_swigincludedir)" || $(MKDIR_P) "$(DESTDIR)$(ra_swigincludedir)"
+       @list='$(ra_swiginclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(ra_swigincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(ra_swigincludedir)/$$f'"; \
+         $(ra_swigincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(ra_swigincludedir)/$$f"; \
+       done
+
+uninstall-ra_swigincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(ra_swiginclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(ra_swigincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(ra_swigincludedir)/$$f"; \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) check-am
+all-am: Makefile $(LTLIBRARIES) $(HEADERS)
+installdirs:
+       for dir in "$(DESTDIR)$(ra_pylibdir)" "$(DESTDIR)$(ra_pythondir)" "$(DESTDIR)$(ra_swigincludedir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+       -test -z "$(BUILT_SOURCES)" || rm -f $(BUILT_SOURCES)
+clean: clean-am
+
+clean-am: clean-generic clean-libtool clean-ra_pylibLTLIBRARIES \
+       mostlyclean-am
+
+distclean: distclean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+distclean-am: clean-am distclean-compile distclean-generic \
+       distclean-tags
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-ra_pylibLTLIBRARIES install-ra_pythonPYTHON \
+       install-ra_swigincludeHEADERS
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-compile mostlyclean-generic \
+       mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-ra_pylibLTLIBRARIES uninstall-ra_pythonPYTHON \
+       uninstall-ra_swigincludeHEADERS
+
+.MAKE: install-am install-strip
+
+.PHONY: CTAGS GTAGS all all-am check check-am clean clean-generic \
+       clean-libtool clean-ra_pylibLTLIBRARIES ctags dist-hook \
+       distclean distclean-compile distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-ra_pylibLTLIBRARIES \
+       install-ra_pythonPYTHON install-ra_swigincludeHEADERS \
+       install-strip installcheck installcheck-am installdirs \
+       maintainer-clean maintainer-clean-generic mostlyclean \
+       mostlyclean-compile mostlyclean-generic mostlyclean-libtool \
+       pdf pdf-am ps ps-am tags uninstall uninstall-am \
+       uninstall-ra_pylibLTLIBRARIES uninstall-ra_pythonPYTHON \
+       uninstall-ra_swigincludeHEADERS
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+
+generate-makefile-swig $(srcdir)/Makefile.swig.gen: $(top_srcdir)/Makefile.swig.gen.t
+       @do_recreate=0; \
+       if test -f $(srcdir)/Makefile.swig.gen; then \
+               if $(RM) $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                       if touch $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                               do_recreate=1; \
+                       fi; \
+               fi; \
+       else \
+               if touch $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                       do_recreate=1; \
+               fi; \
+       fi; \
+       if test "$$do_recreate" == "1"; then \
+               echo "Regenerating $(srcdir)/Makefile.swig.gen"; \
+               for TFILE in $(TOP_SWIG_IFILES); do \
+                       TNAME=`python -c "import os.path as op; (dN, fN) = op.split ('$$TFILE'); (fbN, fE) = op.splitext (fN); print fbN;"`; \
+                       $(SED) -e 's|@NAME@|'$$TNAME'|g;' < $(top_srcdir)/Makefile.swig.gen.t >> $(srcdir)/Makefile.swig.gen; \
+                       echo "" >> $(srcdir)/Makefile.swig.gen; \
+               done; \
+       else \
+               echo "Cannot recreate $(srcdir)/Makefile.swig.gen because the directory or file is write-protected."; \
+               exit -1; \
+       fi;
+
+ra_pythondir_category ?= gnuradio/ra
+ra_pylibdir_category ?= $(ra_pythondir_category)
+
+ra.h ra.py ra.cc: ra.i
+       trap 'rm -rf $(DEPDIR)/ra-generate-*' 1 2 13 15; \
+       if mkdir $(DEPDIR)/ra-generate-lock 2>/dev/null; then \
+               rm -f $(DEPDIR)/ra-generate-stamp; \
+               $(MAKE) $(AM_MAKEFLAGS) $(DEPDIR)/ra-generate-stamp WHAT=$<; \
+               rmdir $(DEPDIR)/ra-generate-lock; \
+       else \
+               while test -d $(DEPDIR)/ra-generate-lock; do \
+                       sleep 1; \
+               done; \
+               test -f $(DEPDIR)/ra-generate-stamp; \
+               exit $$?; \
+       fi;
+
+$(DEPDIR)/ra-generate-stamp:
+       if $(SWIG) $(STD_SWIG_PYTHON_ARGS) $(ra_swig_args) \
+               -MD -MF $(DEPDIR)/ra.Std \
+               -module ra -o ra.cc $(WHAT); then \
+           if test $(host_os) = mingw32; then \
+               $(RM) $(DEPDIR)/ra.Sd; \
+               $(SED) 's,\\\\,/,g' < $(DEPDIR)/ra.Std \
+                       > $(DEPDIR)/ra.Sd; \
+               $(RM) $(DEPDIR)/ra.Std; \
+               $(MV) $(DEPDIR)/ra.Sd $(DEPDIR)/ra.Std; \
+           fi; \
+       else \
+           $(RM) $(DEPDIR)/ra.S*; exit 1; \
+       fi;
+       $(RM) $(DEPDIR)/ra.d
+       cp $(DEPDIR)/ra.Std $(DEPDIR)/ra.d
+       echo "" >> $(DEPDIR)/ra.d
+       $(SED) -e '1d;s, \\,,g;s, ,,g' < $(DEPDIR)/ra.Std | \
+               awk '{ printf "%s:\n\n", $$0 }' >> $(DEPDIR)/ra.d
+       $(RM) $(DEPDIR)/ra.Std
+       touch $(DEPDIR)/ra-generate-stamp
+
+# KLUDGE: Force runtime include of a SWIG dependency file.  This is
+# not guaranteed to be portable, but will probably work.  If it works,
+# we have accurate dependencies for our swig stuff, which is good.
+
+@am__include@ @am__quote@./$(DEPDIR)/ra.d@am__quote@
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-radio-astronomy/src/python/Makefile.in b/gr-radio-astronomy/src/python/Makefile.in
new file mode 100644 (file)
index 0000000..36130e2
--- /dev/null
@@ -0,0 +1,1092 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004,2006,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(dist_bin_SCRIPTS) $(noinst_PYTHON) $(ourpython_PYTHON) \
+       $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(srcdir)/run_tests.in $(top_srcdir)/Makefile.common \
+       $(wxguipython_PYTHON)
+subdir = gr-radio-astronomy/src/python
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES = run_tests
+am__installdirs = "$(DESTDIR)$(bindir)" "$(DESTDIR)$(ourpythondir)" \
+       "$(DESTDIR)$(wxguipythondir)"
+dist_binSCRIPT_INSTALL = $(INSTALL_SCRIPT)
+SCRIPTS = $(dist_bin_SCRIPTS)
+SOURCES =
+DIST_SOURCES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+ourpythonPYTHON_INSTALL = $(INSTALL_DATA)
+wxguipythonPYTHON_INSTALL = $(INSTALL_DATA)
+py_compile = $(top_srcdir)/py-compile
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+
+# Install this stuff so that it ends up as the gnuradio.ra module
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+ourpythondir = $(grpythondir)
+ourlibdir = $(grpyexecdir)
+
+# wxgui stuff here
+wxguipythondir = $(grpythondir)/wxgui
+wxguilibdir = $(grpyexecdir)/wxgui
+EXTRA_DIST = \
+       run_tests.in
+
+TESTS = \
+       run_tests
+
+noinst_PYTHON = \
+       qa_ra.py                        
+
+ourpython_PYTHON = \
+       local_calibrator.py
+
+wxguipython_PYTHON = \
+       ra_stripchartsink.py            \
+       ra_fftsink.py                   \
+       ra_waterfallsink.py
+
+
+# and here for applications you want installed in prefix/bin
+dist_bin_SCRIPTS = \
+       usrp_ra_receiver.py             \
+       usrp_psr_receiver.py
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-radio-astronomy/src/python/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-radio-astronomy/src/python/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+run_tests: $(top_builddir)/config.status $(srcdir)/run_tests.in
+       cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@
+install-dist_binSCRIPTS: $(dist_bin_SCRIPTS)
+       @$(NORMAL_INSTALL)
+       test -z "$(bindir)" || $(MKDIR_P) "$(DESTDIR)$(bindir)"
+       @list='$(dist_bin_SCRIPTS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         if test -f $$d$$p; then \
+           f=`echo "$$p" | sed 's|^.*/||;$(transform)'`; \
+           echo " $(dist_binSCRIPT_INSTALL) '$$d$$p' '$(DESTDIR)$(bindir)/$$f'"; \
+           $(dist_binSCRIPT_INSTALL) "$$d$$p" "$(DESTDIR)$(bindir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-dist_binSCRIPTS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_bin_SCRIPTS)'; for p in $$list; do \
+         f=`echo "$$p" | sed 's|^.*/||;$(transform)'`; \
+         echo " rm -f '$(DESTDIR)$(bindir)/$$f'"; \
+         rm -f "$(DESTDIR)$(bindir)/$$f"; \
+       done
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-ourpythonPYTHON: $(ourpython_PYTHON)
+       @$(NORMAL_INSTALL)
+       test -z "$(ourpythondir)" || $(MKDIR_P) "$(DESTDIR)$(ourpythondir)"
+       @list='$(ourpython_PYTHON)'; dlist=''; for p in $$list; do\
+         if test -f "$$p"; then b=; else b="$(srcdir)/"; fi; \
+         if test -f $$b$$p; then \
+           f=$(am__strip_dir) \
+           dlist="$$dlist $$f"; \
+           echo " $(ourpythonPYTHON_INSTALL) '$$b$$p' '$(DESTDIR)$(ourpythondir)/$$f'"; \
+           $(ourpythonPYTHON_INSTALL) "$$b$$p" "$(DESTDIR)$(ourpythondir)/$$f"; \
+         else :; fi; \
+       done; \
+       if test -n "$$dlist"; then \
+         if test -z "$(DESTDIR)"; then \
+           PYTHON=$(PYTHON) $(py_compile) --basedir "$(ourpythondir)" $$dlist; \
+         else \
+           PYTHON=$(PYTHON) $(py_compile) --destdir "$(DESTDIR)" --basedir "$(ourpythondir)" $$dlist; \
+         fi; \
+       else :; fi
+
+uninstall-ourpythonPYTHON:
+       @$(NORMAL_UNINSTALL)
+       @list='$(ourpython_PYTHON)'; dlist=''; for p in $$list; do\
+         f=$(am__strip_dir) \
+         rm -f "$(DESTDIR)$(ourpythondir)/$$f"; \
+         rm -f "$(DESTDIR)$(ourpythondir)/$${f}c"; \
+         rm -f "$(DESTDIR)$(ourpythondir)/$${f}o"; \
+       done
+install-wxguipythonPYTHON: $(wxguipython_PYTHON)
+       @$(NORMAL_INSTALL)
+       test -z "$(wxguipythondir)" || $(MKDIR_P) "$(DESTDIR)$(wxguipythondir)"
+       @list='$(wxguipython_PYTHON)'; dlist=''; for p in $$list; do\
+         if test -f "$$p"; then b=; else b="$(srcdir)/"; fi; \
+         if test -f $$b$$p; then \
+           f=$(am__strip_dir) \
+           dlist="$$dlist $$f"; \
+           echo " $(wxguipythonPYTHON_INSTALL) '$$b$$p' '$(DESTDIR)$(wxguipythondir)/$$f'"; \
+           $(wxguipythonPYTHON_INSTALL) "$$b$$p" "$(DESTDIR)$(wxguipythondir)/$$f"; \
+         else :; fi; \
+       done; \
+       if test -n "$$dlist"; then \
+         if test -z "$(DESTDIR)"; then \
+           PYTHON=$(PYTHON) $(py_compile) --basedir "$(wxguipythondir)" $$dlist; \
+         else \
+           PYTHON=$(PYTHON) $(py_compile) --destdir "$(DESTDIR)" --basedir "$(wxguipythondir)" $$dlist; \
+         fi; \
+       else :; fi
+
+uninstall-wxguipythonPYTHON:
+       @$(NORMAL_UNINSTALL)
+       @list='$(wxguipython_PYTHON)'; dlist=''; for p in $$list; do\
+         f=$(am__strip_dir) \
+         rm -f "$(DESTDIR)$(wxguipythondir)/$$f"; \
+         rm -f "$(DESTDIR)$(wxguipythondir)/$${f}c"; \
+         rm -f "$(DESTDIR)$(wxguipythondir)/$${f}o"; \
+       done
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+check-TESTS: $(TESTS)
+       @failed=0; all=0; xfail=0; xpass=0; skip=0; \
+       srcdir=$(srcdir); export srcdir; \
+       list=' $(TESTS) '; \
+       if test -n "$$list"; then \
+         for tst in $$list; do \
+           if test -f ./$$tst; then dir=./; \
+           elif test -f $$tst; then dir=; \
+           else dir="$(srcdir)/"; fi; \
+           if $(TESTS_ENVIRONMENT) $${dir}$$tst; then \
+             all=`expr $$all + 1`; \
+             case " $(XFAIL_TESTS) " in \
+             *[\ \     ]$$tst[\ \      ]*) \
+               xpass=`expr $$xpass + 1`; \
+               failed=`expr $$failed + 1`; \
+               echo "XPASS: $$tst"; \
+             ;; \
+             *) \
+               echo "PASS: $$tst"; \
+             ;; \
+             esac; \
+           elif test $$? -ne 77; then \
+             all=`expr $$all + 1`; \
+             case " $(XFAIL_TESTS) " in \
+             *[\ \     ]$$tst[\ \      ]*) \
+               xfail=`expr $$xfail + 1`; \
+               echo "XFAIL: $$tst"; \
+             ;; \
+             *) \
+               failed=`expr $$failed + 1`; \
+               echo "FAIL: $$tst"; \
+             ;; \
+             esac; \
+           else \
+             skip=`expr $$skip + 1`; \
+             echo "SKIP: $$tst"; \
+           fi; \
+         done; \
+         if test "$$all" -eq 1; then \
+           tests="test"; \
+           All=""; \
+         else \
+           tests="tests"; \
+           All="All "; \
+         fi; \
+         if test "$$failed" -eq 0; then \
+           if test "$$xfail" -eq 0; then \
+             banner="$$All$$all $$tests passed"; \
+           else \
+             if test "$$xfail" -eq 1; then failures=failure; else failures=failures; fi; \
+             banner="$$All$$all $$tests behaved as expected ($$xfail expected $$failures)"; \
+           fi; \
+         else \
+           if test "$$xpass" -eq 0; then \
+             banner="$$failed of $$all $$tests failed"; \
+           else \
+             if test "$$xpass" -eq 1; then passes=pass; else passes=passes; fi; \
+             banner="$$failed of $$all $$tests did not behave as expected ($$xpass unexpected $$passes)"; \
+           fi; \
+         fi; \
+         dashes="$$banner"; \
+         skipped=""; \
+         if test "$$skip" -ne 0; then \
+           if test "$$skip" -eq 1; then \
+             skipped="($$skip test was not run)"; \
+           else \
+             skipped="($$skip tests were not run)"; \
+           fi; \
+           test `echo "$$skipped" | wc -c` -le `echo "$$banner" | wc -c` || \
+             dashes="$$skipped"; \
+         fi; \
+         report=""; \
+         if test "$$failed" -ne 0 && test -n "$(PACKAGE_BUGREPORT)"; then \
+           report="Please report to $(PACKAGE_BUGREPORT)"; \
+           test `echo "$$report" | wc -c` -le `echo "$$banner" | wc -c` || \
+             dashes="$$report"; \
+         fi; \
+         dashes=`echo "$$dashes" | sed s/./=/g`; \
+         echo "$$dashes"; \
+         echo "$$banner"; \
+         test -z "$$skipped" || echo "$$skipped"; \
+         test -z "$$report" || echo "$$report"; \
+         echo "$$dashes"; \
+         test "$$failed" -eq 0; \
+       else :; fi
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+       $(MAKE) $(AM_MAKEFLAGS) check-TESTS
+check: check-am
+all-am: Makefile $(SCRIPTS)
+installdirs:
+       for dir in "$(DESTDIR)$(bindir)" "$(DESTDIR)$(ourpythondir)" "$(DESTDIR)$(wxguipythondir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-ourpythonPYTHON install-wxguipythonPYTHON
+
+install-dvi: install-dvi-am
+
+install-exec-am: install-dist_binSCRIPTS
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-dist_binSCRIPTS uninstall-ourpythonPYTHON \
+       uninstall-wxguipythonPYTHON
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-TESTS check-am clean clean-generic \
+       clean-libtool dist-hook distclean distclean-generic \
+       distclean-libtool distdir dvi dvi-am html html-am info info-am \
+       install install-am install-data install-data-am \
+       install-dist_binSCRIPTS install-dvi install-dvi-am \
+       install-exec install-exec-am install-html install-html-am \
+       install-info install-info-am install-man \
+       install-ourpythonPYTHON install-pdf install-pdf-am install-ps \
+       install-ps-am install-strip install-wxguipythonPYTHON \
+       installcheck installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am uninstall uninstall-am \
+       uninstall-dist_binSCRIPTS uninstall-ourpythonPYTHON \
+       uninstall-wxguipythonPYTHON
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-sounder/Makefile.in b/gr-sounder/Makefile.in
new file mode 100644 (file)
index 0000000..64a1295
--- /dev/null
@@ -0,0 +1,1030 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2007 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = README $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gr-sounder
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+SUBDIRS = src doc
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-sounder/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-sounder/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-recursive
+all-am: Makefile
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive dist-hook distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-sounder/doc/Makefile.in b/gr-sounder/doc/Makefile.in
new file mode 100644 (file)
index 0000000..ab6395c
--- /dev/null
@@ -0,0 +1,872 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2007 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gr-sounder/doc
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-sounder/doc/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-sounder/doc/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile
+installdirs:
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am install-dvi \
+       install-dvi-am install-exec install-exec-am install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-ps install-ps-am \
+       install-strip installcheck installcheck-am installdirs \
+       maintainer-clean maintainer-clean-generic mostlyclean \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-sounder/src/Makefile.in b/gr-sounder/src/Makefile.in
new file mode 100644 (file)
index 0000000..2738518
--- /dev/null
@@ -0,0 +1,1030 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2007 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gr-sounder/src
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+SUBDIRS = fpga lib python
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-sounder/src/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-sounder/src/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-recursive
+all-am: Makefile
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive dist-hook distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-sounder/src/fpga/Makefile.in b/gr-sounder/src/fpga/Makefile.in
new file mode 100644 (file)
index 0000000..3bb2d79
--- /dev/null
@@ -0,0 +1,1030 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2007 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gr-sounder/src/fpga
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+SUBDIRS = top lib tb
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-sounder/src/fpga/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-sounder/src/fpga/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-recursive
+all-am: Makefile
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive dist-hook distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-sounder/src/fpga/lib/Makefile.in b/gr-sounder/src/fpga/lib/Makefile.in
new file mode 100644 (file)
index 0000000..acced81
--- /dev/null
@@ -0,0 +1,880 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2007,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gr-sounder/src/fpga/lib
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+EXTRA_DIST = \
+       dac_interface.v \
+       dacpll.v \
+       sounder.v \
+       sounder_ctrl.v \
+       sounder_rx.v \
+       sounder_tx.v
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-sounder/src/fpga/lib/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-sounder/src/fpga/lib/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile
+installdirs:
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am install-dvi \
+       install-dvi-am install-exec install-exec-am install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-ps install-ps-am \
+       install-strip installcheck installcheck-am installdirs \
+       maintainer-clean maintainer-clean-generic mostlyclean \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-sounder/src/fpga/tb/Makefile.in b/gr-sounder/src/fpga/tb/Makefile.in
new file mode 100644 (file)
index 0000000..aafb280
--- /dev/null
@@ -0,0 +1,879 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2007,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gr-sounder/src/fpga/tb
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp \
+       *.loT *.vcd *.out* sounder_tb
+EXTRA_DIST = \
+       sounder_tb.v \
+       sounder_tb.sav \
+       sounder_tb.sh \
+       sounder_tb_wave.sh
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-sounder/src/fpga/tb/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-sounder/src/fpga/tb/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile
+installdirs:
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am install-dvi \
+       install-dvi-am install-exec install-exec-am install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-ps install-ps-am \
+       install-strip installcheck installcheck-am installdirs \
+       maintainer-clean maintainer-clean-generic mostlyclean \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-sounder/src/fpga/top/Makefile.in b/gr-sounder/src/fpga/top/Makefile.in
new file mode 100644 (file)
index 0000000..6d41b43
--- /dev/null
@@ -0,0 +1,938 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2007,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(dist_rbf2data_DATA) $(dist_rbf4data_DATA) \
+       $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gr-sounder/src/fpga/top
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(rbf2datadir)" \
+       "$(DESTDIR)$(rbf4datadir)"
+dist_rbf2dataDATA_INSTALL = $(INSTALL_DATA)
+dist_rbf4dataDATA_INSTALL = $(INSTALL_DATA)
+DATA = $(dist_rbf2data_DATA) $(dist_rbf4data_DATA)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp \
+       *.loT db/* *.rpt *.summary *.qws *.smsg *.done *.pin *.sof
+RBFS = usrp_sounder.rbf
+rbf2datadir = $(prefix)/share/usrp/rev2
+dist_rbf2data_DATA = $(RBFS)
+rbf4datadir = $(prefix)/share/usrp/rev4
+dist_rbf4data_DATA = $(RBFS)
+EXTRA_DIST = \
+       config.vh               \
+       usrp_sounder.v          \
+       usrp_sounder.csf        \
+       usrp_sounder.esf        \
+       usrp_sounder.psf        \
+       usrp_sounder.qpf
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-sounder/src/fpga/top/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-sounder/src/fpga/top/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-dist_rbf2dataDATA: $(dist_rbf2data_DATA)
+       @$(NORMAL_INSTALL)
+       test -z "$(rbf2datadir)" || $(MKDIR_P) "$(DESTDIR)$(rbf2datadir)"
+       @list='$(dist_rbf2data_DATA)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(dist_rbf2dataDATA_INSTALL) '$$d$$p' '$(DESTDIR)$(rbf2datadir)/$$f'"; \
+         $(dist_rbf2dataDATA_INSTALL) "$$d$$p" "$(DESTDIR)$(rbf2datadir)/$$f"; \
+       done
+
+uninstall-dist_rbf2dataDATA:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_rbf2data_DATA)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(rbf2datadir)/$$f'"; \
+         rm -f "$(DESTDIR)$(rbf2datadir)/$$f"; \
+       done
+install-dist_rbf4dataDATA: $(dist_rbf4data_DATA)
+       @$(NORMAL_INSTALL)
+       test -z "$(rbf4datadir)" || $(MKDIR_P) "$(DESTDIR)$(rbf4datadir)"
+       @list='$(dist_rbf4data_DATA)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(dist_rbf4dataDATA_INSTALL) '$$d$$p' '$(DESTDIR)$(rbf4datadir)/$$f'"; \
+         $(dist_rbf4dataDATA_INSTALL) "$$d$$p" "$(DESTDIR)$(rbf4datadir)/$$f"; \
+       done
+
+uninstall-dist_rbf4dataDATA:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_rbf4data_DATA)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(rbf4datadir)/$$f'"; \
+         rm -f "$(DESTDIR)$(rbf4datadir)/$$f"; \
+       done
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile $(DATA)
+installdirs:
+       for dir in "$(DESTDIR)$(rbf2datadir)" "$(DESTDIR)$(rbf4datadir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-dist_rbf2dataDATA install-dist_rbf4dataDATA
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-dist_rbf2dataDATA uninstall-dist_rbf4dataDATA
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am \
+       install-dist_rbf2dataDATA install-dist_rbf4dataDATA \
+       install-dvi install-dvi-am install-exec install-exec-am \
+       install-html install-html-am install-info install-info-am \
+       install-man install-pdf install-pdf-am install-ps \
+       install-ps-am install-strip installcheck installcheck-am \
+       installdirs maintainer-clean maintainer-clean-generic \
+       mostlyclean mostlyclean-generic mostlyclean-libtool pdf pdf-am \
+       ps ps-am uninstall uninstall-am uninstall-dist_rbf2dataDATA \
+       uninstall-dist_rbf4dataDATA
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-sounder/src/lib/Makefile.in b/gr-sounder/src/lib/Makefile.in
new file mode 100644 (file)
index 0000000..236a034
--- /dev/null
@@ -0,0 +1,872 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2007 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gr-sounder/src/lib
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-sounder/src/lib/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-sounder/src/lib/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile
+installdirs:
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am install-dvi \
+       install-dvi-am install-exec install-exec-am install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-ps install-ps-am \
+       install-strip installcheck installcheck-am installdirs \
+       maintainer-clean maintainer-clean-generic mostlyclean \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-sounder/src/python/Makefile.in b/gr-sounder/src/python/Makefile.in
new file mode 100644 (file)
index 0000000..b2fc80b
--- /dev/null
@@ -0,0 +1,956 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2007,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(dist_bin_SCRIPTS) $(sounder_python_PYTHON) \
+       $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(srcdir)/run_tests.in $(top_srcdir)/Makefile.common
+subdir = gr-sounder/src/python
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES = run_tests
+am__installdirs = "$(DESTDIR)$(bindir)" \
+       "$(DESTDIR)$(sounder_pythondir)"
+dist_binSCRIPT_INSTALL = $(INSTALL_SCRIPT)
+SCRIPTS = $(dist_bin_SCRIPTS)
+SOURCES =
+DIST_SOURCES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+sounder_pythonPYTHON_INSTALL = $(INSTALL_DATA)
+py_compile = $(top_srcdir)/py-compile
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+
+# Install this stuff so that it ends up as the gnuradio.sounder module
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+sounder_pythondir = $(grpythondir)
+EXTRA_DIST = \
+       sounder_loopback.sh \
+       qa_nothing.py   \
+       run_tests.in
+
+dist_bin_SCRIPTS = \
+       usrp_sounder.py
+
+sounder_python_PYTHON = \
+       sounder.py
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-sounder/src/python/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-sounder/src/python/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+run_tests: $(top_builddir)/config.status $(srcdir)/run_tests.in
+       cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@
+install-dist_binSCRIPTS: $(dist_bin_SCRIPTS)
+       @$(NORMAL_INSTALL)
+       test -z "$(bindir)" || $(MKDIR_P) "$(DESTDIR)$(bindir)"
+       @list='$(dist_bin_SCRIPTS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         if test -f $$d$$p; then \
+           f=`echo "$$p" | sed 's|^.*/||;$(transform)'`; \
+           echo " $(dist_binSCRIPT_INSTALL) '$$d$$p' '$(DESTDIR)$(bindir)/$$f'"; \
+           $(dist_binSCRIPT_INSTALL) "$$d$$p" "$(DESTDIR)$(bindir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-dist_binSCRIPTS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_bin_SCRIPTS)'; for p in $$list; do \
+         f=`echo "$$p" | sed 's|^.*/||;$(transform)'`; \
+         echo " rm -f '$(DESTDIR)$(bindir)/$$f'"; \
+         rm -f "$(DESTDIR)$(bindir)/$$f"; \
+       done
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-sounder_pythonPYTHON: $(sounder_python_PYTHON)
+       @$(NORMAL_INSTALL)
+       test -z "$(sounder_pythondir)" || $(MKDIR_P) "$(DESTDIR)$(sounder_pythondir)"
+       @list='$(sounder_python_PYTHON)'; dlist=''; for p in $$list; do\
+         if test -f "$$p"; then b=; else b="$(srcdir)/"; fi; \
+         if test -f $$b$$p; then \
+           f=$(am__strip_dir) \
+           dlist="$$dlist $$f"; \
+           echo " $(sounder_pythonPYTHON_INSTALL) '$$b$$p' '$(DESTDIR)$(sounder_pythondir)/$$f'"; \
+           $(sounder_pythonPYTHON_INSTALL) "$$b$$p" "$(DESTDIR)$(sounder_pythondir)/$$f"; \
+         else :; fi; \
+       done; \
+       if test -n "$$dlist"; then \
+         if test -z "$(DESTDIR)"; then \
+           PYTHON=$(PYTHON) $(py_compile) --basedir "$(sounder_pythondir)" $$dlist; \
+         else \
+           PYTHON=$(PYTHON) $(py_compile) --destdir "$(DESTDIR)" --basedir "$(sounder_pythondir)" $$dlist; \
+         fi; \
+       else :; fi
+
+uninstall-sounder_pythonPYTHON:
+       @$(NORMAL_UNINSTALL)
+       @list='$(sounder_python_PYTHON)'; dlist=''; for p in $$list; do\
+         f=$(am__strip_dir) \
+         rm -f "$(DESTDIR)$(sounder_pythondir)/$$f"; \
+         rm -f "$(DESTDIR)$(sounder_pythondir)/$${f}c"; \
+         rm -f "$(DESTDIR)$(sounder_pythondir)/$${f}o"; \
+       done
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile $(SCRIPTS)
+installdirs:
+       for dir in "$(DESTDIR)$(bindir)" "$(DESTDIR)$(sounder_pythondir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-sounder_pythonPYTHON
+
+install-dvi: install-dvi-am
+
+install-exec-am: install-dist_binSCRIPTS
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-dist_binSCRIPTS uninstall-sounder_pythonPYTHON
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am \
+       install-dist_binSCRIPTS install-dvi install-dvi-am \
+       install-exec install-exec-am install-html install-html-am \
+       install-info install-info-am install-man install-pdf \
+       install-pdf-am install-ps install-ps-am \
+       install-sounder_pythonPYTHON install-strip installcheck \
+       installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am uninstall uninstall-am \
+       uninstall-dist_binSCRIPTS uninstall-sounder_pythonPYTHON
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-trellis/Makefile.in b/gr-trellis/Makefile.in
new file mode 100644 (file)
index 0000000..0232e52
--- /dev/null
@@ -0,0 +1,1030 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004,2006 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = README $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gr-trellis
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+SUBDIRS = src doc
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-trellis/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-trellis/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-recursive
+all-am: Makefile
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive dist-hook distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-trellis/doc/Makefile.in b/gr-trellis/doc/Makefile.in
new file mode 100644 (file)
index 0000000..8ffe47e
--- /dev/null
@@ -0,0 +1,934 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004,2005,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+@HAS_XMLTO_TRUE@am__append_1 = \
+@HAS_XMLTO_TRUE@    gr-trellis.html
+
+subdir = gr-trellis/doc
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(htmldocdir)"
+htmldocDATA_INSTALL = $(INSTALL_DATA)
+DATA = $(htmldoc_DATA)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+HTML_FILES = $(am__append_1)
+EXTRA_DIST = \
+    gr-trellis.xml \
+    make_numbered_listing.py \
+    test_tcm.py \
+    test_tcm.py.xml \
+    test_viterbi_equalization1.py \
+    test_viterbi_equalization1.py.xml
+
+BUILT_XML_FILES = 
+htmldocdir = $(gr_docdir)/html
+htmldoc_DATA = $(HTML_FILES)
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-trellis/doc/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-trellis/doc/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-htmldocDATA: $(htmldoc_DATA)
+       @$(NORMAL_INSTALL)
+       test -z "$(htmldocdir)" || $(MKDIR_P) "$(DESTDIR)$(htmldocdir)"
+       @list='$(htmldoc_DATA)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(htmldocDATA_INSTALL) '$$d$$p' '$(DESTDIR)$(htmldocdir)/$$f'"; \
+         $(htmldocDATA_INSTALL) "$$d$$p" "$(DESTDIR)$(htmldocdir)/$$f"; \
+       done
+
+uninstall-htmldocDATA:
+       @$(NORMAL_UNINSTALL)
+       @list='$(htmldoc_DATA)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(htmldocdir)/$$f'"; \
+         rm -f "$(DESTDIR)$(htmldocdir)/$$f"; \
+       done
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile $(DATA)
+installdirs:
+       for dir in "$(DESTDIR)$(htmldocdir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool clean-local mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-htmldocDATA
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-htmldocDATA
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       clean-local dist-hook distclean distclean-generic \
+       distclean-libtool distdir dvi dvi-am html html-am info info-am \
+       install install-am install-data install-data-am install-dvi \
+       install-dvi-am install-exec install-exec-am install-html \
+       install-html-am install-htmldocDATA install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am uninstall uninstall-am \
+       uninstall-htmldocDATA
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+
+all: $(HTML_FILES)
+
+# ----------------------------------------------------------------
+
+gr-trellis.html : gr-trellis.xml
+       xmlto html-nochunks $(top_srcdir)/gr-trellis/doc/gr-trellis.xml
+
+test_tcm.py.xml : test_tcm.py make_numbered_listing.py
+       $(srcdir)/make_numbered_listing.py $<
+
+test_viterbi_equalization1.py.xml : test_viterbi_equalization1.py make_numbered_listing.py
+       $(srcdir)/make_numbered_listing.py $<
+
+clean-local:
+       $(RM) -fr $(HTML_FILES) *~
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-trellis/src/Makefile.in b/gr-trellis/src/Makefile.in
new file mode 100644 (file)
index 0000000..85a0444
--- /dev/null
@@ -0,0 +1,896 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+subdir = gr-trellis/src
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+SUBDIRS = lib python examples
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am  $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-trellis/src/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-trellis/src/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+check-am: all-am
+check: check-recursive
+all-am: Makefile
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am
+
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-trellis/src/examples/Makefile.in b/gr-trellis/src/examples/Makefile.in
new file mode 100644 (file)
index 0000000..c7c277f
--- /dev/null
@@ -0,0 +1,1105 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = README $(dist_ourdata_DATA) $(dist_ourdata_SCRIPTS) \
+       $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gr-trellis/src/examples
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+am__installdirs = "$(DESTDIR)$(ourdatadir)" "$(DESTDIR)$(ourdatadir)"
+dist_ourdataSCRIPT_INSTALL = $(INSTALL_SCRIPT)
+SCRIPTS = $(dist_ourdata_SCRIPTS)
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+dist_ourdataDATA_INSTALL = $(INSTALL_DATA)
+DATA = $(dist_ourdata_DATA)
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+SUBDIRS = fsm_files
+ourdatadir = $(exampledir)/trellis
+dist_ourdata_DATA = \
+        README
+
+dist_ourdata_SCRIPTS = \
+       fsm_utils.py                    \
+       test_tcm.py                     \
+       test_tcm1.py                    \
+       test_tcm2.py                    \
+       test_tcm_parallel.py            \
+       test_tcm_combined.py            \
+       test_sccc_hard.py               \
+       test_sccc_soft.py               \
+       test_sccc_turbo.py              \
+       test_viterbi_equalization1.py   \
+       test_viterbi_equalization.py    \
+       test_turbo_equalization.py      \
+       test_turbo_equalization1.py     \
+       test_turbo_equalization2.py
+
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-trellis/src/examples/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-trellis/src/examples/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+install-dist_ourdataSCRIPTS: $(dist_ourdata_SCRIPTS)
+       @$(NORMAL_INSTALL)
+       test -z "$(ourdatadir)" || $(MKDIR_P) "$(DESTDIR)$(ourdatadir)"
+       @list='$(dist_ourdata_SCRIPTS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         if test -f $$d$$p; then \
+           f=`echo "$$p" | sed 's|^.*/||;$(transform)'`; \
+           echo " $(dist_ourdataSCRIPT_INSTALL) '$$d$$p' '$(DESTDIR)$(ourdatadir)/$$f'"; \
+           $(dist_ourdataSCRIPT_INSTALL) "$$d$$p" "$(DESTDIR)$(ourdatadir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-dist_ourdataSCRIPTS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_ourdata_SCRIPTS)'; for p in $$list; do \
+         f=`echo "$$p" | sed 's|^.*/||;$(transform)'`; \
+         echo " rm -f '$(DESTDIR)$(ourdatadir)/$$f'"; \
+         rm -f "$(DESTDIR)$(ourdatadir)/$$f"; \
+       done
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-dist_ourdataDATA: $(dist_ourdata_DATA)
+       @$(NORMAL_INSTALL)
+       test -z "$(ourdatadir)" || $(MKDIR_P) "$(DESTDIR)$(ourdatadir)"
+       @list='$(dist_ourdata_DATA)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(dist_ourdataDATA_INSTALL) '$$d$$p' '$(DESTDIR)$(ourdatadir)/$$f'"; \
+         $(dist_ourdataDATA_INSTALL) "$$d$$p" "$(DESTDIR)$(ourdatadir)/$$f"; \
+       done
+
+uninstall-dist_ourdataDATA:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_ourdata_DATA)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(ourdatadir)/$$f'"; \
+         rm -f "$(DESTDIR)$(ourdatadir)/$$f"; \
+       done
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-recursive
+all-am: Makefile $(SCRIPTS) $(DATA)
+installdirs: installdirs-recursive
+installdirs-am:
+       for dir in "$(DESTDIR)$(ourdatadir)" "$(DESTDIR)$(ourdatadir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am: install-dist_ourdataDATA install-dist_ourdataSCRIPTS
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am: uninstall-dist_ourdataDATA uninstall-dist_ourdataSCRIPTS
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive dist-hook distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dist_ourdataDATA \
+       install-dist_ourdataSCRIPTS install-dvi install-dvi-am \
+       install-exec install-exec-am install-html install-html-am \
+       install-info install-info-am install-man install-pdf \
+       install-pdf-am install-ps install-ps-am install-strip \
+       installcheck installcheck-am installdirs installdirs-am \
+       maintainer-clean maintainer-clean-generic mostlyclean \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       tags tags-recursive uninstall uninstall-am \
+       uninstall-dist_ourdataDATA uninstall-dist_ourdataSCRIPTS
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-trellis/src/examples/fsm_files/Makefile.in b/gr-trellis/src/examples/fsm_files/Makefile.in
new file mode 100644 (file)
index 0000000..cd459b6
--- /dev/null
@@ -0,0 +1,920 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(dist_ourdata_DATA) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(top_srcdir)/Makefile.common
+subdir = gr-trellis/src/examples/fsm_files
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(ourdatadir)"
+dist_ourdataDATA_INSTALL = $(INSTALL_DATA)
+DATA = $(dist_ourdata_DATA)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+ourdatadir = $(exampledir)/trellis/fsm_files
+dist_ourdata_DATA = \
+       awgn1o2_128.fsm         \
+       awgn1o2_16.fsm          \
+       awgn1o2_4.fsm           \
+       awgn1o2_8.fsm           \
+       awgn2o3_16.fsm          \
+       awgn2o3_4.fsm           \
+       awgn2o3_4_msb.fsm       \
+       awgn2o3_4_msbG.fsm      \
+       awgn2o3_8.fsm           \
+       awgn2o4_4.fsm           \
+       disconnected.fsm        \
+       rep3.fsm                \
+       rep5.fsm                \
+       simple.fsm
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-trellis/src/examples/fsm_files/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-trellis/src/examples/fsm_files/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-dist_ourdataDATA: $(dist_ourdata_DATA)
+       @$(NORMAL_INSTALL)
+       test -z "$(ourdatadir)" || $(MKDIR_P) "$(DESTDIR)$(ourdatadir)"
+       @list='$(dist_ourdata_DATA)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(dist_ourdataDATA_INSTALL) '$$d$$p' '$(DESTDIR)$(ourdatadir)/$$f'"; \
+         $(dist_ourdataDATA_INSTALL) "$$d$$p" "$(DESTDIR)$(ourdatadir)/$$f"; \
+       done
+
+uninstall-dist_ourdataDATA:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_ourdata_DATA)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(ourdatadir)/$$f'"; \
+         rm -f "$(DESTDIR)$(ourdatadir)/$$f"; \
+       done
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile $(DATA)
+installdirs:
+       for dir in "$(DESTDIR)$(ourdatadir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-dist_ourdataDATA
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-dist_ourdataDATA
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am \
+       install-dist_ourdataDATA install-dvi install-dvi-am \
+       install-exec install-exec-am install-html install-html-am \
+       install-info install-info-am install-man install-pdf \
+       install-pdf-am install-ps install-ps-am install-strip \
+       installcheck installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am uninstall uninstall-am \
+       uninstall-dist_ourdataDATA
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-trellis/src/lib/Makefile.in b/gr-trellis/src/lib/Makefile.in
new file mode 100644 (file)
index 0000000..cceb0a8
--- /dev/null
@@ -0,0 +1,1871 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004,2005,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# Makefile.swig.gen for trellis.i
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(grinclude_HEADERS) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.gen $(srcdir)/Makefile.in \
+       $(srcdir)/Makefile.swig.gen $(top_srcdir)/Makefile.common \
+       $(top_srcdir)/Makefile.gen.gen $(top_srcdir)/Makefile.par.gen \
+       $(top_srcdir)/Makefile.swig $(trellis_python_PYTHON) \
+       $(trellis_swiginclude_HEADERS)
+subdir = gr-trellis/src/lib
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(trellis_pylibdir)" \
+       "$(DESTDIR)$(trellis_pythondir)" "$(DESTDIR)$(grincludedir)" \
+       "$(DESTDIR)$(trellis_swigincludedir)"
+trellis_pylibLTLIBRARIES_INSTALL = $(INSTALL)
+LTLIBRARIES = $(trellis_pylib_LTLIBRARIES)
+am__DEPENDENCIES_1 =
+am__DEPENDENCIES_2 = $(am__DEPENDENCIES_1)
+_trellis_la_DEPENDENCIES = $(am__DEPENDENCIES_1) $(am__DEPENDENCIES_2)
+am__objects_1 = _trellis_la-trellis_encoder_bb.lo \
+       _trellis_la-trellis_encoder_bi.lo \
+       _trellis_la-trellis_encoder_bs.lo \
+       _trellis_la-trellis_encoder_ii.lo \
+       _trellis_la-trellis_encoder_si.lo \
+       _trellis_la-trellis_encoder_ss.lo \
+       _trellis_la-trellis_metrics_c.lo \
+       _trellis_la-trellis_metrics_f.lo \
+       _trellis_la-trellis_metrics_i.lo \
+       _trellis_la-trellis_metrics_s.lo \
+       _trellis_la-trellis_viterbi_b.lo \
+       _trellis_la-trellis_viterbi_combined_cb.lo \
+       _trellis_la-trellis_viterbi_combined_ci.lo \
+       _trellis_la-trellis_viterbi_combined_cs.lo \
+       _trellis_la-trellis_viterbi_combined_fb.lo \
+       _trellis_la-trellis_viterbi_combined_fi.lo \
+       _trellis_la-trellis_viterbi_combined_fs.lo \
+       _trellis_la-trellis_viterbi_combined_ib.lo \
+       _trellis_la-trellis_viterbi_combined_ii.lo \
+       _trellis_la-trellis_viterbi_combined_is.lo \
+       _trellis_la-trellis_viterbi_combined_sb.lo \
+       _trellis_la-trellis_viterbi_combined_si.lo \
+       _trellis_la-trellis_viterbi_combined_ss.lo \
+       _trellis_la-trellis_viterbi_i.lo \
+       _trellis_la-trellis_viterbi_s.lo
+am__objects_2 = _trellis_la-fsm.lo _trellis_la-quicksort_index.lo \
+       _trellis_la-base.lo _trellis_la-interleaver.lo \
+       _trellis_la-trellis_calc_metric.lo \
+       _trellis_la-trellis_permutation.lo \
+       _trellis_la-trellis_siso_f.lo \
+       _trellis_la-trellis_siso_combined_f.lo $(am__objects_1)
+am__trellis_la_OBJECTS = _trellis_la-trellis.lo $(am__objects_2)
+_trellis_la_OBJECTS = $(am__trellis_la_OBJECTS)
+_trellis_la_LINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) \
+       $(LIBTOOLFLAGS) --mode=link $(CXXLD) $(_trellis_la_CXXFLAGS) \
+       $(CXXFLAGS) $(_trellis_la_LDFLAGS) $(LDFLAGS) -o $@
+DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
+depcomp = $(SHELL) $(top_srcdir)/depcomp
+am__depfiles_maybe = depfiles
+CXXCOMPILE = $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+LTCXXCOMPILE = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+CXXLD = $(CXX)
+CXXLINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CXXLD) $(AM_CXXFLAGS) $(CXXFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+SOURCES = $(_trellis_la_SOURCES)
+DIST_SOURCES = $(_trellis_la_SOURCES)
+trellis_pythonPYTHON_INSTALL = $(INSTALL_DATA)
+py_compile = $(top_srcdir)/py-compile
+grincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+trellis_swigincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+HEADERS = $(grinclude_HEADERS) $(trellis_swiginclude_HEADERS)
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = $(DEPDIR)/Makefile.gen-generate-generate-* \
+       $(DEPDIR)/stamp-sources-generate* $(DEPDIR)/trellis-generate-*
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp \
+       *.loT stamp-sources-generate $(DEPDIR)/*.S*
+AM_CPPFLAGS = $(STD_DEFINES_AND_INCLUDES) $(PYTHON_CPPFLAGS) $(WITH_INCLUDES)
+
+# ----------------------------------------------------------------
+# these scripts generate trellis codes from template files
+core_generator = \
+       generate_all.py                         \
+       generate_trellis.py                     \
+       trellis_encoder_XX.cc.t                 \
+       trellis_encoder_XX.h.t                  \
+       trellis_encoder_XX.i.t                  \
+       trellis_metrics_X.cc.t                  \
+       trellis_metrics_X.h.t                   \
+       trellis_metrics_X.i.t                   \
+       trellis_viterbi_combined_XX.cc.t        \
+       trellis_viterbi_combined_XX.h.t         \
+       trellis_viterbi_combined_XX.i.t         \
+       trellis_viterbi_X.cc.t                  \
+       trellis_viterbi_X.h.t                   \
+       trellis_viterbi_X.i.t
+
+
+# Source built by Python into $(builddir)
+python_built_sources = \
+       $(GENERATED_H)          \
+       $(GENERATED_I)          \
+       $(GENERATED_CC)         \
+       trellis_generated.i
+
+EXTRA_DIST = $(core_generator) stamp-sources-generate
+
+# These headers get installed in ${prefix}/include/gnuradio
+grinclude_HEADERS = \
+        fsm.h                          \
+        quicksort_index.h              \
+        base.h                         \
+        interleaver.h                  \
+        trellis_metric_type.h          \
+        trellis_calc_metric.h          \
+        trellis_permutation.h          \
+        trellis_siso_type.h            \
+       trellis_siso_f.h                \
+       trellis_siso_combined_f.h       \
+       $(GENERATED_H)
+
+
+#################################
+# SWIG interface and library
+TOP_SWIG_IFILES = \
+       trellis.i
+
+
+# Install so that they end up available as:
+#   import gnuradio.trellis
+# This ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+trellis_pythondir_category = \
+       gnuradio
+
+
+# additional sources for the SWIG-generated library
+trellis_la_swig_sources = \
+        fsm.cc                         \
+        quicksort_index.cc             \
+        base.cc                                \
+        interleaver.cc                 \
+        trellis_calc_metric.cc         \
+        trellis_permutation.cc         \
+       trellis_siso_f.cc               \
+       trellis_siso_combined_f.cc      \
+       $(GENERATED_CC)
+
+
+# additional libraries for linking with the SWIG-generated library
+trellis_la_swig_libadd = \
+       $(GNURADIO_CORE_LA)
+
+
+# additional SWIG files to be installed
+trellis_swiginclude_headers = \
+       $(GENERATED_I)                  \
+       fsm.i                           \
+       interleaver.i                   \
+       trellis_permutation.i           \
+       trellis_siso_f.i                \
+       trellis_siso_combined_f.i       \
+       trellis_generated.i
+
+
+#
+# This file is machine generated.  All edits will be overwritten
+#
+GENERATED_H = \
+       trellis_encoder_bb.h \
+       trellis_encoder_bi.h \
+       trellis_encoder_bs.h \
+       trellis_encoder_ii.h \
+       trellis_encoder_si.h \
+       trellis_encoder_ss.h \
+       trellis_metrics_c.h \
+       trellis_metrics_f.h \
+       trellis_metrics_i.h \
+       trellis_metrics_s.h \
+       trellis_viterbi_b.h \
+       trellis_viterbi_combined_cb.h \
+       trellis_viterbi_combined_ci.h \
+       trellis_viterbi_combined_cs.h \
+       trellis_viterbi_combined_fb.h \
+       trellis_viterbi_combined_fi.h \
+       trellis_viterbi_combined_fs.h \
+       trellis_viterbi_combined_ib.h \
+       trellis_viterbi_combined_ii.h \
+       trellis_viterbi_combined_is.h \
+       trellis_viterbi_combined_sb.h \
+       trellis_viterbi_combined_si.h \
+       trellis_viterbi_combined_ss.h \
+       trellis_viterbi_i.h \
+       trellis_viterbi_s.h
+
+GENERATED_I = \
+       trellis_encoder_bb.i \
+       trellis_encoder_bi.i \
+       trellis_encoder_bs.i \
+       trellis_encoder_ii.i \
+       trellis_encoder_si.i \
+       trellis_encoder_ss.i \
+       trellis_metrics_c.i \
+       trellis_metrics_f.i \
+       trellis_metrics_i.i \
+       trellis_metrics_s.i \
+       trellis_viterbi_b.i \
+       trellis_viterbi_combined_cb.i \
+       trellis_viterbi_combined_ci.i \
+       trellis_viterbi_combined_cs.i \
+       trellis_viterbi_combined_fb.i \
+       trellis_viterbi_combined_fi.i \
+       trellis_viterbi_combined_fs.i \
+       trellis_viterbi_combined_ib.i \
+       trellis_viterbi_combined_ii.i \
+       trellis_viterbi_combined_is.i \
+       trellis_viterbi_combined_sb.i \
+       trellis_viterbi_combined_si.i \
+       trellis_viterbi_combined_ss.i \
+       trellis_viterbi_i.i \
+       trellis_viterbi_s.i
+
+GENERATED_CC = \
+       trellis_encoder_bb.cc \
+       trellis_encoder_bi.cc \
+       trellis_encoder_bs.cc \
+       trellis_encoder_ii.cc \
+       trellis_encoder_si.cc \
+       trellis_encoder_ss.cc \
+       trellis_metrics_c.cc \
+       trellis_metrics_f.cc \
+       trellis_metrics_i.cc \
+       trellis_metrics_s.cc \
+       trellis_viterbi_b.cc \
+       trellis_viterbi_combined_cb.cc \
+       trellis_viterbi_combined_ci.cc \
+       trellis_viterbi_combined_cs.cc \
+       trellis_viterbi_combined_fb.cc \
+       trellis_viterbi_combined_fi.cc \
+       trellis_viterbi_combined_fs.cc \
+       trellis_viterbi_combined_ib.cc \
+       trellis_viterbi_combined_ii.cc \
+       trellis_viterbi_combined_is.cc \
+       trellis_viterbi_combined_sb.cc \
+       trellis_viterbi_combined_si.cc \
+       trellis_viterbi_combined_ss.cc \
+       trellis_viterbi_i.cc \
+       trellis_viterbi_s.cc
+
+
+# Do creation and inclusion of other Makefiles last
+
+# include the srcdir's Makefile.gen; doing this creates an implicit
+# dependency between $(srcdir)/Makefile.in and $(srcdir)/Makefile.gen.
+
+# common way for generating local Makefile.gen
+makefile_gen_gen_command = PYTHONPATH=$(top_srcdir)/gnuradio-core/src/python srcdir=$(srcdir) do_makefile=1 do_sources=0 $(PYTHON) $(srcdir)/generate_all.py
+
+# common way for generating sources from templates when using
+# BUILT_SOURCES, using parallel build protection.
+gen_sources = $(python_built_sources)
+gen_sources_deps = $(core_generator)
+par_gen_command = PYTHONPATH=$(top_srcdir)/gnuradio-core/src/python srcdir=$(srcdir) $(PYTHON) $(srcdir)/generate_all.py
+SWIG_PYTHON_FLAGS = \
+       -fvirtual       \
+       -python         \
+       -modern         \
+       -keyword        \
+       -w511           \
+       -outdir .
+
+STD_SWIG_PYTHON_ARGS = \
+       $(SWIG_PYTHON_FLAGS)                    \
+       $(STD_DEFINES_AND_INCLUDES)             \
+       $(WITH_SWIG_INCLUDES)                   \
+       $(WITH_INCLUDES)
+
+STD_SWIG_LA_LD_FLAGS = \
+       $(PYTHON_LDFLAGS)       \
+       -module                 \
+       -avoid-version          \
+       $(NO_UNDEFINED)
+
+STD_SWIG_LA_LIB_ADD = \
+       -lstdc++
+
+STD_SWIG_CXX_FLAGS = @swig_CXXFLAGS@
+SUFFIXES = .i
+swig_built_sources = trellis.py trellis.cc
+trellis_pythondir = $(pythondir)/$(trellis_pythondir_category)
+trellis_pylibdir = $(pyexecdir)/$(trellis_pylibdir_category)
+trellis_swigincludedir = $(swigincludedir)
+trellis_swiginclude_HEADERS = \
+       trellis.i                       \
+       $(trellis_swiginclude_headers)
+
+trellis_pylib_LTLIBRARIES = \
+       _trellis.la
+
+_trellis_la_SOURCES = \
+       trellis.cc                      \
+       $(trellis_la_swig_sources)
+
+_trellis_la_LIBADD = \
+       $(STD_SWIG_LA_LIB_ADD)          \
+       $(trellis_la_swig_libadd)
+
+_trellis_la_LDFLAGS = \
+       $(STD_SWIG_LA_LD_FLAGS)         \
+       $(trellis_la_swig_ldflags)
+
+_trellis_la_CXXFLAGS = \
+       $(STD_SWIG_CXX_FLAGS)           \
+       $(trellis_la_swig_cxxflags)
+
+trellis_python_PYTHON = \
+       trellis.py                      \
+       $(trellis_python)
+
+
+# add some of the variables generated inside the Makefile.swig.gen
+BUILT_SOURCES = \
+       $(python_built_sources)         \
+       $(swig_built_sources)
+
+
+# Do not distribute the output of SWIG
+no_dist_files = $(swig_built_sources)
+all: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) all-am
+
+.SUFFIXES:
+.SUFFIXES: .i .cc .lo .o .obj
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(srcdir)/Makefile.gen $(top_srcdir)/Makefile.gen.gen $(top_srcdir)/Makefile.par.gen $(top_srcdir)/Makefile.swig $(srcdir)/Makefile.swig.gen $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-trellis/src/lib/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-trellis/src/lib/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+install-trellis_pylibLTLIBRARIES: $(trellis_pylib_LTLIBRARIES)
+       @$(NORMAL_INSTALL)
+       test -z "$(trellis_pylibdir)" || $(MKDIR_P) "$(DESTDIR)$(trellis_pylibdir)"
+       @list='$(trellis_pylib_LTLIBRARIES)'; for p in $$list; do \
+         if test -f $$p; then \
+           f=$(am__strip_dir) \
+           echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(trellis_pylibLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) '$$p' '$(DESTDIR)$(trellis_pylibdir)/$$f'"; \
+           $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(trellis_pylibLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) "$$p" "$(DESTDIR)$(trellis_pylibdir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-trellis_pylibLTLIBRARIES:
+       @$(NORMAL_UNINSTALL)
+       @list='$(trellis_pylib_LTLIBRARIES)'; for p in $$list; do \
+         p=$(am__strip_dir) \
+         echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f '$(DESTDIR)$(trellis_pylibdir)/$$p'"; \
+         $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f "$(DESTDIR)$(trellis_pylibdir)/$$p"; \
+       done
+
+clean-trellis_pylibLTLIBRARIES:
+       -test -z "$(trellis_pylib_LTLIBRARIES)" || rm -f $(trellis_pylib_LTLIBRARIES)
+       @list='$(trellis_pylib_LTLIBRARIES)'; for p in $$list; do \
+         dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
+         test "$$dir" != "$$p" || dir=.; \
+         echo "rm -f \"$${dir}/so_locations\""; \
+         rm -f "$${dir}/so_locations"; \
+       done
+_trellis.la: $(_trellis_la_OBJECTS) $(_trellis_la_DEPENDENCIES) 
+       $(_trellis_la_LINK) -rpath $(trellis_pylibdir) $(_trellis_la_OBJECTS) $(_trellis_la_LIBADD) $(LIBS)
+
+mostlyclean-compile:
+       -rm -f *.$(OBJEXT)
+
+distclean-compile:
+       -rm -f *.tab.c
+
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_trellis_la-base.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_trellis_la-fsm.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_trellis_la-interleaver.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_trellis_la-quicksort_index.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_trellis_la-trellis.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_trellis_la-trellis_calc_metric.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_trellis_la-trellis_encoder_bb.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_trellis_la-trellis_encoder_bi.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_trellis_la-trellis_encoder_bs.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_trellis_la-trellis_encoder_ii.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_trellis_la-trellis_encoder_si.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_trellis_la-trellis_encoder_ss.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_trellis_la-trellis_metrics_c.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_trellis_la-trellis_metrics_f.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_trellis_la-trellis_metrics_i.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_trellis_la-trellis_metrics_s.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_trellis_la-trellis_permutation.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_trellis_la-trellis_siso_combined_f.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_trellis_la-trellis_siso_f.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_trellis_la-trellis_viterbi_b.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_trellis_la-trellis_viterbi_combined_cb.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_trellis_la-trellis_viterbi_combined_ci.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_trellis_la-trellis_viterbi_combined_cs.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_trellis_la-trellis_viterbi_combined_fb.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_trellis_la-trellis_viterbi_combined_fi.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_trellis_la-trellis_viterbi_combined_fs.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_trellis_la-trellis_viterbi_combined_ib.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_trellis_la-trellis_viterbi_combined_ii.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_trellis_la-trellis_viterbi_combined_is.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_trellis_la-trellis_viterbi_combined_sb.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_trellis_la-trellis_viterbi_combined_si.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_trellis_la-trellis_viterbi_combined_ss.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_trellis_la-trellis_viterbi_i.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_trellis_la-trellis_viterbi_s.Plo@am__quote@
+
+.cc.o:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ $<
+
+.cc.obj:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ `$(CYGPATH_W) '$<'`
+
+.cc.lo:
+@am__fastdepCXX_TRUE@  $(LTCXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LTCXXCOMPILE) -c -o $@ $<
+
+_trellis_la-trellis.lo: trellis.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -MT _trellis_la-trellis.lo -MD -MP -MF $(DEPDIR)/_trellis_la-trellis.Tpo -c -o _trellis_la-trellis.lo `test -f 'trellis.cc' || echo '$(srcdir)/'`trellis.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_trellis_la-trellis.Tpo $(DEPDIR)/_trellis_la-trellis.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='trellis.cc' object='_trellis_la-trellis.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -c -o _trellis_la-trellis.lo `test -f 'trellis.cc' || echo '$(srcdir)/'`trellis.cc
+
+_trellis_la-fsm.lo: fsm.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -MT _trellis_la-fsm.lo -MD -MP -MF $(DEPDIR)/_trellis_la-fsm.Tpo -c -o _trellis_la-fsm.lo `test -f 'fsm.cc' || echo '$(srcdir)/'`fsm.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_trellis_la-fsm.Tpo $(DEPDIR)/_trellis_la-fsm.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='fsm.cc' object='_trellis_la-fsm.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -c -o _trellis_la-fsm.lo `test -f 'fsm.cc' || echo '$(srcdir)/'`fsm.cc
+
+_trellis_la-quicksort_index.lo: quicksort_index.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -MT _trellis_la-quicksort_index.lo -MD -MP -MF $(DEPDIR)/_trellis_la-quicksort_index.Tpo -c -o _trellis_la-quicksort_index.lo `test -f 'quicksort_index.cc' || echo '$(srcdir)/'`quicksort_index.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_trellis_la-quicksort_index.Tpo $(DEPDIR)/_trellis_la-quicksort_index.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='quicksort_index.cc' object='_trellis_la-quicksort_index.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -c -o _trellis_la-quicksort_index.lo `test -f 'quicksort_index.cc' || echo '$(srcdir)/'`quicksort_index.cc
+
+_trellis_la-base.lo: base.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -MT _trellis_la-base.lo -MD -MP -MF $(DEPDIR)/_trellis_la-base.Tpo -c -o _trellis_la-base.lo `test -f 'base.cc' || echo '$(srcdir)/'`base.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_trellis_la-base.Tpo $(DEPDIR)/_trellis_la-base.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='base.cc' object='_trellis_la-base.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -c -o _trellis_la-base.lo `test -f 'base.cc' || echo '$(srcdir)/'`base.cc
+
+_trellis_la-interleaver.lo: interleaver.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -MT _trellis_la-interleaver.lo -MD -MP -MF $(DEPDIR)/_trellis_la-interleaver.Tpo -c -o _trellis_la-interleaver.lo `test -f 'interleaver.cc' || echo '$(srcdir)/'`interleaver.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_trellis_la-interleaver.Tpo $(DEPDIR)/_trellis_la-interleaver.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='interleaver.cc' object='_trellis_la-interleaver.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -c -o _trellis_la-interleaver.lo `test -f 'interleaver.cc' || echo '$(srcdir)/'`interleaver.cc
+
+_trellis_la-trellis_calc_metric.lo: trellis_calc_metric.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -MT _trellis_la-trellis_calc_metric.lo -MD -MP -MF $(DEPDIR)/_trellis_la-trellis_calc_metric.Tpo -c -o _trellis_la-trellis_calc_metric.lo `test -f 'trellis_calc_metric.cc' || echo '$(srcdir)/'`trellis_calc_metric.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_trellis_la-trellis_calc_metric.Tpo $(DEPDIR)/_trellis_la-trellis_calc_metric.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='trellis_calc_metric.cc' object='_trellis_la-trellis_calc_metric.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -c -o _trellis_la-trellis_calc_metric.lo `test -f 'trellis_calc_metric.cc' || echo '$(srcdir)/'`trellis_calc_metric.cc
+
+_trellis_la-trellis_permutation.lo: trellis_permutation.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -MT _trellis_la-trellis_permutation.lo -MD -MP -MF $(DEPDIR)/_trellis_la-trellis_permutation.Tpo -c -o _trellis_la-trellis_permutation.lo `test -f 'trellis_permutation.cc' || echo '$(srcdir)/'`trellis_permutation.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_trellis_la-trellis_permutation.Tpo $(DEPDIR)/_trellis_la-trellis_permutation.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='trellis_permutation.cc' object='_trellis_la-trellis_permutation.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -c -o _trellis_la-trellis_permutation.lo `test -f 'trellis_permutation.cc' || echo '$(srcdir)/'`trellis_permutation.cc
+
+_trellis_la-trellis_siso_f.lo: trellis_siso_f.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -MT _trellis_la-trellis_siso_f.lo -MD -MP -MF $(DEPDIR)/_trellis_la-trellis_siso_f.Tpo -c -o _trellis_la-trellis_siso_f.lo `test -f 'trellis_siso_f.cc' || echo '$(srcdir)/'`trellis_siso_f.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_trellis_la-trellis_siso_f.Tpo $(DEPDIR)/_trellis_la-trellis_siso_f.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='trellis_siso_f.cc' object='_trellis_la-trellis_siso_f.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -c -o _trellis_la-trellis_siso_f.lo `test -f 'trellis_siso_f.cc' || echo '$(srcdir)/'`trellis_siso_f.cc
+
+_trellis_la-trellis_siso_combined_f.lo: trellis_siso_combined_f.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -MT _trellis_la-trellis_siso_combined_f.lo -MD -MP -MF $(DEPDIR)/_trellis_la-trellis_siso_combined_f.Tpo -c -o _trellis_la-trellis_siso_combined_f.lo `test -f 'trellis_siso_combined_f.cc' || echo '$(srcdir)/'`trellis_siso_combined_f.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_trellis_la-trellis_siso_combined_f.Tpo $(DEPDIR)/_trellis_la-trellis_siso_combined_f.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='trellis_siso_combined_f.cc' object='_trellis_la-trellis_siso_combined_f.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -c -o _trellis_la-trellis_siso_combined_f.lo `test -f 'trellis_siso_combined_f.cc' || echo '$(srcdir)/'`trellis_siso_combined_f.cc
+
+_trellis_la-trellis_encoder_bb.lo: trellis_encoder_bb.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -MT _trellis_la-trellis_encoder_bb.lo -MD -MP -MF $(DEPDIR)/_trellis_la-trellis_encoder_bb.Tpo -c -o _trellis_la-trellis_encoder_bb.lo `test -f 'trellis_encoder_bb.cc' || echo '$(srcdir)/'`trellis_encoder_bb.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_trellis_la-trellis_encoder_bb.Tpo $(DEPDIR)/_trellis_la-trellis_encoder_bb.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='trellis_encoder_bb.cc' object='_trellis_la-trellis_encoder_bb.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -c -o _trellis_la-trellis_encoder_bb.lo `test -f 'trellis_encoder_bb.cc' || echo '$(srcdir)/'`trellis_encoder_bb.cc
+
+_trellis_la-trellis_encoder_bi.lo: trellis_encoder_bi.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -MT _trellis_la-trellis_encoder_bi.lo -MD -MP -MF $(DEPDIR)/_trellis_la-trellis_encoder_bi.Tpo -c -o _trellis_la-trellis_encoder_bi.lo `test -f 'trellis_encoder_bi.cc' || echo '$(srcdir)/'`trellis_encoder_bi.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_trellis_la-trellis_encoder_bi.Tpo $(DEPDIR)/_trellis_la-trellis_encoder_bi.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='trellis_encoder_bi.cc' object='_trellis_la-trellis_encoder_bi.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -c -o _trellis_la-trellis_encoder_bi.lo `test -f 'trellis_encoder_bi.cc' || echo '$(srcdir)/'`trellis_encoder_bi.cc
+
+_trellis_la-trellis_encoder_bs.lo: trellis_encoder_bs.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -MT _trellis_la-trellis_encoder_bs.lo -MD -MP -MF $(DEPDIR)/_trellis_la-trellis_encoder_bs.Tpo -c -o _trellis_la-trellis_encoder_bs.lo `test -f 'trellis_encoder_bs.cc' || echo '$(srcdir)/'`trellis_encoder_bs.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_trellis_la-trellis_encoder_bs.Tpo $(DEPDIR)/_trellis_la-trellis_encoder_bs.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='trellis_encoder_bs.cc' object='_trellis_la-trellis_encoder_bs.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -c -o _trellis_la-trellis_encoder_bs.lo `test -f 'trellis_encoder_bs.cc' || echo '$(srcdir)/'`trellis_encoder_bs.cc
+
+_trellis_la-trellis_encoder_ii.lo: trellis_encoder_ii.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -MT _trellis_la-trellis_encoder_ii.lo -MD -MP -MF $(DEPDIR)/_trellis_la-trellis_encoder_ii.Tpo -c -o _trellis_la-trellis_encoder_ii.lo `test -f 'trellis_encoder_ii.cc' || echo '$(srcdir)/'`trellis_encoder_ii.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_trellis_la-trellis_encoder_ii.Tpo $(DEPDIR)/_trellis_la-trellis_encoder_ii.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='trellis_encoder_ii.cc' object='_trellis_la-trellis_encoder_ii.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -c -o _trellis_la-trellis_encoder_ii.lo `test -f 'trellis_encoder_ii.cc' || echo '$(srcdir)/'`trellis_encoder_ii.cc
+
+_trellis_la-trellis_encoder_si.lo: trellis_encoder_si.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -MT _trellis_la-trellis_encoder_si.lo -MD -MP -MF $(DEPDIR)/_trellis_la-trellis_encoder_si.Tpo -c -o _trellis_la-trellis_encoder_si.lo `test -f 'trellis_encoder_si.cc' || echo '$(srcdir)/'`trellis_encoder_si.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_trellis_la-trellis_encoder_si.Tpo $(DEPDIR)/_trellis_la-trellis_encoder_si.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='trellis_encoder_si.cc' object='_trellis_la-trellis_encoder_si.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -c -o _trellis_la-trellis_encoder_si.lo `test -f 'trellis_encoder_si.cc' || echo '$(srcdir)/'`trellis_encoder_si.cc
+
+_trellis_la-trellis_encoder_ss.lo: trellis_encoder_ss.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -MT _trellis_la-trellis_encoder_ss.lo -MD -MP -MF $(DEPDIR)/_trellis_la-trellis_encoder_ss.Tpo -c -o _trellis_la-trellis_encoder_ss.lo `test -f 'trellis_encoder_ss.cc' || echo '$(srcdir)/'`trellis_encoder_ss.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_trellis_la-trellis_encoder_ss.Tpo $(DEPDIR)/_trellis_la-trellis_encoder_ss.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='trellis_encoder_ss.cc' object='_trellis_la-trellis_encoder_ss.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -c -o _trellis_la-trellis_encoder_ss.lo `test -f 'trellis_encoder_ss.cc' || echo '$(srcdir)/'`trellis_encoder_ss.cc
+
+_trellis_la-trellis_metrics_c.lo: trellis_metrics_c.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -MT _trellis_la-trellis_metrics_c.lo -MD -MP -MF $(DEPDIR)/_trellis_la-trellis_metrics_c.Tpo -c -o _trellis_la-trellis_metrics_c.lo `test -f 'trellis_metrics_c.cc' || echo '$(srcdir)/'`trellis_metrics_c.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_trellis_la-trellis_metrics_c.Tpo $(DEPDIR)/_trellis_la-trellis_metrics_c.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='trellis_metrics_c.cc' object='_trellis_la-trellis_metrics_c.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -c -o _trellis_la-trellis_metrics_c.lo `test -f 'trellis_metrics_c.cc' || echo '$(srcdir)/'`trellis_metrics_c.cc
+
+_trellis_la-trellis_metrics_f.lo: trellis_metrics_f.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -MT _trellis_la-trellis_metrics_f.lo -MD -MP -MF $(DEPDIR)/_trellis_la-trellis_metrics_f.Tpo -c -o _trellis_la-trellis_metrics_f.lo `test -f 'trellis_metrics_f.cc' || echo '$(srcdir)/'`trellis_metrics_f.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_trellis_la-trellis_metrics_f.Tpo $(DEPDIR)/_trellis_la-trellis_metrics_f.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='trellis_metrics_f.cc' object='_trellis_la-trellis_metrics_f.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -c -o _trellis_la-trellis_metrics_f.lo `test -f 'trellis_metrics_f.cc' || echo '$(srcdir)/'`trellis_metrics_f.cc
+
+_trellis_la-trellis_metrics_i.lo: trellis_metrics_i.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -MT _trellis_la-trellis_metrics_i.lo -MD -MP -MF $(DEPDIR)/_trellis_la-trellis_metrics_i.Tpo -c -o _trellis_la-trellis_metrics_i.lo `test -f 'trellis_metrics_i.cc' || echo '$(srcdir)/'`trellis_metrics_i.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_trellis_la-trellis_metrics_i.Tpo $(DEPDIR)/_trellis_la-trellis_metrics_i.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='trellis_metrics_i.cc' object='_trellis_la-trellis_metrics_i.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -c -o _trellis_la-trellis_metrics_i.lo `test -f 'trellis_metrics_i.cc' || echo '$(srcdir)/'`trellis_metrics_i.cc
+
+_trellis_la-trellis_metrics_s.lo: trellis_metrics_s.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -MT _trellis_la-trellis_metrics_s.lo -MD -MP -MF $(DEPDIR)/_trellis_la-trellis_metrics_s.Tpo -c -o _trellis_la-trellis_metrics_s.lo `test -f 'trellis_metrics_s.cc' || echo '$(srcdir)/'`trellis_metrics_s.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_trellis_la-trellis_metrics_s.Tpo $(DEPDIR)/_trellis_la-trellis_metrics_s.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='trellis_metrics_s.cc' object='_trellis_la-trellis_metrics_s.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -c -o _trellis_la-trellis_metrics_s.lo `test -f 'trellis_metrics_s.cc' || echo '$(srcdir)/'`trellis_metrics_s.cc
+
+_trellis_la-trellis_viterbi_b.lo: trellis_viterbi_b.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -MT _trellis_la-trellis_viterbi_b.lo -MD -MP -MF $(DEPDIR)/_trellis_la-trellis_viterbi_b.Tpo -c -o _trellis_la-trellis_viterbi_b.lo `test -f 'trellis_viterbi_b.cc' || echo '$(srcdir)/'`trellis_viterbi_b.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_trellis_la-trellis_viterbi_b.Tpo $(DEPDIR)/_trellis_la-trellis_viterbi_b.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='trellis_viterbi_b.cc' object='_trellis_la-trellis_viterbi_b.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -c -o _trellis_la-trellis_viterbi_b.lo `test -f 'trellis_viterbi_b.cc' || echo '$(srcdir)/'`trellis_viterbi_b.cc
+
+_trellis_la-trellis_viterbi_combined_cb.lo: trellis_viterbi_combined_cb.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -MT _trellis_la-trellis_viterbi_combined_cb.lo -MD -MP -MF $(DEPDIR)/_trellis_la-trellis_viterbi_combined_cb.Tpo -c -o _trellis_la-trellis_viterbi_combined_cb.lo `test -f 'trellis_viterbi_combined_cb.cc' || echo '$(srcdir)/'`trellis_viterbi_combined_cb.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_trellis_la-trellis_viterbi_combined_cb.Tpo $(DEPDIR)/_trellis_la-trellis_viterbi_combined_cb.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='trellis_viterbi_combined_cb.cc' object='_trellis_la-trellis_viterbi_combined_cb.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -c -o _trellis_la-trellis_viterbi_combined_cb.lo `test -f 'trellis_viterbi_combined_cb.cc' || echo '$(srcdir)/'`trellis_viterbi_combined_cb.cc
+
+_trellis_la-trellis_viterbi_combined_ci.lo: trellis_viterbi_combined_ci.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -MT _trellis_la-trellis_viterbi_combined_ci.lo -MD -MP -MF $(DEPDIR)/_trellis_la-trellis_viterbi_combined_ci.Tpo -c -o _trellis_la-trellis_viterbi_combined_ci.lo `test -f 'trellis_viterbi_combined_ci.cc' || echo '$(srcdir)/'`trellis_viterbi_combined_ci.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_trellis_la-trellis_viterbi_combined_ci.Tpo $(DEPDIR)/_trellis_la-trellis_viterbi_combined_ci.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='trellis_viterbi_combined_ci.cc' object='_trellis_la-trellis_viterbi_combined_ci.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -c -o _trellis_la-trellis_viterbi_combined_ci.lo `test -f 'trellis_viterbi_combined_ci.cc' || echo '$(srcdir)/'`trellis_viterbi_combined_ci.cc
+
+_trellis_la-trellis_viterbi_combined_cs.lo: trellis_viterbi_combined_cs.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -MT _trellis_la-trellis_viterbi_combined_cs.lo -MD -MP -MF $(DEPDIR)/_trellis_la-trellis_viterbi_combined_cs.Tpo -c -o _trellis_la-trellis_viterbi_combined_cs.lo `test -f 'trellis_viterbi_combined_cs.cc' || echo '$(srcdir)/'`trellis_viterbi_combined_cs.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_trellis_la-trellis_viterbi_combined_cs.Tpo $(DEPDIR)/_trellis_la-trellis_viterbi_combined_cs.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='trellis_viterbi_combined_cs.cc' object='_trellis_la-trellis_viterbi_combined_cs.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -c -o _trellis_la-trellis_viterbi_combined_cs.lo `test -f 'trellis_viterbi_combined_cs.cc' || echo '$(srcdir)/'`trellis_viterbi_combined_cs.cc
+
+_trellis_la-trellis_viterbi_combined_fb.lo: trellis_viterbi_combined_fb.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -MT _trellis_la-trellis_viterbi_combined_fb.lo -MD -MP -MF $(DEPDIR)/_trellis_la-trellis_viterbi_combined_fb.Tpo -c -o _trellis_la-trellis_viterbi_combined_fb.lo `test -f 'trellis_viterbi_combined_fb.cc' || echo '$(srcdir)/'`trellis_viterbi_combined_fb.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_trellis_la-trellis_viterbi_combined_fb.Tpo $(DEPDIR)/_trellis_la-trellis_viterbi_combined_fb.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='trellis_viterbi_combined_fb.cc' object='_trellis_la-trellis_viterbi_combined_fb.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -c -o _trellis_la-trellis_viterbi_combined_fb.lo `test -f 'trellis_viterbi_combined_fb.cc' || echo '$(srcdir)/'`trellis_viterbi_combined_fb.cc
+
+_trellis_la-trellis_viterbi_combined_fi.lo: trellis_viterbi_combined_fi.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -MT _trellis_la-trellis_viterbi_combined_fi.lo -MD -MP -MF $(DEPDIR)/_trellis_la-trellis_viterbi_combined_fi.Tpo -c -o _trellis_la-trellis_viterbi_combined_fi.lo `test -f 'trellis_viterbi_combined_fi.cc' || echo '$(srcdir)/'`trellis_viterbi_combined_fi.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_trellis_la-trellis_viterbi_combined_fi.Tpo $(DEPDIR)/_trellis_la-trellis_viterbi_combined_fi.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='trellis_viterbi_combined_fi.cc' object='_trellis_la-trellis_viterbi_combined_fi.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -c -o _trellis_la-trellis_viterbi_combined_fi.lo `test -f 'trellis_viterbi_combined_fi.cc' || echo '$(srcdir)/'`trellis_viterbi_combined_fi.cc
+
+_trellis_la-trellis_viterbi_combined_fs.lo: trellis_viterbi_combined_fs.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -MT _trellis_la-trellis_viterbi_combined_fs.lo -MD -MP -MF $(DEPDIR)/_trellis_la-trellis_viterbi_combined_fs.Tpo -c -o _trellis_la-trellis_viterbi_combined_fs.lo `test -f 'trellis_viterbi_combined_fs.cc' || echo '$(srcdir)/'`trellis_viterbi_combined_fs.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_trellis_la-trellis_viterbi_combined_fs.Tpo $(DEPDIR)/_trellis_la-trellis_viterbi_combined_fs.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='trellis_viterbi_combined_fs.cc' object='_trellis_la-trellis_viterbi_combined_fs.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -c -o _trellis_la-trellis_viterbi_combined_fs.lo `test -f 'trellis_viterbi_combined_fs.cc' || echo '$(srcdir)/'`trellis_viterbi_combined_fs.cc
+
+_trellis_la-trellis_viterbi_combined_ib.lo: trellis_viterbi_combined_ib.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -MT _trellis_la-trellis_viterbi_combined_ib.lo -MD -MP -MF $(DEPDIR)/_trellis_la-trellis_viterbi_combined_ib.Tpo -c -o _trellis_la-trellis_viterbi_combined_ib.lo `test -f 'trellis_viterbi_combined_ib.cc' || echo '$(srcdir)/'`trellis_viterbi_combined_ib.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_trellis_la-trellis_viterbi_combined_ib.Tpo $(DEPDIR)/_trellis_la-trellis_viterbi_combined_ib.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='trellis_viterbi_combined_ib.cc' object='_trellis_la-trellis_viterbi_combined_ib.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -c -o _trellis_la-trellis_viterbi_combined_ib.lo `test -f 'trellis_viterbi_combined_ib.cc' || echo '$(srcdir)/'`trellis_viterbi_combined_ib.cc
+
+_trellis_la-trellis_viterbi_combined_ii.lo: trellis_viterbi_combined_ii.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -MT _trellis_la-trellis_viterbi_combined_ii.lo -MD -MP -MF $(DEPDIR)/_trellis_la-trellis_viterbi_combined_ii.Tpo -c -o _trellis_la-trellis_viterbi_combined_ii.lo `test -f 'trellis_viterbi_combined_ii.cc' || echo '$(srcdir)/'`trellis_viterbi_combined_ii.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_trellis_la-trellis_viterbi_combined_ii.Tpo $(DEPDIR)/_trellis_la-trellis_viterbi_combined_ii.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='trellis_viterbi_combined_ii.cc' object='_trellis_la-trellis_viterbi_combined_ii.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -c -o _trellis_la-trellis_viterbi_combined_ii.lo `test -f 'trellis_viterbi_combined_ii.cc' || echo '$(srcdir)/'`trellis_viterbi_combined_ii.cc
+
+_trellis_la-trellis_viterbi_combined_is.lo: trellis_viterbi_combined_is.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -MT _trellis_la-trellis_viterbi_combined_is.lo -MD -MP -MF $(DEPDIR)/_trellis_la-trellis_viterbi_combined_is.Tpo -c -o _trellis_la-trellis_viterbi_combined_is.lo `test -f 'trellis_viterbi_combined_is.cc' || echo '$(srcdir)/'`trellis_viterbi_combined_is.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_trellis_la-trellis_viterbi_combined_is.Tpo $(DEPDIR)/_trellis_la-trellis_viterbi_combined_is.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='trellis_viterbi_combined_is.cc' object='_trellis_la-trellis_viterbi_combined_is.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -c -o _trellis_la-trellis_viterbi_combined_is.lo `test -f 'trellis_viterbi_combined_is.cc' || echo '$(srcdir)/'`trellis_viterbi_combined_is.cc
+
+_trellis_la-trellis_viterbi_combined_sb.lo: trellis_viterbi_combined_sb.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -MT _trellis_la-trellis_viterbi_combined_sb.lo -MD -MP -MF $(DEPDIR)/_trellis_la-trellis_viterbi_combined_sb.Tpo -c -o _trellis_la-trellis_viterbi_combined_sb.lo `test -f 'trellis_viterbi_combined_sb.cc' || echo '$(srcdir)/'`trellis_viterbi_combined_sb.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_trellis_la-trellis_viterbi_combined_sb.Tpo $(DEPDIR)/_trellis_la-trellis_viterbi_combined_sb.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='trellis_viterbi_combined_sb.cc' object='_trellis_la-trellis_viterbi_combined_sb.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -c -o _trellis_la-trellis_viterbi_combined_sb.lo `test -f 'trellis_viterbi_combined_sb.cc' || echo '$(srcdir)/'`trellis_viterbi_combined_sb.cc
+
+_trellis_la-trellis_viterbi_combined_si.lo: trellis_viterbi_combined_si.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -MT _trellis_la-trellis_viterbi_combined_si.lo -MD -MP -MF $(DEPDIR)/_trellis_la-trellis_viterbi_combined_si.Tpo -c -o _trellis_la-trellis_viterbi_combined_si.lo `test -f 'trellis_viterbi_combined_si.cc' || echo '$(srcdir)/'`trellis_viterbi_combined_si.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_trellis_la-trellis_viterbi_combined_si.Tpo $(DEPDIR)/_trellis_la-trellis_viterbi_combined_si.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='trellis_viterbi_combined_si.cc' object='_trellis_la-trellis_viterbi_combined_si.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -c -o _trellis_la-trellis_viterbi_combined_si.lo `test -f 'trellis_viterbi_combined_si.cc' || echo '$(srcdir)/'`trellis_viterbi_combined_si.cc
+
+_trellis_la-trellis_viterbi_combined_ss.lo: trellis_viterbi_combined_ss.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -MT _trellis_la-trellis_viterbi_combined_ss.lo -MD -MP -MF $(DEPDIR)/_trellis_la-trellis_viterbi_combined_ss.Tpo -c -o _trellis_la-trellis_viterbi_combined_ss.lo `test -f 'trellis_viterbi_combined_ss.cc' || echo '$(srcdir)/'`trellis_viterbi_combined_ss.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_trellis_la-trellis_viterbi_combined_ss.Tpo $(DEPDIR)/_trellis_la-trellis_viterbi_combined_ss.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='trellis_viterbi_combined_ss.cc' object='_trellis_la-trellis_viterbi_combined_ss.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -c -o _trellis_la-trellis_viterbi_combined_ss.lo `test -f 'trellis_viterbi_combined_ss.cc' || echo '$(srcdir)/'`trellis_viterbi_combined_ss.cc
+
+_trellis_la-trellis_viterbi_i.lo: trellis_viterbi_i.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -MT _trellis_la-trellis_viterbi_i.lo -MD -MP -MF $(DEPDIR)/_trellis_la-trellis_viterbi_i.Tpo -c -o _trellis_la-trellis_viterbi_i.lo `test -f 'trellis_viterbi_i.cc' || echo '$(srcdir)/'`trellis_viterbi_i.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_trellis_la-trellis_viterbi_i.Tpo $(DEPDIR)/_trellis_la-trellis_viterbi_i.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='trellis_viterbi_i.cc' object='_trellis_la-trellis_viterbi_i.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -c -o _trellis_la-trellis_viterbi_i.lo `test -f 'trellis_viterbi_i.cc' || echo '$(srcdir)/'`trellis_viterbi_i.cc
+
+_trellis_la-trellis_viterbi_s.lo: trellis_viterbi_s.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -MT _trellis_la-trellis_viterbi_s.lo -MD -MP -MF $(DEPDIR)/_trellis_la-trellis_viterbi_s.Tpo -c -o _trellis_la-trellis_viterbi_s.lo `test -f 'trellis_viterbi_s.cc' || echo '$(srcdir)/'`trellis_viterbi_s.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_trellis_la-trellis_viterbi_s.Tpo $(DEPDIR)/_trellis_la-trellis_viterbi_s.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='trellis_viterbi_s.cc' object='_trellis_la-trellis_viterbi_s.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_trellis_la_CXXFLAGS) $(CXXFLAGS) -c -o _trellis_la-trellis_viterbi_s.lo `test -f 'trellis_viterbi_s.cc' || echo '$(srcdir)/'`trellis_viterbi_s.cc
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-trellis_pythonPYTHON: $(trellis_python_PYTHON)
+       @$(NORMAL_INSTALL)
+       test -z "$(trellis_pythondir)" || $(MKDIR_P) "$(DESTDIR)$(trellis_pythondir)"
+       @list='$(trellis_python_PYTHON)'; dlist=''; for p in $$list; do\
+         if test -f "$$p"; then b=; else b="$(srcdir)/"; fi; \
+         if test -f $$b$$p; then \
+           f=$(am__strip_dir) \
+           dlist="$$dlist $$f"; \
+           echo " $(trellis_pythonPYTHON_INSTALL) '$$b$$p' '$(DESTDIR)$(trellis_pythondir)/$$f'"; \
+           $(trellis_pythonPYTHON_INSTALL) "$$b$$p" "$(DESTDIR)$(trellis_pythondir)/$$f"; \
+         else :; fi; \
+       done; \
+       if test -n "$$dlist"; then \
+         if test -z "$(DESTDIR)"; then \
+           PYTHON=$(PYTHON) $(py_compile) --basedir "$(trellis_pythondir)" $$dlist; \
+         else \
+           PYTHON=$(PYTHON) $(py_compile) --destdir "$(DESTDIR)" --basedir "$(trellis_pythondir)" $$dlist; \
+         fi; \
+       else :; fi
+
+uninstall-trellis_pythonPYTHON:
+       @$(NORMAL_UNINSTALL)
+       @list='$(trellis_python_PYTHON)'; dlist=''; for p in $$list; do\
+         f=$(am__strip_dir) \
+         rm -f "$(DESTDIR)$(trellis_pythondir)/$$f"; \
+         rm -f "$(DESTDIR)$(trellis_pythondir)/$${f}c"; \
+         rm -f "$(DESTDIR)$(trellis_pythondir)/$${f}o"; \
+       done
+install-grincludeHEADERS: $(grinclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(grincludedir)" || $(MKDIR_P) "$(DESTDIR)$(grincludedir)"
+       @list='$(grinclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(grincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(grincludedir)/$$f'"; \
+         $(grincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(grincludedir)/$$f"; \
+       done
+
+uninstall-grincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(grinclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(grincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(grincludedir)/$$f"; \
+       done
+install-trellis_swigincludeHEADERS: $(trellis_swiginclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(trellis_swigincludedir)" || $(MKDIR_P) "$(DESTDIR)$(trellis_swigincludedir)"
+       @list='$(trellis_swiginclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(trellis_swigincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(trellis_swigincludedir)/$$f'"; \
+         $(trellis_swigincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(trellis_swigincludedir)/$$f"; \
+       done
+
+uninstall-trellis_swigincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(trellis_swiginclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(trellis_swigincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(trellis_swigincludedir)/$$f"; \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) check-am
+all-am: Makefile $(LTLIBRARIES) $(HEADERS)
+installdirs:
+       for dir in "$(DESTDIR)$(trellis_pylibdir)" "$(DESTDIR)$(trellis_pythondir)" "$(DESTDIR)$(grincludedir)" "$(DESTDIR)$(trellis_swigincludedir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+       -test -z "$(BUILT_SOURCES)" || rm -f $(BUILT_SOURCES)
+clean: clean-am
+
+clean-am: clean-generic clean-libtool clean-trellis_pylibLTLIBRARIES \
+       mostlyclean-am
+
+distclean: distclean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+distclean-am: clean-am distclean-compile distclean-generic \
+       distclean-tags
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-grincludeHEADERS \
+       install-trellis_pylibLTLIBRARIES install-trellis_pythonPYTHON \
+       install-trellis_swigincludeHEADERS
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-compile mostlyclean-generic \
+       mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-grincludeHEADERS \
+       uninstall-trellis_pylibLTLIBRARIES \
+       uninstall-trellis_pythonPYTHON \
+       uninstall-trellis_swigincludeHEADERS
+
+.MAKE: install-am install-strip
+
+.PHONY: CTAGS GTAGS all all-am check check-am clean clean-generic \
+       clean-libtool clean-trellis_pylibLTLIBRARIES ctags dist-hook \
+       distclean distclean-compile distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-grincludeHEADERS install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-ps install-ps-am \
+       install-strip install-trellis_pylibLTLIBRARIES \
+       install-trellis_pythonPYTHON \
+       install-trellis_swigincludeHEADERS installcheck \
+       installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-compile \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       tags uninstall uninstall-am uninstall-grincludeHEADERS \
+       uninstall-trellis_pylibLTLIBRARIES \
+       uninstall-trellis_pythonPYTHON \
+       uninstall-trellis_swigincludeHEADERS
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+
+generate-makefile $(srcdir)/Makefile.gen:
+       @trap 'rm -rf $(DEPDIR)/Makefile.gen-generate-*' 1 2 13 15; \
+       if mkdir $(DEPDIR)/Makefile.gen-generate-lock 2>/dev/null; then \
+               rm -f $(DEPDIR)/Makefile.gen-generate-stamp; \
+               rm -f $(DEPDIR)/Makefile.gen-generate-tmp; \
+               touch $(DEPDIR)/Makefile.gen-generate-tmp; \
+               do_recreate=0; \
+               if test -f $(srcdir)/Makefile.gen; then \
+                       if $(RM) $(srcdir)/Makefile.gen 2>/dev/null; then \
+                               do_recreate=1; \
+                       fi; \
+               else \
+                       if touch $(srcdir)/Makefile.gen 2>/dev/null; then \
+                               do_recreate=1; \
+                       fi; \
+               fi; \
+               if test "$$do_recreate" == "1"; then \
+                       echo "Regenerating $(srcdir)/Makefile.gen"; \
+                       $(makefile_gen_gen_command); \
+               else \
+                       echo "Cannot recreate $(srcdir)/Makefile.gen because the directory or file is write-protected."; \
+                       exit -1; \
+               fi; \
+               mv -f $(DEPDIR)/Makefile.gen-generate-tmp $(DEPDIR)/Makefile.gen-generate-stamp; \
+               rmdir $(DEPDIR)/Makefile.gen-generate-lock; \
+       else \
+               while test -d $(DEPDIR)/Makefile.gen-generate-lock; do sleep 1; done; \
+               test -f $(DEPDIR)/Makefile.gen-generate-stamp; exit $$?; \
+       fi;
+
+STAMPS ?=
+EXTRA_DIST ?=
+MOSTLYCLEANFILES ?=
+
+stamp-sources-generate: $(gen_sources_deps)
+       @rm -f $(DEPDIR)/stamp-sources-generate-tmp
+       @touch $(DEPDIR)/stamp-sources-generate-tmp
+       $(par_gen_command)
+       @mv -f $(DEPDIR)/stamp-sources-generate-tmp $@
+
+$(gen_sources): stamp-sources-generate
+       @if test -f $@; then :; else \
+               trap 'rm -rf $(DEPDIR)/stamp-sources-generate-*' 1 2 13 15; \
+               if mkdir $(DEPDIR)/stamp-sources-generate-lock 2>/dev/null; then \
+                       rm -f stamp-sources-generate; \
+                       $(MAKE) $(AM_MAKEFLAGS) stamp-sources-generate; \
+                       rmdir $(DEPDIR)/stamp-sources-generate-lock; \
+               else \
+                       while test -d $(DEPDIR)/stamp-sources-generate-lock; do sleep 1; done; \
+                       test -f stamp-sources-generate; exit $$?; \
+               fi; \
+       fi;
+
+generate-makefile-swig $(srcdir)/Makefile.swig.gen: $(top_srcdir)/Makefile.swig.gen.t
+       @do_recreate=0; \
+       if test -f $(srcdir)/Makefile.swig.gen; then \
+               if $(RM) $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                       if touch $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                               do_recreate=1; \
+                       fi; \
+               fi; \
+       else \
+               if touch $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                       do_recreate=1; \
+               fi; \
+       fi; \
+       if test "$$do_recreate" == "1"; then \
+               echo "Regenerating $(srcdir)/Makefile.swig.gen"; \
+               for TFILE in $(TOP_SWIG_IFILES); do \
+                       TNAME=`python -c "import os.path as op; (dN, fN) = op.split ('$$TFILE'); (fbN, fE) = op.splitext (fN); print fbN;"`; \
+                       $(SED) -e 's|@NAME@|'$$TNAME'|g;' < $(top_srcdir)/Makefile.swig.gen.t >> $(srcdir)/Makefile.swig.gen; \
+                       echo "" >> $(srcdir)/Makefile.swig.gen; \
+               done; \
+       else \
+               echo "Cannot recreate $(srcdir)/Makefile.swig.gen because the directory or file is write-protected."; \
+               exit -1; \
+       fi;
+
+trellis_pythondir_category ?= gnuradio/trellis
+trellis_pylibdir_category ?= $(trellis_pythondir_category)
+
+trellis.h trellis.py trellis.cc: trellis.i
+       trap 'rm -rf $(DEPDIR)/trellis-generate-*' 1 2 13 15; \
+       if mkdir $(DEPDIR)/trellis-generate-lock 2>/dev/null; then \
+               rm -f $(DEPDIR)/trellis-generate-stamp; \
+               $(MAKE) $(AM_MAKEFLAGS) $(DEPDIR)/trellis-generate-stamp WHAT=$<; \
+               rmdir $(DEPDIR)/trellis-generate-lock; \
+       else \
+               while test -d $(DEPDIR)/trellis-generate-lock; do \
+                       sleep 1; \
+               done; \
+               test -f $(DEPDIR)/trellis-generate-stamp; \
+               exit $$?; \
+       fi;
+
+$(DEPDIR)/trellis-generate-stamp:
+       if $(SWIG) $(STD_SWIG_PYTHON_ARGS) $(trellis_swig_args) \
+               -MD -MF $(DEPDIR)/trellis.Std \
+               -module trellis -o trellis.cc $(WHAT); then \
+           if test $(host_os) = mingw32; then \
+               $(RM) $(DEPDIR)/trellis.Sd; \
+               $(SED) 's,\\\\,/,g' < $(DEPDIR)/trellis.Std \
+                       > $(DEPDIR)/trellis.Sd; \
+               $(RM) $(DEPDIR)/trellis.Std; \
+               $(MV) $(DEPDIR)/trellis.Sd $(DEPDIR)/trellis.Std; \
+           fi; \
+       else \
+           $(RM) $(DEPDIR)/trellis.S*; exit 1; \
+       fi;
+       $(RM) $(DEPDIR)/trellis.d
+       cp $(DEPDIR)/trellis.Std $(DEPDIR)/trellis.d
+       echo "" >> $(DEPDIR)/trellis.d
+       $(SED) -e '1d;s, \\,,g;s, ,,g' < $(DEPDIR)/trellis.Std | \
+               awk '{ printf "%s:\n\n", $$0 }' >> $(DEPDIR)/trellis.d
+       $(RM) $(DEPDIR)/trellis.Std
+       touch $(DEPDIR)/trellis-generate-stamp
+
+# KLUDGE: Force runtime include of a SWIG dependency file.  This is
+# not guaranteed to be portable, but will probably work.  If it works,
+# we have accurate dependencies for our swig stuff, which is good.
+
+@am__include@ @am__quote@./$(DEPDIR)/trellis.d@am__quote@
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-trellis/src/lib/stamp-sources-generate b/gr-trellis/src/lib/stamp-sources-generate
new file mode 100644 (file)
index 0000000..e69de29
diff --git a/gr-trellis/src/lib/trellis_encoder_bb.cc b/gr-trellis/src/lib/trellis_encoder_bb.cc
new file mode 100644 (file)
index 0000000..36e45b9
--- /dev/null
@@ -0,0 +1,76 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <trellis_encoder_bb.h>
+#include <gr_io_signature.h>
+#include <iostream>
+
+trellis_encoder_bb_sptr 
+trellis_make_encoder_bb (const fsm &FSM, int ST)
+{
+  return trellis_encoder_bb_sptr (new trellis_encoder_bb (FSM,ST));
+}
+
+trellis_encoder_bb::trellis_encoder_bb (const fsm &FSM, int ST)
+  : gr_sync_block ("encoder_bb",
+                  gr_make_io_signature (1, -1, sizeof (unsigned char)),
+                  gr_make_io_signature (1, -1, sizeof (unsigned char))),
+    d_FSM (FSM),
+    d_ST (ST)
+{
+}
+
+
+
+int 
+trellis_encoder_bb::work (int noutput_items,
+                       gr_vector_const_void_star &input_items,
+                       gr_vector_void_star &output_items)
+{
+  int ST_tmp=0;
+
+  assert (input_items.size() == output_items.size());
+  int nstreams = input_items.size();
+
+  for (int m=0;m<nstreams;m++) {
+    const unsigned char *in = (const unsigned char *) input_items[m];
+    unsigned char *out = (unsigned char *) output_items[m];
+    ST_tmp = d_ST;
+
+    // per stream processing
+    for (int i = 0; i < noutput_items; i++){
+      out[i] = (unsigned char) d_FSM.OS()[ST_tmp*d_FSM.I()+in[i]]; // direction of time?
+      ST_tmp = (int) d_FSM.NS()[ST_tmp*d_FSM.I()+in[i]];
+    }
+    // end per stream processing
+  }
+  d_ST = ST_tmp;
+
+  return noutput_items;
+}
+
diff --git a/gr-trellis/src/lib/trellis_encoder_bb.h b/gr-trellis/src/lib/trellis_encoder_bb.h
new file mode 100644 (file)
index 0000000..a9c1621
--- /dev/null
@@ -0,0 +1,57 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_TRELLIS_ENCODER_BB_H
+#define INCLUDED_TRELLIS_ENCODER_BB_H
+
+#include "fsm.h"
+#include <gr_sync_block.h>
+
+class trellis_encoder_bb;
+typedef boost::shared_ptr<trellis_encoder_bb> trellis_encoder_bb_sptr;
+
+trellis_encoder_bb_sptr trellis_make_encoder_bb (const fsm &FSM, int ST);
+
+/*!
+ * \brief Convolutional encoder.
+ * \ingroup coding_blk
+ */
+class trellis_encoder_bb : public gr_sync_block
+{
+private:
+  friend trellis_encoder_bb_sptr trellis_make_encoder_bb (const fsm &FSM, int ST);
+  fsm d_FSM;
+  int d_ST;
+  trellis_encoder_bb (const fsm &FSM, int ST); 
+
+public:
+  fsm FSM () const { return d_FSM; }
+  int ST () const { return d_ST; }
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gr-trellis/src/lib/trellis_encoder_bb.i b/gr-trellis/src/lib/trellis_encoder_bb.i
new file mode 100644 (file)
index 0000000..84aee74
--- /dev/null
@@ -0,0 +1,36 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ *
+ * This file is part of GNU Radio
+ *
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ *
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(trellis,encoder_bb);
+
+trellis_encoder_bb_sptr trellis_make_encoder_bb (const fsm &FSM, int ST);
+
+class trellis_encoder_bb : public gr_sync_block
+{
+private:
+  trellis_encoder_bb (const fsm &FSM, int ST);
+public:
+  fsm FSM () const { return d_FSM; }
+  int ST () const { return d_ST; }
+};
diff --git a/gr-trellis/src/lib/trellis_encoder_bi.cc b/gr-trellis/src/lib/trellis_encoder_bi.cc
new file mode 100644 (file)
index 0000000..6152d62
--- /dev/null
@@ -0,0 +1,76 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <trellis_encoder_bi.h>
+#include <gr_io_signature.h>
+#include <iostream>
+
+trellis_encoder_bi_sptr 
+trellis_make_encoder_bi (const fsm &FSM, int ST)
+{
+  return trellis_encoder_bi_sptr (new trellis_encoder_bi (FSM,ST));
+}
+
+trellis_encoder_bi::trellis_encoder_bi (const fsm &FSM, int ST)
+  : gr_sync_block ("encoder_bi",
+                  gr_make_io_signature (1, -1, sizeof (unsigned char)),
+                  gr_make_io_signature (1, -1, sizeof (int))),
+    d_FSM (FSM),
+    d_ST (ST)
+{
+}
+
+
+
+int 
+trellis_encoder_bi::work (int noutput_items,
+                       gr_vector_const_void_star &input_items,
+                       gr_vector_void_star &output_items)
+{
+  int ST_tmp=0;
+
+  assert (input_items.size() == output_items.size());
+  int nstreams = input_items.size();
+
+  for (int m=0;m<nstreams;m++) {
+    const unsigned char *in = (const unsigned char *) input_items[m];
+    int *out = (int *) output_items[m];
+    ST_tmp = d_ST;
+
+    // per stream processing
+    for (int i = 0; i < noutput_items; i++){
+      out[i] = (int) d_FSM.OS()[ST_tmp*d_FSM.I()+in[i]]; // direction of time?
+      ST_tmp = (int) d_FSM.NS()[ST_tmp*d_FSM.I()+in[i]];
+    }
+    // end per stream processing
+  }
+  d_ST = ST_tmp;
+
+  return noutput_items;
+}
+
diff --git a/gr-trellis/src/lib/trellis_encoder_bi.h b/gr-trellis/src/lib/trellis_encoder_bi.h
new file mode 100644 (file)
index 0000000..fffa3d7
--- /dev/null
@@ -0,0 +1,57 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_TRELLIS_ENCODER_BI_H
+#define INCLUDED_TRELLIS_ENCODER_BI_H
+
+#include "fsm.h"
+#include <gr_sync_block.h>
+
+class trellis_encoder_bi;
+typedef boost::shared_ptr<trellis_encoder_bi> trellis_encoder_bi_sptr;
+
+trellis_encoder_bi_sptr trellis_make_encoder_bi (const fsm &FSM, int ST);
+
+/*!
+ * \brief Convolutional encoder.
+ * \ingroup coding_blk
+ */
+class trellis_encoder_bi : public gr_sync_block
+{
+private:
+  friend trellis_encoder_bi_sptr trellis_make_encoder_bi (const fsm &FSM, int ST);
+  fsm d_FSM;
+  int d_ST;
+  trellis_encoder_bi (const fsm &FSM, int ST); 
+
+public:
+  fsm FSM () const { return d_FSM; }
+  int ST () const { return d_ST; }
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gr-trellis/src/lib/trellis_encoder_bi.i b/gr-trellis/src/lib/trellis_encoder_bi.i
new file mode 100644 (file)
index 0000000..a4dcba8
--- /dev/null
@@ -0,0 +1,36 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ *
+ * This file is part of GNU Radio
+ *
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ *
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(trellis,encoder_bi);
+
+trellis_encoder_bi_sptr trellis_make_encoder_bi (const fsm &FSM, int ST);
+
+class trellis_encoder_bi : public gr_sync_block
+{
+private:
+  trellis_encoder_bi (const fsm &FSM, int ST);
+public:
+  fsm FSM () const { return d_FSM; }
+  int ST () const { return d_ST; }
+};
diff --git a/gr-trellis/src/lib/trellis_encoder_bs.cc b/gr-trellis/src/lib/trellis_encoder_bs.cc
new file mode 100644 (file)
index 0000000..1c6fcef
--- /dev/null
@@ -0,0 +1,76 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <trellis_encoder_bs.h>
+#include <gr_io_signature.h>
+#include <iostream>
+
+trellis_encoder_bs_sptr 
+trellis_make_encoder_bs (const fsm &FSM, int ST)
+{
+  return trellis_encoder_bs_sptr (new trellis_encoder_bs (FSM,ST));
+}
+
+trellis_encoder_bs::trellis_encoder_bs (const fsm &FSM, int ST)
+  : gr_sync_block ("encoder_bs",
+                  gr_make_io_signature (1, -1, sizeof (unsigned char)),
+                  gr_make_io_signature (1, -1, sizeof (short))),
+    d_FSM (FSM),
+    d_ST (ST)
+{
+}
+
+
+
+int 
+trellis_encoder_bs::work (int noutput_items,
+                       gr_vector_const_void_star &input_items,
+                       gr_vector_void_star &output_items)
+{
+  int ST_tmp=0;
+
+  assert (input_items.size() == output_items.size());
+  int nstreams = input_items.size();
+
+  for (int m=0;m<nstreams;m++) {
+    const unsigned char *in = (const unsigned char *) input_items[m];
+    short *out = (short *) output_items[m];
+    ST_tmp = d_ST;
+
+    // per stream processing
+    for (int i = 0; i < noutput_items; i++){
+      out[i] = (short) d_FSM.OS()[ST_tmp*d_FSM.I()+in[i]]; // direction of time?
+      ST_tmp = (int) d_FSM.NS()[ST_tmp*d_FSM.I()+in[i]];
+    }
+    // end per stream processing
+  }
+  d_ST = ST_tmp;
+
+  return noutput_items;
+}
+
diff --git a/gr-trellis/src/lib/trellis_encoder_bs.h b/gr-trellis/src/lib/trellis_encoder_bs.h
new file mode 100644 (file)
index 0000000..db991de
--- /dev/null
@@ -0,0 +1,57 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_TRELLIS_ENCODER_BS_H
+#define INCLUDED_TRELLIS_ENCODER_BS_H
+
+#include "fsm.h"
+#include <gr_sync_block.h>
+
+class trellis_encoder_bs;
+typedef boost::shared_ptr<trellis_encoder_bs> trellis_encoder_bs_sptr;
+
+trellis_encoder_bs_sptr trellis_make_encoder_bs (const fsm &FSM, int ST);
+
+/*!
+ * \brief Convolutional encoder.
+ * \ingroup coding_blk
+ */
+class trellis_encoder_bs : public gr_sync_block
+{
+private:
+  friend trellis_encoder_bs_sptr trellis_make_encoder_bs (const fsm &FSM, int ST);
+  fsm d_FSM;
+  int d_ST;
+  trellis_encoder_bs (const fsm &FSM, int ST); 
+
+public:
+  fsm FSM () const { return d_FSM; }
+  int ST () const { return d_ST; }
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gr-trellis/src/lib/trellis_encoder_bs.i b/gr-trellis/src/lib/trellis_encoder_bs.i
new file mode 100644 (file)
index 0000000..e4bf573
--- /dev/null
@@ -0,0 +1,36 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ *
+ * This file is part of GNU Radio
+ *
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ *
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(trellis,encoder_bs);
+
+trellis_encoder_bs_sptr trellis_make_encoder_bs (const fsm &FSM, int ST);
+
+class trellis_encoder_bs : public gr_sync_block
+{
+private:
+  trellis_encoder_bs (const fsm &FSM, int ST);
+public:
+  fsm FSM () const { return d_FSM; }
+  int ST () const { return d_ST; }
+};
diff --git a/gr-trellis/src/lib/trellis_encoder_ii.cc b/gr-trellis/src/lib/trellis_encoder_ii.cc
new file mode 100644 (file)
index 0000000..6b72586
--- /dev/null
@@ -0,0 +1,76 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <trellis_encoder_ii.h>
+#include <gr_io_signature.h>
+#include <iostream>
+
+trellis_encoder_ii_sptr 
+trellis_make_encoder_ii (const fsm &FSM, int ST)
+{
+  return trellis_encoder_ii_sptr (new trellis_encoder_ii (FSM,ST));
+}
+
+trellis_encoder_ii::trellis_encoder_ii (const fsm &FSM, int ST)
+  : gr_sync_block ("encoder_ii",
+                  gr_make_io_signature (1, -1, sizeof (int)),
+                  gr_make_io_signature (1, -1, sizeof (int))),
+    d_FSM (FSM),
+    d_ST (ST)
+{
+}
+
+
+
+int 
+trellis_encoder_ii::work (int noutput_items,
+                       gr_vector_const_void_star &input_items,
+                       gr_vector_void_star &output_items)
+{
+  int ST_tmp=0;
+
+  assert (input_items.size() == output_items.size());
+  int nstreams = input_items.size();
+
+  for (int m=0;m<nstreams;m++) {
+    const int *in = (const int *) input_items[m];
+    int *out = (int *) output_items[m];
+    ST_tmp = d_ST;
+
+    // per stream processing
+    for (int i = 0; i < noutput_items; i++){
+      out[i] = (int) d_FSM.OS()[ST_tmp*d_FSM.I()+in[i]]; // direction of time?
+      ST_tmp = (int) d_FSM.NS()[ST_tmp*d_FSM.I()+in[i]];
+    }
+    // end per stream processing
+  }
+  d_ST = ST_tmp;
+
+  return noutput_items;
+}
+
diff --git a/gr-trellis/src/lib/trellis_encoder_ii.h b/gr-trellis/src/lib/trellis_encoder_ii.h
new file mode 100644 (file)
index 0000000..94b94e2
--- /dev/null
@@ -0,0 +1,57 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_TRELLIS_ENCODER_II_H
+#define INCLUDED_TRELLIS_ENCODER_II_H
+
+#include "fsm.h"
+#include <gr_sync_block.h>
+
+class trellis_encoder_ii;
+typedef boost::shared_ptr<trellis_encoder_ii> trellis_encoder_ii_sptr;
+
+trellis_encoder_ii_sptr trellis_make_encoder_ii (const fsm &FSM, int ST);
+
+/*!
+ * \brief Convolutional encoder.
+ * \ingroup coding_blk
+ */
+class trellis_encoder_ii : public gr_sync_block
+{
+private:
+  friend trellis_encoder_ii_sptr trellis_make_encoder_ii (const fsm &FSM, int ST);
+  fsm d_FSM;
+  int d_ST;
+  trellis_encoder_ii (const fsm &FSM, int ST); 
+
+public:
+  fsm FSM () const { return d_FSM; }
+  int ST () const { return d_ST; }
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gr-trellis/src/lib/trellis_encoder_ii.i b/gr-trellis/src/lib/trellis_encoder_ii.i
new file mode 100644 (file)
index 0000000..bac3498
--- /dev/null
@@ -0,0 +1,36 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ *
+ * This file is part of GNU Radio
+ *
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ *
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(trellis,encoder_ii);
+
+trellis_encoder_ii_sptr trellis_make_encoder_ii (const fsm &FSM, int ST);
+
+class trellis_encoder_ii : public gr_sync_block
+{
+private:
+  trellis_encoder_ii (const fsm &FSM, int ST);
+public:
+  fsm FSM () const { return d_FSM; }
+  int ST () const { return d_ST; }
+};
diff --git a/gr-trellis/src/lib/trellis_encoder_si.cc b/gr-trellis/src/lib/trellis_encoder_si.cc
new file mode 100644 (file)
index 0000000..6ee9306
--- /dev/null
@@ -0,0 +1,76 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <trellis_encoder_si.h>
+#include <gr_io_signature.h>
+#include <iostream>
+
+trellis_encoder_si_sptr 
+trellis_make_encoder_si (const fsm &FSM, int ST)
+{
+  return trellis_encoder_si_sptr (new trellis_encoder_si (FSM,ST));
+}
+
+trellis_encoder_si::trellis_encoder_si (const fsm &FSM, int ST)
+  : gr_sync_block ("encoder_si",
+                  gr_make_io_signature (1, -1, sizeof (short)),
+                  gr_make_io_signature (1, -1, sizeof (int))),
+    d_FSM (FSM),
+    d_ST (ST)
+{
+}
+
+
+
+int 
+trellis_encoder_si::work (int noutput_items,
+                       gr_vector_const_void_star &input_items,
+                       gr_vector_void_star &output_items)
+{
+  int ST_tmp=0;
+
+  assert (input_items.size() == output_items.size());
+  int nstreams = input_items.size();
+
+  for (int m=0;m<nstreams;m++) {
+    const short *in = (const short *) input_items[m];
+    int *out = (int *) output_items[m];
+    ST_tmp = d_ST;
+
+    // per stream processing
+    for (int i = 0; i < noutput_items; i++){
+      out[i] = (int) d_FSM.OS()[ST_tmp*d_FSM.I()+in[i]]; // direction of time?
+      ST_tmp = (int) d_FSM.NS()[ST_tmp*d_FSM.I()+in[i]];
+    }
+    // end per stream processing
+  }
+  d_ST = ST_tmp;
+
+  return noutput_items;
+}
+
diff --git a/gr-trellis/src/lib/trellis_encoder_si.h b/gr-trellis/src/lib/trellis_encoder_si.h
new file mode 100644 (file)
index 0000000..2799cbb
--- /dev/null
@@ -0,0 +1,57 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_TRELLIS_ENCODER_SI_H
+#define INCLUDED_TRELLIS_ENCODER_SI_H
+
+#include "fsm.h"
+#include <gr_sync_block.h>
+
+class trellis_encoder_si;
+typedef boost::shared_ptr<trellis_encoder_si> trellis_encoder_si_sptr;
+
+trellis_encoder_si_sptr trellis_make_encoder_si (const fsm &FSM, int ST);
+
+/*!
+ * \brief Convolutional encoder.
+ * \ingroup coding_blk
+ */
+class trellis_encoder_si : public gr_sync_block
+{
+private:
+  friend trellis_encoder_si_sptr trellis_make_encoder_si (const fsm &FSM, int ST);
+  fsm d_FSM;
+  int d_ST;
+  trellis_encoder_si (const fsm &FSM, int ST); 
+
+public:
+  fsm FSM () const { return d_FSM; }
+  int ST () const { return d_ST; }
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gr-trellis/src/lib/trellis_encoder_si.i b/gr-trellis/src/lib/trellis_encoder_si.i
new file mode 100644 (file)
index 0000000..0355681
--- /dev/null
@@ -0,0 +1,36 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ *
+ * This file is part of GNU Radio
+ *
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ *
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(trellis,encoder_si);
+
+trellis_encoder_si_sptr trellis_make_encoder_si (const fsm &FSM, int ST);
+
+class trellis_encoder_si : public gr_sync_block
+{
+private:
+  trellis_encoder_si (const fsm &FSM, int ST);
+public:
+  fsm FSM () const { return d_FSM; }
+  int ST () const { return d_ST; }
+};
diff --git a/gr-trellis/src/lib/trellis_encoder_ss.cc b/gr-trellis/src/lib/trellis_encoder_ss.cc
new file mode 100644 (file)
index 0000000..cc6b0da
--- /dev/null
@@ -0,0 +1,76 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <trellis_encoder_ss.h>
+#include <gr_io_signature.h>
+#include <iostream>
+
+trellis_encoder_ss_sptr 
+trellis_make_encoder_ss (const fsm &FSM, int ST)
+{
+  return trellis_encoder_ss_sptr (new trellis_encoder_ss (FSM,ST));
+}
+
+trellis_encoder_ss::trellis_encoder_ss (const fsm &FSM, int ST)
+  : gr_sync_block ("encoder_ss",
+                  gr_make_io_signature (1, -1, sizeof (short)),
+                  gr_make_io_signature (1, -1, sizeof (short))),
+    d_FSM (FSM),
+    d_ST (ST)
+{
+}
+
+
+
+int 
+trellis_encoder_ss::work (int noutput_items,
+                       gr_vector_const_void_star &input_items,
+                       gr_vector_void_star &output_items)
+{
+  int ST_tmp=0;
+
+  assert (input_items.size() == output_items.size());
+  int nstreams = input_items.size();
+
+  for (int m=0;m<nstreams;m++) {
+    const short *in = (const short *) input_items[m];
+    short *out = (short *) output_items[m];
+    ST_tmp = d_ST;
+
+    // per stream processing
+    for (int i = 0; i < noutput_items; i++){
+      out[i] = (short) d_FSM.OS()[ST_tmp*d_FSM.I()+in[i]]; // direction of time?
+      ST_tmp = (int) d_FSM.NS()[ST_tmp*d_FSM.I()+in[i]];
+    }
+    // end per stream processing
+  }
+  d_ST = ST_tmp;
+
+  return noutput_items;
+}
+
diff --git a/gr-trellis/src/lib/trellis_encoder_ss.h b/gr-trellis/src/lib/trellis_encoder_ss.h
new file mode 100644 (file)
index 0000000..cafe5d6
--- /dev/null
@@ -0,0 +1,57 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_TRELLIS_ENCODER_SS_H
+#define INCLUDED_TRELLIS_ENCODER_SS_H
+
+#include "fsm.h"
+#include <gr_sync_block.h>
+
+class trellis_encoder_ss;
+typedef boost::shared_ptr<trellis_encoder_ss> trellis_encoder_ss_sptr;
+
+trellis_encoder_ss_sptr trellis_make_encoder_ss (const fsm &FSM, int ST);
+
+/*!
+ * \brief Convolutional encoder.
+ * \ingroup coding_blk
+ */
+class trellis_encoder_ss : public gr_sync_block
+{
+private:
+  friend trellis_encoder_ss_sptr trellis_make_encoder_ss (const fsm &FSM, int ST);
+  fsm d_FSM;
+  int d_ST;
+  trellis_encoder_ss (const fsm &FSM, int ST); 
+
+public:
+  fsm FSM () const { return d_FSM; }
+  int ST () const { return d_ST; }
+
+  int work (int noutput_items,
+           gr_vector_const_void_star &input_items,
+           gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gr-trellis/src/lib/trellis_encoder_ss.i b/gr-trellis/src/lib/trellis_encoder_ss.i
new file mode 100644 (file)
index 0000000..eda92b1
--- /dev/null
@@ -0,0 +1,36 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ *
+ * This file is part of GNU Radio
+ *
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ *
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(trellis,encoder_ss);
+
+trellis_encoder_ss_sptr trellis_make_encoder_ss (const fsm &FSM, int ST);
+
+class trellis_encoder_ss : public gr_sync_block
+{
+private:
+  trellis_encoder_ss (const fsm &FSM, int ST);
+public:
+  fsm FSM () const { return d_FSM; }
+  int ST () const { return d_ST; }
+};
diff --git a/gr-trellis/src/lib/trellis_generated.i b/gr-trellis/src/lib/trellis_generated.i
new file mode 100644 (file)
index 0000000..7a379bd
--- /dev/null
@@ -0,0 +1,56 @@
+//
+// This file is machine generated.  All edits will be overwritten
+//
+%{
+#include <trellis_encoder_bb.h>
+#include <trellis_encoder_bi.h>
+#include <trellis_encoder_bs.h>
+#include <trellis_encoder_ii.h>
+#include <trellis_encoder_si.h>
+#include <trellis_encoder_ss.h>
+#include <trellis_metrics_c.h>
+#include <trellis_metrics_f.h>
+#include <trellis_metrics_i.h>
+#include <trellis_metrics_s.h>
+#include <trellis_viterbi_b.h>
+#include <trellis_viterbi_combined_cb.h>
+#include <trellis_viterbi_combined_ci.h>
+#include <trellis_viterbi_combined_cs.h>
+#include <trellis_viterbi_combined_fb.h>
+#include <trellis_viterbi_combined_fi.h>
+#include <trellis_viterbi_combined_fs.h>
+#include <trellis_viterbi_combined_ib.h>
+#include <trellis_viterbi_combined_ii.h>
+#include <trellis_viterbi_combined_is.h>
+#include <trellis_viterbi_combined_sb.h>
+#include <trellis_viterbi_combined_si.h>
+#include <trellis_viterbi_combined_ss.h>
+#include <trellis_viterbi_i.h>
+#include <trellis_viterbi_s.h>
+%}
+
+%include <trellis_encoder_bb.i>
+%include <trellis_encoder_bi.i>
+%include <trellis_encoder_bs.i>
+%include <trellis_encoder_ii.i>
+%include <trellis_encoder_si.i>
+%include <trellis_encoder_ss.i>
+%include <trellis_metrics_c.i>
+%include <trellis_metrics_f.i>
+%include <trellis_metrics_i.i>
+%include <trellis_metrics_s.i>
+%include <trellis_viterbi_b.i>
+%include <trellis_viterbi_combined_cb.i>
+%include <trellis_viterbi_combined_ci.i>
+%include <trellis_viterbi_combined_cs.i>
+%include <trellis_viterbi_combined_fb.i>
+%include <trellis_viterbi_combined_fi.i>
+%include <trellis_viterbi_combined_fs.i>
+%include <trellis_viterbi_combined_ib.i>
+%include <trellis_viterbi_combined_ii.i>
+%include <trellis_viterbi_combined_is.i>
+%include <trellis_viterbi_combined_sb.i>
+%include <trellis_viterbi_combined_si.i>
+%include <trellis_viterbi_combined_ss.i>
+%include <trellis_viterbi_i.i>
+%include <trellis_viterbi_s.i>
diff --git a/gr-trellis/src/lib/trellis_metrics_c.cc b/gr-trellis/src/lib/trellis_metrics_c.cc
new file mode 100644 (file)
index 0000000..4fac9f6
--- /dev/null
@@ -0,0 +1,97 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <trellis_metrics_c.h>
+#include <gr_io_signature.h>
+#include <assert.h>
+#include <stdexcept>
+#include <iostream>
+
+
+trellis_metrics_c_sptr
+trellis_make_metrics_c (int O, int D,  const std::vector<gr_complex> &TABLE, trellis_metric_type_t TYPE)
+{
+  return trellis_metrics_c_sptr (new trellis_metrics_c (O,D,TABLE,TYPE));
+}
+
+
+
+trellis_metrics_c::trellis_metrics_c (int O, int D,  const std::vector<gr_complex> &TABLE, trellis_metric_type_t TYPE)
+  : gr_block ("metrics_c",
+             gr_make_io_signature (1, -1, sizeof (gr_complex)),
+             gr_make_io_signature (1, -1, sizeof (float))),
+    d_O (O),
+    d_D (D),
+    d_TYPE (TYPE),
+    d_TABLE (TABLE)
+{
+  set_relative_rate (1.0 * d_O / ((double) d_D));
+  set_output_multiple ((int)d_O);
+}
+
+void trellis_metrics_c::set_TABLE (const std::vector<gr_complex> &table)
+{
+  d_TABLE = table;
+}
+
+
+void
+trellis_metrics_c::forecast (int noutput_items, gr_vector_int &ninput_items_required)
+{
+  assert (noutput_items % d_O == 0);
+  int input_required =  d_D * noutput_items / d_O;
+  unsigned ninputs = ninput_items_required.size();
+  for (unsigned int i = 0; i < ninputs; i++)
+    ninput_items_required[i] = input_required;
+}
+
+
+
+int
+trellis_metrics_c::general_work (int noutput_items,
+                               gr_vector_int &ninput_items,
+                               gr_vector_const_void_star &input_items,
+                               gr_vector_void_star &output_items)
+{
+
+  assert (noutput_items % d_O == 0);
+  assert (input_items.size() == output_items.size());
+  int nstreams = input_items.size();
+
+for (int m=0;m<nstreams;m++) {
+  const gr_complex *in = (gr_complex *) input_items[m];
+  float *out = (float *) output_items[m];
+
+  for (int i = 0; i < noutput_items / d_O ; i++){
+    calc_metric(d_O, d_D, d_TABLE,&(in[i*d_D]),&(out[i*d_O]), d_TYPE);
+  } 
+}
+
+  consume_each (d_D * noutput_items / d_O);
+  return noutput_items;
+}
diff --git a/gr-trellis/src/lib/trellis_metrics_c.h b/gr-trellis/src/lib/trellis_metrics_c.h
new file mode 100644 (file)
index 0000000..7bffac5
--- /dev/null
@@ -0,0 +1,65 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_TRELLIS_METRICS_C_H
+#define INCLUDED_TRELLIS_METRICS_C_H
+
+#include <gr_block.h>
+#include "trellis_calc_metric.h"
+
+class trellis_metrics_c;
+typedef boost::shared_ptr<trellis_metrics_c> trellis_metrics_c_sptr;
+
+trellis_metrics_c_sptr trellis_make_metrics_c (int O, int D,  const std::vector<gr_complex> &TABLE, trellis_metric_type_t TYPE);
+
+/*!
+ * \brief Evaluate metrics for use by the Viterbi algorithm.
+ * \ingroup coding_blk
+ */
+class trellis_metrics_c : public gr_block
+{
+  int d_O;
+  int d_D;
+  trellis_metric_type_t d_TYPE;
+  std::vector<gr_complex> d_TABLE;
+
+  friend trellis_metrics_c_sptr trellis_make_metrics_c (int O, int D,  const std::vector<gr_complex> &TABLE, trellis_metric_type_t TYPE);
+  trellis_metrics_c (int O, int D,  const std::vector<gr_complex> &TABLE, trellis_metric_type_t TYPE);
+
+public:
+  int O () const { return d_O; }
+  int D () const { return d_D; }
+  trellis_metric_type_t TYPE () const { return d_TYPE; }
+  std::vector<gr_complex> TABLE () const { return d_TABLE; }
+  void set_TABLE (const std::vector<gr_complex> &table);
+  void forecast (int noutput_items,
+                gr_vector_int &ninput_items_required);
+  int general_work (int noutput_items,
+                   gr_vector_int &ninput_items,
+                   gr_vector_const_void_star &input_items,
+                   gr_vector_void_star &output_items);
+};
+
+
+#endif
diff --git a/gr-trellis/src/lib/trellis_metrics_c.i b/gr-trellis/src/lib/trellis_metrics_c.i
new file mode 100644 (file)
index 0000000..37b6ba9
--- /dev/null
@@ -0,0 +1,40 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ *
+ * This file is part of GNU Radio
+ *
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ *
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(trellis,metrics_c);
+
+trellis_metrics_c_sptr trellis_make_metrics_c (int O, int D, const std::vector<gr_complex> &TABLE, trellis_metric_type_t TYPE);
+
+class trellis_metrics_c : public gr_block
+{
+private:
+  trellis_metrics_c (int O, int D, const std::vector<gr_complex> &TABLE, trellis_metric_type_t TYPE);
+
+public:
+  int O () const { return d_O; }
+  int D () const { return d_D; }
+  trellis_metric_type_t TYPE () const { return d_TYPE; }
+  void set_TABLE (const std::vector<gr_complex> &table);
+  std::vector<gr_complex> TABLE () const { return d_TABLE; }
+};
diff --git a/gr-trellis/src/lib/trellis_metrics_f.cc b/gr-trellis/src/lib/trellis_metrics_f.cc
new file mode 100644 (file)
index 0000000..1fb0640
--- /dev/null
@@ -0,0 +1,97 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <trellis_metrics_f.h>
+#include <gr_io_signature.h>
+#include <assert.h>
+#include <stdexcept>
+#include <iostream>
+
+
+trellis_metrics_f_sptr
+trellis_make_metrics_f (int O, int D,  const std::vector<float> &TABLE, trellis_metric_type_t TYPE)
+{
+  return trellis_metrics_f_sptr (new trellis_metrics_f (O,D,TABLE,TYPE));
+}
+
+
+
+trellis_metrics_f::trellis_metrics_f (int O, int D,  const std::vector<float> &TABLE, trellis_metric_type_t TYPE)
+  : gr_block ("metrics_f",
+             gr_make_io_signature (1, -1, sizeof (float)),
+             gr_make_io_signature (1, -1, sizeof (float))),
+    d_O (O),
+    d_D (D),
+    d_TYPE (TYPE),
+    d_TABLE (TABLE)
+{
+  set_relative_rate (1.0 * d_O / ((double) d_D));
+  set_output_multiple ((int)d_O);
+}
+
+void trellis_metrics_f::set_TABLE (const std::vector<float> &table)
+{
+  d_TABLE = table;
+}
+
+
+void
+trellis_metrics_f::forecast (int noutput_items, gr_vector_int &ninput_items_required)
+{
+  assert (noutput_items % d_O == 0);
+  int input_required =  d_D * noutput_items / d_O;
+  unsigned ninputs = ninput_items_required.size();
+  for (unsigned int i = 0; i < ninputs; i++)
+    ninput_items_required[i] = input_required;
+}
+
+
+
+int
+trellis_metrics_f::general_work (int noutput_items,
+                               gr_vector_int &ninput_items,
+                               gr_vector_const_void_star &input_items,
+                               gr_vector_void_star &output_items)
+{
+
+  assert (noutput_items % d_O == 0);
+  assert (input_items.size() == output_items.size());
+  int nstreams = input_items.size();
+
+for (int m=0;m<nstreams;m++) {
+  const float *in = (float *) input_items[m];
+  float *out = (float *) output_items[m];
+
+  for (int i = 0; i < noutput_items / d_O ; i++){
+    calc_metric(d_O, d_D, d_TABLE,&(in[i*d_D]),&(out[i*d_O]), d_TYPE);
+  } 
+}
+
+  consume_each (d_D * noutput_items / d_O);
+  return noutput_items;
+}
diff --git a/gr-trellis/src/lib/trellis_metrics_f.h b/gr-trellis/src/lib/trellis_metrics_f.h
new file mode 100644 (file)
index 0000000..6c0cbe0
--- /dev/null
@@ -0,0 +1,65 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_TRELLIS_METRICS_F_H
+#define INCLUDED_TRELLIS_METRICS_F_H
+
+#include <gr_block.h>
+#include "trellis_calc_metric.h"
+
+class trellis_metrics_f;
+typedef boost::shared_ptr<trellis_metrics_f> trellis_metrics_f_sptr;
+
+trellis_metrics_f_sptr trellis_make_metrics_f (int O, int D,  const std::vector<float> &TABLE, trellis_metric_type_t TYPE);
+
+/*!
+ * \brief Evaluate metrics for use by the Viterbi algorithm.
+ * \ingroup coding_blk
+ */
+class trellis_metrics_f : public gr_block
+{
+  int d_O;
+  int d_D;
+  trellis_metric_type_t d_TYPE;
+  std::vector<float> d_TABLE;
+
+  friend trellis_metrics_f_sptr trellis_make_metrics_f (int O, int D,  const std::vector<float> &TABLE, trellis_metric_type_t TYPE);
+  trellis_metrics_f (int O, int D,  const std::vector<float> &TABLE, trellis_metric_type_t TYPE);
+
+public:
+  int O () const { return d_O; }
+  int D () const { return d_D; }
+  trellis_metric_type_t TYPE () const { return d_TYPE; }
+  std::vector<float> TABLE () const { return d_TABLE; }
+  void set_TABLE (const std::vector<float> &table);
+  void forecast (int noutput_items,
+                gr_vector_int &ninput_items_required);
+  int general_work (int noutput_items,
+                   gr_vector_int &ninput_items,
+                   gr_vector_const_void_star &input_items,
+                   gr_vector_void_star &output_items);
+};
+
+
+#endif
diff --git a/gr-trellis/src/lib/trellis_metrics_f.i b/gr-trellis/src/lib/trellis_metrics_f.i
new file mode 100644 (file)
index 0000000..3413629
--- /dev/null
@@ -0,0 +1,40 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ *
+ * This file is part of GNU Radio
+ *
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ *
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(trellis,metrics_f);
+
+trellis_metrics_f_sptr trellis_make_metrics_f (int O, int D, const std::vector<float> &TABLE, trellis_metric_type_t TYPE);
+
+class trellis_metrics_f : public gr_block
+{
+private:
+  trellis_metrics_f (int O, int D, const std::vector<float> &TABLE, trellis_metric_type_t TYPE);
+
+public:
+  int O () const { return d_O; }
+  int D () const { return d_D; }
+  trellis_metric_type_t TYPE () const { return d_TYPE; }
+  void set_TABLE (const std::vector<float> &table);
+  std::vector<float> TABLE () const { return d_TABLE; }
+};
diff --git a/gr-trellis/src/lib/trellis_metrics_i.cc b/gr-trellis/src/lib/trellis_metrics_i.cc
new file mode 100644 (file)
index 0000000..251f459
--- /dev/null
@@ -0,0 +1,97 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <trellis_metrics_i.h>
+#include <gr_io_signature.h>
+#include <assert.h>
+#include <stdexcept>
+#include <iostream>
+
+
+trellis_metrics_i_sptr
+trellis_make_metrics_i (int O, int D,  const std::vector<int> &TABLE, trellis_metric_type_t TYPE)
+{
+  return trellis_metrics_i_sptr (new trellis_metrics_i (O,D,TABLE,TYPE));
+}
+
+
+
+trellis_metrics_i::trellis_metrics_i (int O, int D,  const std::vector<int> &TABLE, trellis_metric_type_t TYPE)
+  : gr_block ("metrics_i",
+             gr_make_io_signature (1, -1, sizeof (int)),
+             gr_make_io_signature (1, -1, sizeof (float))),
+    d_O (O),
+    d_D (D),
+    d_TYPE (TYPE),
+    d_TABLE (TABLE)
+{
+  set_relative_rate (1.0 * d_O / ((double) d_D));
+  set_output_multiple ((int)d_O);
+}
+
+void trellis_metrics_i::set_TABLE (const std::vector<int> &table)
+{
+  d_TABLE = table;
+}
+
+
+void
+trellis_metrics_i::forecast (int noutput_items, gr_vector_int &ninput_items_required)
+{
+  assert (noutput_items % d_O == 0);
+  int input_required =  d_D * noutput_items / d_O;
+  unsigned ninputs = ninput_items_required.size();
+  for (unsigned int i = 0; i < ninputs; i++)
+    ninput_items_required[i] = input_required;
+}
+
+
+
+int
+trellis_metrics_i::general_work (int noutput_items,
+                               gr_vector_int &ninput_items,
+                               gr_vector_const_void_star &input_items,
+                               gr_vector_void_star &output_items)
+{
+
+  assert (noutput_items % d_O == 0);
+  assert (input_items.size() == output_items.size());
+  int nstreams = input_items.size();
+
+for (int m=0;m<nstreams;m++) {
+  const int *in = (int *) input_items[m];
+  float *out = (float *) output_items[m];
+
+  for (int i = 0; i < noutput_items / d_O ; i++){
+    calc_metric(d_O, d_D, d_TABLE,&(in[i*d_D]),&(out[i*d_O]), d_TYPE);
+  } 
+}
+
+  consume_each (d_D * noutput_items / d_O);
+  return noutput_items;
+}
diff --git a/gr-trellis/src/lib/trellis_metrics_i.h b/gr-trellis/src/lib/trellis_metrics_i.h
new file mode 100644 (file)
index 0000000..1dc8101
--- /dev/null
@@ -0,0 +1,65 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_TRELLIS_METRICS_I_H
+#define INCLUDED_TRELLIS_METRICS_I_H
+
+#include <gr_block.h>
+#include "trellis_calc_metric.h"
+
+class trellis_metrics_i;
+typedef boost::shared_ptr<trellis_metrics_i> trellis_metrics_i_sptr;
+
+trellis_metrics_i_sptr trellis_make_metrics_i (int O, int D,  const std::vector<int> &TABLE, trellis_metric_type_t TYPE);
+
+/*!
+ * \brief Evaluate metrics for use by the Viterbi algorithm.
+ * \ingroup coding_blk
+ */
+class trellis_metrics_i : public gr_block
+{
+  int d_O;
+  int d_D;
+  trellis_metric_type_t d_TYPE;
+  std::vector<int> d_TABLE;
+
+  friend trellis_metrics_i_sptr trellis_make_metrics_i (int O, int D,  const std::vector<int> &TABLE, trellis_metric_type_t TYPE);
+  trellis_metrics_i (int O, int D,  const std::vector<int> &TABLE, trellis_metric_type_t TYPE);
+
+public:
+  int O () const { return d_O; }
+  int D () const { return d_D; }
+  trellis_metric_type_t TYPE () const { return d_TYPE; }
+  std::vector<int> TABLE () const { return d_TABLE; }
+  void set_TABLE (const std::vector<int> &table);
+  void forecast (int noutput_items,
+                gr_vector_int &ninput_items_required);
+  int general_work (int noutput_items,
+                   gr_vector_int &ninput_items,
+                   gr_vector_const_void_star &input_items,
+                   gr_vector_void_star &output_items);
+};
+
+
+#endif
diff --git a/gr-trellis/src/lib/trellis_metrics_i.i b/gr-trellis/src/lib/trellis_metrics_i.i
new file mode 100644 (file)
index 0000000..67ed867
--- /dev/null
@@ -0,0 +1,40 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ *
+ * This file is part of GNU Radio
+ *
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ *
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(trellis,metrics_i);
+
+trellis_metrics_i_sptr trellis_make_metrics_i (int O, int D, const std::vector<int> &TABLE, trellis_metric_type_t TYPE);
+
+class trellis_metrics_i : public gr_block
+{
+private:
+  trellis_metrics_i (int O, int D, const std::vector<int> &TABLE, trellis_metric_type_t TYPE);
+
+public:
+  int O () const { return d_O; }
+  int D () const { return d_D; }
+  trellis_metric_type_t TYPE () const { return d_TYPE; }
+  void set_TABLE (const std::vector<int> &table);
+  std::vector<int> TABLE () const { return d_TABLE; }
+};
diff --git a/gr-trellis/src/lib/trellis_metrics_s.cc b/gr-trellis/src/lib/trellis_metrics_s.cc
new file mode 100644 (file)
index 0000000..db21fdb
--- /dev/null
@@ -0,0 +1,97 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <trellis_metrics_s.h>
+#include <gr_io_signature.h>
+#include <assert.h>
+#include <stdexcept>
+#include <iostream>
+
+
+trellis_metrics_s_sptr
+trellis_make_metrics_s (int O, int D,  const std::vector<short> &TABLE, trellis_metric_type_t TYPE)
+{
+  return trellis_metrics_s_sptr (new trellis_metrics_s (O,D,TABLE,TYPE));
+}
+
+
+
+trellis_metrics_s::trellis_metrics_s (int O, int D,  const std::vector<short> &TABLE, trellis_metric_type_t TYPE)
+  : gr_block ("metrics_s",
+             gr_make_io_signature (1, -1, sizeof (short)),
+             gr_make_io_signature (1, -1, sizeof (float))),
+    d_O (O),
+    d_D (D),
+    d_TYPE (TYPE),
+    d_TABLE (TABLE)
+{
+  set_relative_rate (1.0 * d_O / ((double) d_D));
+  set_output_multiple ((int)d_O);
+}
+
+void trellis_metrics_s::set_TABLE (const std::vector<short> &table)
+{
+  d_TABLE = table;
+}
+
+
+void
+trellis_metrics_s::forecast (int noutput_items, gr_vector_int &ninput_items_required)
+{
+  assert (noutput_items % d_O == 0);
+  int input_required =  d_D * noutput_items / d_O;
+  unsigned ninputs = ninput_items_required.size();
+  for (unsigned int i = 0; i < ninputs; i++)
+    ninput_items_required[i] = input_required;
+}
+
+
+
+int
+trellis_metrics_s::general_work (int noutput_items,
+                               gr_vector_int &ninput_items,
+                               gr_vector_const_void_star &input_items,
+                               gr_vector_void_star &output_items)
+{
+
+  assert (noutput_items % d_O == 0);
+  assert (input_items.size() == output_items.size());
+  int nstreams = input_items.size();
+
+for (int m=0;m<nstreams;m++) {
+  const short *in = (short *) input_items[m];
+  float *out = (float *) output_items[m];
+
+  for (int i = 0; i < noutput_items / d_O ; i++){
+    calc_metric(d_O, d_D, d_TABLE,&(in[i*d_D]),&(out[i*d_O]), d_TYPE);
+  } 
+}
+
+  consume_each (d_D * noutput_items / d_O);
+  return noutput_items;
+}
diff --git a/gr-trellis/src/lib/trellis_metrics_s.h b/gr-trellis/src/lib/trellis_metrics_s.h
new file mode 100644 (file)
index 0000000..a30526a
--- /dev/null
@@ -0,0 +1,65 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_TRELLIS_METRICS_S_H
+#define INCLUDED_TRELLIS_METRICS_S_H
+
+#include <gr_block.h>
+#include "trellis_calc_metric.h"
+
+class trellis_metrics_s;
+typedef boost::shared_ptr<trellis_metrics_s> trellis_metrics_s_sptr;
+
+trellis_metrics_s_sptr trellis_make_metrics_s (int O, int D,  const std::vector<short> &TABLE, trellis_metric_type_t TYPE);
+
+/*!
+ * \brief Evaluate metrics for use by the Viterbi algorithm.
+ * \ingroup coding_blk
+ */
+class trellis_metrics_s : public gr_block
+{
+  int d_O;
+  int d_D;
+  trellis_metric_type_t d_TYPE;
+  std::vector<short> d_TABLE;
+
+  friend trellis_metrics_s_sptr trellis_make_metrics_s (int O, int D,  const std::vector<short> &TABLE, trellis_metric_type_t TYPE);
+  trellis_metrics_s (int O, int D,  const std::vector<short> &TABLE, trellis_metric_type_t TYPE);
+
+public:
+  int O () const { return d_O; }
+  int D () const { return d_D; }
+  trellis_metric_type_t TYPE () const { return d_TYPE; }
+  std::vector<short> TABLE () const { return d_TABLE; }
+  void set_TABLE (const std::vector<short> &table);
+  void forecast (int noutput_items,
+                gr_vector_int &ninput_items_required);
+  int general_work (int noutput_items,
+                   gr_vector_int &ninput_items,
+                   gr_vector_const_void_star &input_items,
+                   gr_vector_void_star &output_items);
+};
+
+
+#endif
diff --git a/gr-trellis/src/lib/trellis_metrics_s.i b/gr-trellis/src/lib/trellis_metrics_s.i
new file mode 100644 (file)
index 0000000..207c0a7
--- /dev/null
@@ -0,0 +1,40 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ *
+ * This file is part of GNU Radio
+ *
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ *
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(trellis,metrics_s);
+
+trellis_metrics_s_sptr trellis_make_metrics_s (int O, int D, const std::vector<short> &TABLE, trellis_metric_type_t TYPE);
+
+class trellis_metrics_s : public gr_block
+{
+private:
+  trellis_metrics_s (int O, int D, const std::vector<short> &TABLE, trellis_metric_type_t TYPE);
+
+public:
+  int O () const { return d_O; }
+  int D () const { return d_D; }
+  trellis_metric_type_t TYPE () const { return d_TYPE; }
+  void set_TABLE (const std::vector<short> &table);
+  std::vector<short> TABLE () const { return d_TABLE; }
+};
diff --git a/gr-trellis/src/lib/trellis_viterbi_b.cc b/gr-trellis/src/lib/trellis_viterbi_b.cc
new file mode 100644 (file)
index 0000000..ead61b1
--- /dev/null
@@ -0,0 +1,170 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <trellis_viterbi_b.h>
+#include <gr_io_signature.h>
+#include <assert.h>
+#include <iostream>
+  
+static const float INF = 1.0e9;
+
+trellis_viterbi_b_sptr 
+trellis_make_viterbi_b (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK)
+{
+  return trellis_viterbi_b_sptr (new trellis_viterbi_b (FSM,K,S0,SK));
+}
+
+trellis_viterbi_b::trellis_viterbi_b (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK)
+  : gr_block ("viterbi_b",
+                         gr_make_io_signature (1, -1, sizeof (float)),
+                         gr_make_io_signature (1, -1, sizeof (unsigned char))),  
+  d_FSM (FSM),
+  d_K (K),
+  d_S0 (S0),
+  d_SK (SK)//,
+  //d_trace(FSM.S()*K)
+{
+    set_relative_rate (1.0 / ((double) d_FSM.O()));
+    set_output_multiple (d_K);
+}
+
+
+void
+trellis_viterbi_b::forecast (int noutput_items, gr_vector_int &ninput_items_required)
+{
+  assert (noutput_items % d_K == 0);
+  int input_required =  d_FSM.O() * noutput_items ;
+  unsigned ninputs = ninput_items_required.size();
+  for (unsigned int i = 0; i < ninputs; i++) {
+    ninput_items_required[i] = input_required;
+  }
+}
+
+
+
+
+void viterbi_algorithm(int I, int S, int O, 
+             const std::vector<int> &NS,
+             const std::vector<int> &OS,
+             const std::vector< std::vector<int> > &PS,
+             const std::vector< std::vector<int> > &PI,
+             int K,
+             int S0,int SK,
+             const float *in, unsigned char *out)//,
+             //std::vector<int> &trace) 
+{
+  std::vector<int> trace(S*K);
+  std::vector<float> alpha(S*2);
+  int alphai;
+  float norm,mm,minm;
+  int minmi;
+  int st;
+
+
+  if(S0<0) { // initial state not specified
+      for(int i=0;i<S;i++) alpha[0*S+i]=0;
+  }
+  else {
+      for(int i=0;i<S;i++) alpha[0*S+i]=INF;
+      alpha[0*S+S0]=0.0;
+  }
+
+  alphai=0;
+  for(int k=0;k<K;k++) {
+      norm=INF;
+      for(int j=0;j<S;j++) { // for each next state do ACS
+          minm=INF;
+          minmi=0;
+          for(unsigned int i=0;i<PS[j].size();i++) {
+              //int i0 = j*I+i;
+              if((mm=alpha[alphai*S+PS[j][i]]+in[k*O+OS[PS[j][i]*I+PI[j][i]]])<minm)
+                  minm=mm,minmi=i;
+          }
+          trace[k*S+j]=minmi;
+          alpha[((alphai+1)%2)*S+j]=minm;
+          if(minm<norm) norm=minm;
+      }
+      for(int j=0;j<S;j++) 
+          alpha[((alphai+1)%2)*S+j]-=norm; // normalize total metrics so they do not explode
+      alphai=(alphai+1)%2;
+  }
+
+  if(SK<0) { // final state not specified
+      minm=INF;
+      minmi=0;
+      for(int i=0;i<S;i++)
+          if((mm=alpha[alphai*S+i])<minm) minm=mm,minmi=i;
+      st=minmi;
+  }
+  else {
+      st=SK;
+  }
+
+  for(int k=K-1;k>=0;k--) { // traceback
+      int i0=trace[k*S+st];
+      out[k]= (unsigned char) PI[st][i0];
+      st=PS[st][i0];
+  }
+
+}
+
+
+
+
+
+
+int
+trellis_viterbi_b::general_work (int noutput_items,
+                        gr_vector_int &ninput_items,
+                        gr_vector_const_void_star &input_items,
+                        gr_vector_void_star &output_items)
+{
+  assert (input_items.size() == output_items.size());
+  int nstreams = input_items.size();
+  assert (noutput_items % d_K == 0);
+  int nblocks = noutput_items / d_K;
+
+  for (int m=0;m<nstreams;m++) {
+    const float *in = (const float *) input_items[m];
+    unsigned char *out = (unsigned char *) output_items[m];
+    for (int n=0;n<nblocks;n++) {
+      viterbi_algorithm(d_FSM.I(),d_FSM.S(),d_FSM.O(),d_FSM.NS(),d_FSM.OS(),d_FSM.PS(),d_FSM.PI(),d_K,d_S0,d_SK,&(in[n*d_K*d_FSM.O()]),&(out[n*d_K]));//,d_trace);
+    }
+  }
+
+  consume_each (d_FSM.O() * noutput_items );
+  return noutput_items;
+}
diff --git a/gr-trellis/src/lib/trellis_viterbi_b.h b/gr-trellis/src/lib/trellis_viterbi_b.h
new file mode 100644 (file)
index 0000000..8d6e8bd
--- /dev/null
@@ -0,0 +1,81 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_TRELLIS_VITERBI_B_H
+#define INCLUDED_TRELLIS_VITERBI_B_H
+
+#include "fsm.h"
+#include <gr_block.h>
+
+class trellis_viterbi_b;
+typedef boost::shared_ptr<trellis_viterbi_b> trellis_viterbi_b_sptr;
+
+trellis_viterbi_b_sptr trellis_make_viterbi_b (
+    const fsm &FSM, 
+    int K,
+    int S0,
+    int SK);
+
+
+
+/*!
+ *  \ingroup coding_blk
+ */
+class trellis_viterbi_b : public gr_block
+{
+  fsm d_FSM;
+  int d_K;
+  int d_S0;
+  int d_SK;
+  //std::vector<int> d_trace;
+
+  friend trellis_viterbi_b_sptr trellis_make_viterbi_b (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK);
+
+
+  trellis_viterbi_b (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK);
+
+
+public:
+  fsm FSM () const { return d_FSM; }
+  int K () const { return d_K; }
+  int S0 () const { return d_S0; }
+  int SK () const { return d_SK; }
+  //std::vector<int> trace () const { return d_trace; }
+  void forecast (int noutput_items,
+                 gr_vector_int &ninput_items_required);
+  int general_work (int noutput_items,
+                    gr_vector_int &ninput_items,
+                    gr_vector_const_void_star &input_items,
+                    gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gr-trellis/src/lib/trellis_viterbi_b.i b/gr-trellis/src/lib/trellis_viterbi_b.i
new file mode 100644 (file)
index 0000000..a9da3fc
--- /dev/null
@@ -0,0 +1,49 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ *
+ * This file is part of GNU Radio
+ *
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ *
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(trellis,viterbi_b);
+
+trellis_viterbi_b_sptr trellis_make_viterbi_b (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK);
+
+
+class trellis_viterbi_b : public gr_block
+{
+private:
+  trellis_viterbi_b (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK);
+
+public:
+    fsm FSM () const { return d_FSM; }
+    int K () const { return d_K; }
+    int S0 () const { return d_S0; }
+    int SK () const { return d_SK; }
+    //std::vector<short> trace () const { return d_trace; }
+};
diff --git a/gr-trellis/src/lib/trellis_viterbi_combined_cb.cc b/gr-trellis/src/lib/trellis_viterbi_combined_cb.cc
new file mode 100644 (file)
index 0000000..91341aa
--- /dev/null
@@ -0,0 +1,190 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <trellis_viterbi_combined_cb.h>
+#include <gr_io_signature.h>
+#include <assert.h>
+#include <iostream>
+  
+static const float INF = 1.0e9;
+
+trellis_viterbi_combined_cb_sptr 
+trellis_make_viterbi_combined_cb (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<gr_complex> &TABLE,
+    trellis_metric_type_t TYPE)
+{
+  return trellis_viterbi_combined_cb_sptr (new trellis_viterbi_combined_cb (FSM,K,S0,SK,D,TABLE,TYPE));
+}
+
+trellis_viterbi_combined_cb::trellis_viterbi_combined_cb (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<gr_complex> &TABLE,
+    trellis_metric_type_t TYPE)
+  : gr_block ("viterbi_combined_cb",
+                         gr_make_io_signature (1, -1, sizeof (gr_complex)),
+                         gr_make_io_signature (1, -1, sizeof (unsigned char))),  
+  d_FSM (FSM),
+  d_K (K),
+  d_S0 (S0),
+  d_SK (SK),
+  d_D (D),
+  d_TABLE (TABLE),
+  d_TYPE (TYPE)//,
+  //d_trace(FSM.S()*K)
+{
+    set_relative_rate (1.0 / ((double) d_D));
+    set_output_multiple (d_K);
+}
+
+
+void trellis_viterbi_combined_cb::set_TABLE(const std::vector<gr_complex> &table) 
+{
+  d_TABLE = table;
+}
+
+void
+trellis_viterbi_combined_cb::forecast (int noutput_items, gr_vector_int &ninput_items_required)
+{
+  assert (noutput_items % d_K == 0);
+  int input_required =  d_D * noutput_items ;
+  unsigned ninputs = ninput_items_required.size();
+  for (unsigned int i = 0; i < ninputs; i++) {
+    ninput_items_required[i] = input_required;
+  }
+}
+
+
+
+
+void viterbi_algorithm_combined(int I, int S, int O, 
+             const std::vector<int> &NS,
+             const std::vector<int> &OS,
+             const std::vector< std::vector<int> > &PS,
+             const std::vector< std::vector<int> > &PI,
+             int K,
+             int S0,int SK,
+             int D,
+             const std::vector<gr_complex> &TABLE,
+             trellis_metric_type_t TYPE,
+             const gr_complex *in, unsigned char *out)//,
+             //std::vector<int> &trace) 
+{
+  std::vector<int> trace(S*K);
+  std::vector<float> alpha(S*2);
+  float *metric = new float[O];
+  int alphai;
+  float norm,mm,minm;
+  int minmi;
+  int st;
+
+  if(S0<0) { // initial state not specified
+      for(int i=0;i<S;i++) alpha[0*S+i]=0;
+  }
+  else {
+      for(int i=0;i<S;i++) alpha[0*S+i]=INF;
+      alpha[0*S+S0]=0.0;
+  }
+
+  alphai=0;
+  for(int k=0;k<K;k++) {
+      calc_metric(O, D, TABLE, &(in[k*D]), metric,TYPE); // calc metrics
+      norm=INF;
+      for(int j=0;j<S;j++) { // for each next state do ACS
+          minm=INF;
+          minmi=0;
+          for(unsigned int i=0;i<PS[j].size();i++) {
+              //int i0 = j*I+i;
+              if((mm=alpha[alphai*S+PS[j][i]]+metric[OS[PS[j][i]*I+PI[j][i]]])<minm)
+                  minm=mm,minmi=i;
+          }
+          trace[k*S+j]=minmi;
+          alpha[((alphai+1)%2)*S+j]=minm;
+          if(minm<norm) norm=minm;
+      }
+      for(int j=0;j<S;j++) 
+          alpha[((alphai+1)%2)*S+j]-=norm; // normalize total metrics so they do not explode
+      alphai=(alphai+1)%2;
+  }
+
+  if(SK<0) { // final state not specified
+      minm=INF;
+      minmi=0;
+      for(int i=0;i<S;i++)
+          if((mm=alpha[alphai*S+i])<minm) minm=mm,minmi=i;
+      st=minmi;
+  }
+  else {
+      st=SK;
+  }
+
+  for(int k=K-1;k>=0;k--) { // traceback
+      int i0=trace[k*S+st];
+      out[k]= (unsigned char) PI[st][i0];
+      st=PS[st][i0];
+  }
+  
+  delete [] metric;
+
+}
+
+
+
+
+
+
+int
+trellis_viterbi_combined_cb::general_work (int noutput_items,
+                        gr_vector_int &ninput_items,
+                        gr_vector_const_void_star &input_items,
+                        gr_vector_void_star &output_items)
+{
+  assert (input_items.size() == output_items.size());
+  int nstreams = input_items.size();
+  assert (noutput_items % d_K == 0);
+  int nblocks = noutput_items / d_K;
+
+  for (int m=0;m<nstreams;m++) {
+    const gr_complex *in = (const gr_complex *) input_items[m];
+    unsigned char *out = (unsigned char *) output_items[m];
+    for (int n=0;n<nblocks;n++) {
+      viterbi_algorithm_combined(d_FSM.I(),d_FSM.S(),d_FSM.O(),d_FSM.NS(),d_FSM.OS(),d_FSM.PS(),d_FSM.PI(),d_K,d_S0,d_SK,d_D,d_TABLE,d_TYPE,&(in[n*d_K*d_D]),&(out[n*d_K]));//,d_trace);
+    }
+  }
+
+  consume_each (d_D * noutput_items );
+  return noutput_items;
+}
diff --git a/gr-trellis/src/lib/trellis_viterbi_combined_cb.h b/gr-trellis/src/lib/trellis_viterbi_combined_cb.h
new file mode 100644 (file)
index 0000000..907b550
--- /dev/null
@@ -0,0 +1,97 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_TRELLIS_VITERBI_COMBINED_CB_H
+#define INCLUDED_TRELLIS_VITERBI_COMBINED_CB_H
+
+#include "fsm.h"
+#include <gr_block.h>
+#include "trellis_calc_metric.h"
+
+class trellis_viterbi_combined_cb;
+typedef boost::shared_ptr<trellis_viterbi_combined_cb> trellis_viterbi_combined_cb_sptr;
+
+trellis_viterbi_combined_cb_sptr trellis_make_viterbi_combined_cb (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<gr_complex> &TABLE,
+    trellis_metric_type_t TYPE);
+
+
+/*!
+ *  \ingroup coding_blk
+ */
+class trellis_viterbi_combined_cb : public gr_block
+{
+  fsm d_FSM;
+  int d_K;
+  int d_S0;
+  int d_SK;
+  int d_D;
+  std::vector<gr_complex> d_TABLE;
+  trellis_metric_type_t d_TYPE;
+  //std::vector<int> d_trace;
+
+  friend trellis_viterbi_combined_cb_sptr trellis_make_viterbi_combined_cb (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<gr_complex> &TABLE,
+    trellis_metric_type_t TYPE);
+
+
+  trellis_viterbi_combined_cb (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<gr_complex> &TABLE,
+    trellis_metric_type_t TYPE);
+
+
+public:
+  fsm FSM () const { return d_FSM; }
+  int K () const { return d_K; }
+  int S0 () const { return d_S0; }
+  int SK () const { return d_SK; }
+  int D () const { return d_D; }
+  std::vector<gr_complex> TABLE () const { return d_TABLE; }
+  trellis_metric_type_t TYPE () const { return d_TYPE; }
+  //std::vector<int> trace () const { return d_trace; }
+  void set_TABLE (const std::vector<gr_complex> &table);
+  void forecast (int noutput_items,
+                 gr_vector_int &ninput_items_required);
+  int general_work (int noutput_items,
+                    gr_vector_int &ninput_items,
+                    gr_vector_const_void_star &input_items,
+                    gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gr-trellis/src/lib/trellis_viterbi_combined_cb.i b/gr-trellis/src/lib/trellis_viterbi_combined_cb.i
new file mode 100644 (file)
index 0000000..3431958
--- /dev/null
@@ -0,0 +1,59 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ *
+ * This file is part of GNU Radio
+ *
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ *
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(trellis,viterbi_combined_cb);
+
+trellis_viterbi_combined_cb_sptr trellis_make_viterbi_combined_cb (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<gr_complex> &TABLE,
+    trellis_metric_type_t TYPE);
+
+
+class trellis_viterbi_combined_cb : public gr_block
+{
+private:
+  trellis_viterbi_combined_cb (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<gr_complex> &TABLE,
+    trellis_metric_type_t TYPE);
+
+public:
+    fsm FSM () const { return d_FSM; }
+    int K () const { return d_K; }
+    int S0 () const { return d_S0; }
+    int SK () const { return d_SK; }
+    int D () const { return d_D; }
+    std::vector<gr_complex> TABLE () const { return d_TABLE; }
+    trellis_metric_type_t TYPE () const { return d_TYPE; }
+    //std::vector<short> trace () const { return d_trace; }
+    void set_TABLE (const std::vector<gr_complex> &table);
+};
diff --git a/gr-trellis/src/lib/trellis_viterbi_combined_ci.cc b/gr-trellis/src/lib/trellis_viterbi_combined_ci.cc
new file mode 100644 (file)
index 0000000..8158372
--- /dev/null
@@ -0,0 +1,190 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <trellis_viterbi_combined_ci.h>
+#include <gr_io_signature.h>
+#include <assert.h>
+#include <iostream>
+  
+static const float INF = 1.0e9;
+
+trellis_viterbi_combined_ci_sptr 
+trellis_make_viterbi_combined_ci (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<gr_complex> &TABLE,
+    trellis_metric_type_t TYPE)
+{
+  return trellis_viterbi_combined_ci_sptr (new trellis_viterbi_combined_ci (FSM,K,S0,SK,D,TABLE,TYPE));
+}
+
+trellis_viterbi_combined_ci::trellis_viterbi_combined_ci (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<gr_complex> &TABLE,
+    trellis_metric_type_t TYPE)
+  : gr_block ("viterbi_combined_ci",
+                         gr_make_io_signature (1, -1, sizeof (gr_complex)),
+                         gr_make_io_signature (1, -1, sizeof (int))),  
+  d_FSM (FSM),
+  d_K (K),
+  d_S0 (S0),
+  d_SK (SK),
+  d_D (D),
+  d_TABLE (TABLE),
+  d_TYPE (TYPE)//,
+  //d_trace(FSM.S()*K)
+{
+    set_relative_rate (1.0 / ((double) d_D));
+    set_output_multiple (d_K);
+}
+
+
+void trellis_viterbi_combined_ci::set_TABLE(const std::vector<gr_complex> &table) 
+{
+  d_TABLE = table;
+}
+
+void
+trellis_viterbi_combined_ci::forecast (int noutput_items, gr_vector_int &ninput_items_required)
+{
+  assert (noutput_items % d_K == 0);
+  int input_required =  d_D * noutput_items ;
+  unsigned ninputs = ninput_items_required.size();
+  for (unsigned int i = 0; i < ninputs; i++) {
+    ninput_items_required[i] = input_required;
+  }
+}
+
+
+
+
+void viterbi_algorithm_combined(int I, int S, int O, 
+             const std::vector<int> &NS,
+             const std::vector<int> &OS,
+             const std::vector< std::vector<int> > &PS,
+             const std::vector< std::vector<int> > &PI,
+             int K,
+             int S0,int SK,
+             int D,
+             const std::vector<gr_complex> &TABLE,
+             trellis_metric_type_t TYPE,
+             const gr_complex *in, int *out)//,
+             //std::vector<int> &trace) 
+{
+  std::vector<int> trace(S*K);
+  std::vector<float> alpha(S*2);
+  float *metric = new float[O];
+  int alphai;
+  float norm,mm,minm;
+  int minmi;
+  int st;
+
+  if(S0<0) { // initial state not specified
+      for(int i=0;i<S;i++) alpha[0*S+i]=0;
+  }
+  else {
+      for(int i=0;i<S;i++) alpha[0*S+i]=INF;
+      alpha[0*S+S0]=0.0;
+  }
+
+  alphai=0;
+  for(int k=0;k<K;k++) {
+      calc_metric(O, D, TABLE, &(in[k*D]), metric,TYPE); // calc metrics
+      norm=INF;
+      for(int j=0;j<S;j++) { // for each next state do ACS
+          minm=INF;
+          minmi=0;
+          for(unsigned int i=0;i<PS[j].size();i++) {
+              //int i0 = j*I+i;
+              if((mm=alpha[alphai*S+PS[j][i]]+metric[OS[PS[j][i]*I+PI[j][i]]])<minm)
+                  minm=mm,minmi=i;
+          }
+          trace[k*S+j]=minmi;
+          alpha[((alphai+1)%2)*S+j]=minm;
+          if(minm<norm) norm=minm;
+      }
+      for(int j=0;j<S;j++) 
+          alpha[((alphai+1)%2)*S+j]-=norm; // normalize total metrics so they do not explode
+      alphai=(alphai+1)%2;
+  }
+
+  if(SK<0) { // final state not specified
+      minm=INF;
+      minmi=0;
+      for(int i=0;i<S;i++)
+          if((mm=alpha[alphai*S+i])<minm) minm=mm,minmi=i;
+      st=minmi;
+  }
+  else {
+      st=SK;
+  }
+
+  for(int k=K-1;k>=0;k--) { // traceback
+      int i0=trace[k*S+st];
+      out[k]= (int) PI[st][i0];
+      st=PS[st][i0];
+  }
+  
+  delete [] metric;
+
+}
+
+
+
+
+
+
+int
+trellis_viterbi_combined_ci::general_work (int noutput_items,
+                        gr_vector_int &ninput_items,
+                        gr_vector_const_void_star &input_items,
+                        gr_vector_void_star &output_items)
+{
+  assert (input_items.size() == output_items.size());
+  int nstreams = input_items.size();
+  assert (noutput_items % d_K == 0);
+  int nblocks = noutput_items / d_K;
+
+  for (int m=0;m<nstreams;m++) {
+    const gr_complex *in = (const gr_complex *) input_items[m];
+    int *out = (int *) output_items[m];
+    for (int n=0;n<nblocks;n++) {
+      viterbi_algorithm_combined(d_FSM.I(),d_FSM.S(),d_FSM.O(),d_FSM.NS(),d_FSM.OS(),d_FSM.PS(),d_FSM.PI(),d_K,d_S0,d_SK,d_D,d_TABLE,d_TYPE,&(in[n*d_K*d_D]),&(out[n*d_K]));//,d_trace);
+    }
+  }
+
+  consume_each (d_D * noutput_items );
+  return noutput_items;
+}
diff --git a/gr-trellis/src/lib/trellis_viterbi_combined_ci.h b/gr-trellis/src/lib/trellis_viterbi_combined_ci.h
new file mode 100644 (file)
index 0000000..df7bc9b
--- /dev/null
@@ -0,0 +1,97 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_TRELLIS_VITERBI_COMBINED_CI_H
+#define INCLUDED_TRELLIS_VITERBI_COMBINED_CI_H
+
+#include "fsm.h"
+#include <gr_block.h>
+#include "trellis_calc_metric.h"
+
+class trellis_viterbi_combined_ci;
+typedef boost::shared_ptr<trellis_viterbi_combined_ci> trellis_viterbi_combined_ci_sptr;
+
+trellis_viterbi_combined_ci_sptr trellis_make_viterbi_combined_ci (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<gr_complex> &TABLE,
+    trellis_metric_type_t TYPE);
+
+
+/*!
+ *  \ingroup coding_blk
+ */
+class trellis_viterbi_combined_ci : public gr_block
+{
+  fsm d_FSM;
+  int d_K;
+  int d_S0;
+  int d_SK;
+  int d_D;
+  std::vector<gr_complex> d_TABLE;
+  trellis_metric_type_t d_TYPE;
+  //std::vector<int> d_trace;
+
+  friend trellis_viterbi_combined_ci_sptr trellis_make_viterbi_combined_ci (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<gr_complex> &TABLE,
+    trellis_metric_type_t TYPE);
+
+
+  trellis_viterbi_combined_ci (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<gr_complex> &TABLE,
+    trellis_metric_type_t TYPE);
+
+
+public:
+  fsm FSM () const { return d_FSM; }
+  int K () const { return d_K; }
+  int S0 () const { return d_S0; }
+  int SK () const { return d_SK; }
+  int D () const { return d_D; }
+  std::vector<gr_complex> TABLE () const { return d_TABLE; }
+  trellis_metric_type_t TYPE () const { return d_TYPE; }
+  //std::vector<int> trace () const { return d_trace; }
+  void set_TABLE (const std::vector<gr_complex> &table);
+  void forecast (int noutput_items,
+                 gr_vector_int &ninput_items_required);
+  int general_work (int noutput_items,
+                    gr_vector_int &ninput_items,
+                    gr_vector_const_void_star &input_items,
+                    gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gr-trellis/src/lib/trellis_viterbi_combined_ci.i b/gr-trellis/src/lib/trellis_viterbi_combined_ci.i
new file mode 100644 (file)
index 0000000..cc91268
--- /dev/null
@@ -0,0 +1,59 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ *
+ * This file is part of GNU Radio
+ *
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ *
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(trellis,viterbi_combined_ci);
+
+trellis_viterbi_combined_ci_sptr trellis_make_viterbi_combined_ci (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<gr_complex> &TABLE,
+    trellis_metric_type_t TYPE);
+
+
+class trellis_viterbi_combined_ci : public gr_block
+{
+private:
+  trellis_viterbi_combined_ci (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<gr_complex> &TABLE,
+    trellis_metric_type_t TYPE);
+
+public:
+    fsm FSM () const { return d_FSM; }
+    int K () const { return d_K; }
+    int S0 () const { return d_S0; }
+    int SK () const { return d_SK; }
+    int D () const { return d_D; }
+    std::vector<gr_complex> TABLE () const { return d_TABLE; }
+    trellis_metric_type_t TYPE () const { return d_TYPE; }
+    //std::vector<short> trace () const { return d_trace; }
+    void set_TABLE (const std::vector<gr_complex> &table);
+};
diff --git a/gr-trellis/src/lib/trellis_viterbi_combined_cs.cc b/gr-trellis/src/lib/trellis_viterbi_combined_cs.cc
new file mode 100644 (file)
index 0000000..f876bcc
--- /dev/null
@@ -0,0 +1,190 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <trellis_viterbi_combined_cs.h>
+#include <gr_io_signature.h>
+#include <assert.h>
+#include <iostream>
+  
+static const float INF = 1.0e9;
+
+trellis_viterbi_combined_cs_sptr 
+trellis_make_viterbi_combined_cs (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<gr_complex> &TABLE,
+    trellis_metric_type_t TYPE)
+{
+  return trellis_viterbi_combined_cs_sptr (new trellis_viterbi_combined_cs (FSM,K,S0,SK,D,TABLE,TYPE));
+}
+
+trellis_viterbi_combined_cs::trellis_viterbi_combined_cs (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<gr_complex> &TABLE,
+    trellis_metric_type_t TYPE)
+  : gr_block ("viterbi_combined_cs",
+                         gr_make_io_signature (1, -1, sizeof (gr_complex)),
+                         gr_make_io_signature (1, -1, sizeof (short))),  
+  d_FSM (FSM),
+  d_K (K),
+  d_S0 (S0),
+  d_SK (SK),
+  d_D (D),
+  d_TABLE (TABLE),
+  d_TYPE (TYPE)//,
+  //d_trace(FSM.S()*K)
+{
+    set_relative_rate (1.0 / ((double) d_D));
+    set_output_multiple (d_K);
+}
+
+
+void trellis_viterbi_combined_cs::set_TABLE(const std::vector<gr_complex> &table) 
+{
+  d_TABLE = table;
+}
+
+void
+trellis_viterbi_combined_cs::forecast (int noutput_items, gr_vector_int &ninput_items_required)
+{
+  assert (noutput_items % d_K == 0);
+  int input_required =  d_D * noutput_items ;
+  unsigned ninputs = ninput_items_required.size();
+  for (unsigned int i = 0; i < ninputs; i++) {
+    ninput_items_required[i] = input_required;
+  }
+}
+
+
+
+
+void viterbi_algorithm_combined(int I, int S, int O, 
+             const std::vector<int> &NS,
+             const std::vector<int> &OS,
+             const std::vector< std::vector<int> > &PS,
+             const std::vector< std::vector<int> > &PI,
+             int K,
+             int S0,int SK,
+             int D,
+             const std::vector<gr_complex> &TABLE,
+             trellis_metric_type_t TYPE,
+             const gr_complex *in, short *out)//,
+             //std::vector<int> &trace) 
+{
+  std::vector<int> trace(S*K);
+  std::vector<float> alpha(S*2);
+  float *metric = new float[O];
+  int alphai;
+  float norm,mm,minm;
+  int minmi;
+  int st;
+
+  if(S0<0) { // initial state not specified
+      for(int i=0;i<S;i++) alpha[0*S+i]=0;
+  }
+  else {
+      for(int i=0;i<S;i++) alpha[0*S+i]=INF;
+      alpha[0*S+S0]=0.0;
+  }
+
+  alphai=0;
+  for(int k=0;k<K;k++) {
+      calc_metric(O, D, TABLE, &(in[k*D]), metric,TYPE); // calc metrics
+      norm=INF;
+      for(int j=0;j<S;j++) { // for each next state do ACS
+          minm=INF;
+          minmi=0;
+          for(unsigned int i=0;i<PS[j].size();i++) {
+              //int i0 = j*I+i;
+              if((mm=alpha[alphai*S+PS[j][i]]+metric[OS[PS[j][i]*I+PI[j][i]]])<minm)
+                  minm=mm,minmi=i;
+          }
+          trace[k*S+j]=minmi;
+          alpha[((alphai+1)%2)*S+j]=minm;
+          if(minm<norm) norm=minm;
+      }
+      for(int j=0;j<S;j++) 
+          alpha[((alphai+1)%2)*S+j]-=norm; // normalize total metrics so they do not explode
+      alphai=(alphai+1)%2;
+  }
+
+  if(SK<0) { // final state not specified
+      minm=INF;
+      minmi=0;
+      for(int i=0;i<S;i++)
+          if((mm=alpha[alphai*S+i])<minm) minm=mm,minmi=i;
+      st=minmi;
+  }
+  else {
+      st=SK;
+  }
+
+  for(int k=K-1;k>=0;k--) { // traceback
+      int i0=trace[k*S+st];
+      out[k]= (short) PI[st][i0];
+      st=PS[st][i0];
+  }
+  
+  delete [] metric;
+
+}
+
+
+
+
+
+
+int
+trellis_viterbi_combined_cs::general_work (int noutput_items,
+                        gr_vector_int &ninput_items,
+                        gr_vector_const_void_star &input_items,
+                        gr_vector_void_star &output_items)
+{
+  assert (input_items.size() == output_items.size());
+  int nstreams = input_items.size();
+  assert (noutput_items % d_K == 0);
+  int nblocks = noutput_items / d_K;
+
+  for (int m=0;m<nstreams;m++) {
+    const gr_complex *in = (const gr_complex *) input_items[m];
+    short *out = (short *) output_items[m];
+    for (int n=0;n<nblocks;n++) {
+      viterbi_algorithm_combined(d_FSM.I(),d_FSM.S(),d_FSM.O(),d_FSM.NS(),d_FSM.OS(),d_FSM.PS(),d_FSM.PI(),d_K,d_S0,d_SK,d_D,d_TABLE,d_TYPE,&(in[n*d_K*d_D]),&(out[n*d_K]));//,d_trace);
+    }
+  }
+
+  consume_each (d_D * noutput_items );
+  return noutput_items;
+}
diff --git a/gr-trellis/src/lib/trellis_viterbi_combined_cs.h b/gr-trellis/src/lib/trellis_viterbi_combined_cs.h
new file mode 100644 (file)
index 0000000..563ab4a
--- /dev/null
@@ -0,0 +1,97 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_TRELLIS_VITERBI_COMBINED_CS_H
+#define INCLUDED_TRELLIS_VITERBI_COMBINED_CS_H
+
+#include "fsm.h"
+#include <gr_block.h>
+#include "trellis_calc_metric.h"
+
+class trellis_viterbi_combined_cs;
+typedef boost::shared_ptr<trellis_viterbi_combined_cs> trellis_viterbi_combined_cs_sptr;
+
+trellis_viterbi_combined_cs_sptr trellis_make_viterbi_combined_cs (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<gr_complex> &TABLE,
+    trellis_metric_type_t TYPE);
+
+
+/*!
+ *  \ingroup coding_blk
+ */
+class trellis_viterbi_combined_cs : public gr_block
+{
+  fsm d_FSM;
+  int d_K;
+  int d_S0;
+  int d_SK;
+  int d_D;
+  std::vector<gr_complex> d_TABLE;
+  trellis_metric_type_t d_TYPE;
+  //std::vector<int> d_trace;
+
+  friend trellis_viterbi_combined_cs_sptr trellis_make_viterbi_combined_cs (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<gr_complex> &TABLE,
+    trellis_metric_type_t TYPE);
+
+
+  trellis_viterbi_combined_cs (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<gr_complex> &TABLE,
+    trellis_metric_type_t TYPE);
+
+
+public:
+  fsm FSM () const { return d_FSM; }
+  int K () const { return d_K; }
+  int S0 () const { return d_S0; }
+  int SK () const { return d_SK; }
+  int D () const { return d_D; }
+  std::vector<gr_complex> TABLE () const { return d_TABLE; }
+  trellis_metric_type_t TYPE () const { return d_TYPE; }
+  //std::vector<int> trace () const { return d_trace; }
+  void set_TABLE (const std::vector<gr_complex> &table);
+  void forecast (int noutput_items,
+                 gr_vector_int &ninput_items_required);
+  int general_work (int noutput_items,
+                    gr_vector_int &ninput_items,
+                    gr_vector_const_void_star &input_items,
+                    gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gr-trellis/src/lib/trellis_viterbi_combined_cs.i b/gr-trellis/src/lib/trellis_viterbi_combined_cs.i
new file mode 100644 (file)
index 0000000..15c8c56
--- /dev/null
@@ -0,0 +1,59 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ *
+ * This file is part of GNU Radio
+ *
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ *
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(trellis,viterbi_combined_cs);
+
+trellis_viterbi_combined_cs_sptr trellis_make_viterbi_combined_cs (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<gr_complex> &TABLE,
+    trellis_metric_type_t TYPE);
+
+
+class trellis_viterbi_combined_cs : public gr_block
+{
+private:
+  trellis_viterbi_combined_cs (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<gr_complex> &TABLE,
+    trellis_metric_type_t TYPE);
+
+public:
+    fsm FSM () const { return d_FSM; }
+    int K () const { return d_K; }
+    int S0 () const { return d_S0; }
+    int SK () const { return d_SK; }
+    int D () const { return d_D; }
+    std::vector<gr_complex> TABLE () const { return d_TABLE; }
+    trellis_metric_type_t TYPE () const { return d_TYPE; }
+    //std::vector<short> trace () const { return d_trace; }
+    void set_TABLE (const std::vector<gr_complex> &table);
+};
diff --git a/gr-trellis/src/lib/trellis_viterbi_combined_fb.cc b/gr-trellis/src/lib/trellis_viterbi_combined_fb.cc
new file mode 100644 (file)
index 0000000..97827c3
--- /dev/null
@@ -0,0 +1,190 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <trellis_viterbi_combined_fb.h>
+#include <gr_io_signature.h>
+#include <assert.h>
+#include <iostream>
+  
+static const float INF = 1.0e9;
+
+trellis_viterbi_combined_fb_sptr 
+trellis_make_viterbi_combined_fb (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<float> &TABLE,
+    trellis_metric_type_t TYPE)
+{
+  return trellis_viterbi_combined_fb_sptr (new trellis_viterbi_combined_fb (FSM,K,S0,SK,D,TABLE,TYPE));
+}
+
+trellis_viterbi_combined_fb::trellis_viterbi_combined_fb (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<float> &TABLE,
+    trellis_metric_type_t TYPE)
+  : gr_block ("viterbi_combined_fb",
+                         gr_make_io_signature (1, -1, sizeof (float)),
+                         gr_make_io_signature (1, -1, sizeof (unsigned char))),  
+  d_FSM (FSM),
+  d_K (K),
+  d_S0 (S0),
+  d_SK (SK),
+  d_D (D),
+  d_TABLE (TABLE),
+  d_TYPE (TYPE)//,
+  //d_trace(FSM.S()*K)
+{
+    set_relative_rate (1.0 / ((double) d_D));
+    set_output_multiple (d_K);
+}
+
+
+void trellis_viterbi_combined_fb::set_TABLE(const std::vector<float> &table) 
+{
+  d_TABLE = table;
+}
+
+void
+trellis_viterbi_combined_fb::forecast (int noutput_items, gr_vector_int &ninput_items_required)
+{
+  assert (noutput_items % d_K == 0);
+  int input_required =  d_D * noutput_items ;
+  unsigned ninputs = ninput_items_required.size();
+  for (unsigned int i = 0; i < ninputs; i++) {
+    ninput_items_required[i] = input_required;
+  }
+}
+
+
+
+
+void viterbi_algorithm_combined(int I, int S, int O, 
+             const std::vector<int> &NS,
+             const std::vector<int> &OS,
+             const std::vector< std::vector<int> > &PS,
+             const std::vector< std::vector<int> > &PI,
+             int K,
+             int S0,int SK,
+             int D,
+             const std::vector<float> &TABLE,
+             trellis_metric_type_t TYPE,
+             const float *in, unsigned char *out)//,
+             //std::vector<int> &trace) 
+{
+  std::vector<int> trace(S*K);
+  std::vector<float> alpha(S*2);
+  float *metric = new float[O];
+  int alphai;
+  float norm,mm,minm;
+  int minmi;
+  int st;
+
+  if(S0<0) { // initial state not specified
+      for(int i=0;i<S;i++) alpha[0*S+i]=0;
+  }
+  else {
+      for(int i=0;i<S;i++) alpha[0*S+i]=INF;
+      alpha[0*S+S0]=0.0;
+  }
+
+  alphai=0;
+  for(int k=0;k<K;k++) {
+      calc_metric(O, D, TABLE, &(in[k*D]), metric,TYPE); // calc metrics
+      norm=INF;
+      for(int j=0;j<S;j++) { // for each next state do ACS
+          minm=INF;
+          minmi=0;
+          for(unsigned int i=0;i<PS[j].size();i++) {
+              //int i0 = j*I+i;
+              if((mm=alpha[alphai*S+PS[j][i]]+metric[OS[PS[j][i]*I+PI[j][i]]])<minm)
+                  minm=mm,minmi=i;
+          }
+          trace[k*S+j]=minmi;
+          alpha[((alphai+1)%2)*S+j]=minm;
+          if(minm<norm) norm=minm;
+      }
+      for(int j=0;j<S;j++) 
+          alpha[((alphai+1)%2)*S+j]-=norm; // normalize total metrics so they do not explode
+      alphai=(alphai+1)%2;
+  }
+
+  if(SK<0) { // final state not specified
+      minm=INF;
+      minmi=0;
+      for(int i=0;i<S;i++)
+          if((mm=alpha[alphai*S+i])<minm) minm=mm,minmi=i;
+      st=minmi;
+  }
+  else {
+      st=SK;
+  }
+
+  for(int k=K-1;k>=0;k--) { // traceback
+      int i0=trace[k*S+st];
+      out[k]= (unsigned char) PI[st][i0];
+      st=PS[st][i0];
+  }
+  
+  delete [] metric;
+
+}
+
+
+
+
+
+
+int
+trellis_viterbi_combined_fb::general_work (int noutput_items,
+                        gr_vector_int &ninput_items,
+                        gr_vector_const_void_star &input_items,
+                        gr_vector_void_star &output_items)
+{
+  assert (input_items.size() == output_items.size());
+  int nstreams = input_items.size();
+  assert (noutput_items % d_K == 0);
+  int nblocks = noutput_items / d_K;
+
+  for (int m=0;m<nstreams;m++) {
+    const float *in = (const float *) input_items[m];
+    unsigned char *out = (unsigned char *) output_items[m];
+    for (int n=0;n<nblocks;n++) {
+      viterbi_algorithm_combined(d_FSM.I(),d_FSM.S(),d_FSM.O(),d_FSM.NS(),d_FSM.OS(),d_FSM.PS(),d_FSM.PI(),d_K,d_S0,d_SK,d_D,d_TABLE,d_TYPE,&(in[n*d_K*d_D]),&(out[n*d_K]));//,d_trace);
+    }
+  }
+
+  consume_each (d_D * noutput_items );
+  return noutput_items;
+}
diff --git a/gr-trellis/src/lib/trellis_viterbi_combined_fb.h b/gr-trellis/src/lib/trellis_viterbi_combined_fb.h
new file mode 100644 (file)
index 0000000..4b5ea1e
--- /dev/null
@@ -0,0 +1,97 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_TRELLIS_VITERBI_COMBINED_FB_H
+#define INCLUDED_TRELLIS_VITERBI_COMBINED_FB_H
+
+#include "fsm.h"
+#include <gr_block.h>
+#include "trellis_calc_metric.h"
+
+class trellis_viterbi_combined_fb;
+typedef boost::shared_ptr<trellis_viterbi_combined_fb> trellis_viterbi_combined_fb_sptr;
+
+trellis_viterbi_combined_fb_sptr trellis_make_viterbi_combined_fb (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<float> &TABLE,
+    trellis_metric_type_t TYPE);
+
+
+/*!
+ *  \ingroup coding_blk
+ */
+class trellis_viterbi_combined_fb : public gr_block
+{
+  fsm d_FSM;
+  int d_K;
+  int d_S0;
+  int d_SK;
+  int d_D;
+  std::vector<float> d_TABLE;
+  trellis_metric_type_t d_TYPE;
+  //std::vector<int> d_trace;
+
+  friend trellis_viterbi_combined_fb_sptr trellis_make_viterbi_combined_fb (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<float> &TABLE,
+    trellis_metric_type_t TYPE);
+
+
+  trellis_viterbi_combined_fb (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<float> &TABLE,
+    trellis_metric_type_t TYPE);
+
+
+public:
+  fsm FSM () const { return d_FSM; }
+  int K () const { return d_K; }
+  int S0 () const { return d_S0; }
+  int SK () const { return d_SK; }
+  int D () const { return d_D; }
+  std::vector<float> TABLE () const { return d_TABLE; }
+  trellis_metric_type_t TYPE () const { return d_TYPE; }
+  //std::vector<int> trace () const { return d_trace; }
+  void set_TABLE (const std::vector<float> &table);
+  void forecast (int noutput_items,
+                 gr_vector_int &ninput_items_required);
+  int general_work (int noutput_items,
+                    gr_vector_int &ninput_items,
+                    gr_vector_const_void_star &input_items,
+                    gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gr-trellis/src/lib/trellis_viterbi_combined_fb.i b/gr-trellis/src/lib/trellis_viterbi_combined_fb.i
new file mode 100644 (file)
index 0000000..2a05761
--- /dev/null
@@ -0,0 +1,59 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ *
+ * This file is part of GNU Radio
+ *
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ *
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(trellis,viterbi_combined_fb);
+
+trellis_viterbi_combined_fb_sptr trellis_make_viterbi_combined_fb (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<float> &TABLE,
+    trellis_metric_type_t TYPE);
+
+
+class trellis_viterbi_combined_fb : public gr_block
+{
+private:
+  trellis_viterbi_combined_fb (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<float> &TABLE,
+    trellis_metric_type_t TYPE);
+
+public:
+    fsm FSM () const { return d_FSM; }
+    int K () const { return d_K; }
+    int S0 () const { return d_S0; }
+    int SK () const { return d_SK; }
+    int D () const { return d_D; }
+    std::vector<float> TABLE () const { return d_TABLE; }
+    trellis_metric_type_t TYPE () const { return d_TYPE; }
+    //std::vector<short> trace () const { return d_trace; }
+    void set_TABLE (const std::vector<float> &table);
+};
diff --git a/gr-trellis/src/lib/trellis_viterbi_combined_fi.cc b/gr-trellis/src/lib/trellis_viterbi_combined_fi.cc
new file mode 100644 (file)
index 0000000..0653fc2
--- /dev/null
@@ -0,0 +1,190 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <trellis_viterbi_combined_fi.h>
+#include <gr_io_signature.h>
+#include <assert.h>
+#include <iostream>
+  
+static const float INF = 1.0e9;
+
+trellis_viterbi_combined_fi_sptr 
+trellis_make_viterbi_combined_fi (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<float> &TABLE,
+    trellis_metric_type_t TYPE)
+{
+  return trellis_viterbi_combined_fi_sptr (new trellis_viterbi_combined_fi (FSM,K,S0,SK,D,TABLE,TYPE));
+}
+
+trellis_viterbi_combined_fi::trellis_viterbi_combined_fi (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<float> &TABLE,
+    trellis_metric_type_t TYPE)
+  : gr_block ("viterbi_combined_fi",
+                         gr_make_io_signature (1, -1, sizeof (float)),
+                         gr_make_io_signature (1, -1, sizeof (int))),  
+  d_FSM (FSM),
+  d_K (K),
+  d_S0 (S0),
+  d_SK (SK),
+  d_D (D),
+  d_TABLE (TABLE),
+  d_TYPE (TYPE)//,
+  //d_trace(FSM.S()*K)
+{
+    set_relative_rate (1.0 / ((double) d_D));
+    set_output_multiple (d_K);
+}
+
+
+void trellis_viterbi_combined_fi::set_TABLE(const std::vector<float> &table) 
+{
+  d_TABLE = table;
+}
+
+void
+trellis_viterbi_combined_fi::forecast (int noutput_items, gr_vector_int &ninput_items_required)
+{
+  assert (noutput_items % d_K == 0);
+  int input_required =  d_D * noutput_items ;
+  unsigned ninputs = ninput_items_required.size();
+  for (unsigned int i = 0; i < ninputs; i++) {
+    ninput_items_required[i] = input_required;
+  }
+}
+
+
+
+
+void viterbi_algorithm_combined(int I, int S, int O, 
+             const std::vector<int> &NS,
+             const std::vector<int> &OS,
+             const std::vector< std::vector<int> > &PS,
+             const std::vector< std::vector<int> > &PI,
+             int K,
+             int S0,int SK,
+             int D,
+             const std::vector<float> &TABLE,
+             trellis_metric_type_t TYPE,
+             const float *in, int *out)//,
+             //std::vector<int> &trace) 
+{
+  std::vector<int> trace(S*K);
+  std::vector<float> alpha(S*2);
+  float *metric = new float[O];
+  int alphai;
+  float norm,mm,minm;
+  int minmi;
+  int st;
+
+  if(S0<0) { // initial state not specified
+      for(int i=0;i<S;i++) alpha[0*S+i]=0;
+  }
+  else {
+      for(int i=0;i<S;i++) alpha[0*S+i]=INF;
+      alpha[0*S+S0]=0.0;
+  }
+
+  alphai=0;
+  for(int k=0;k<K;k++) {
+      calc_metric(O, D, TABLE, &(in[k*D]), metric,TYPE); // calc metrics
+      norm=INF;
+      for(int j=0;j<S;j++) { // for each next state do ACS
+          minm=INF;
+          minmi=0;
+          for(unsigned int i=0;i<PS[j].size();i++) {
+              //int i0 = j*I+i;
+              if((mm=alpha[alphai*S+PS[j][i]]+metric[OS[PS[j][i]*I+PI[j][i]]])<minm)
+                  minm=mm,minmi=i;
+          }
+          trace[k*S+j]=minmi;
+          alpha[((alphai+1)%2)*S+j]=minm;
+          if(minm<norm) norm=minm;
+      }
+      for(int j=0;j<S;j++) 
+          alpha[((alphai+1)%2)*S+j]-=norm; // normalize total metrics so they do not explode
+      alphai=(alphai+1)%2;
+  }
+
+  if(SK<0) { // final state not specified
+      minm=INF;
+      minmi=0;
+      for(int i=0;i<S;i++)
+          if((mm=alpha[alphai*S+i])<minm) minm=mm,minmi=i;
+      st=minmi;
+  }
+  else {
+      st=SK;
+  }
+
+  for(int k=K-1;k>=0;k--) { // traceback
+      int i0=trace[k*S+st];
+      out[k]= (int) PI[st][i0];
+      st=PS[st][i0];
+  }
+  
+  delete [] metric;
+
+}
+
+
+
+
+
+
+int
+trellis_viterbi_combined_fi::general_work (int noutput_items,
+                        gr_vector_int &ninput_items,
+                        gr_vector_const_void_star &input_items,
+                        gr_vector_void_star &output_items)
+{
+  assert (input_items.size() == output_items.size());
+  int nstreams = input_items.size();
+  assert (noutput_items % d_K == 0);
+  int nblocks = noutput_items / d_K;
+
+  for (int m=0;m<nstreams;m++) {
+    const float *in = (const float *) input_items[m];
+    int *out = (int *) output_items[m];
+    for (int n=0;n<nblocks;n++) {
+      viterbi_algorithm_combined(d_FSM.I(),d_FSM.S(),d_FSM.O(),d_FSM.NS(),d_FSM.OS(),d_FSM.PS(),d_FSM.PI(),d_K,d_S0,d_SK,d_D,d_TABLE,d_TYPE,&(in[n*d_K*d_D]),&(out[n*d_K]));//,d_trace);
+    }
+  }
+
+  consume_each (d_D * noutput_items );
+  return noutput_items;
+}
diff --git a/gr-trellis/src/lib/trellis_viterbi_combined_fi.h b/gr-trellis/src/lib/trellis_viterbi_combined_fi.h
new file mode 100644 (file)
index 0000000..991ab2b
--- /dev/null
@@ -0,0 +1,97 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_TRELLIS_VITERBI_COMBINED_FI_H
+#define INCLUDED_TRELLIS_VITERBI_COMBINED_FI_H
+
+#include "fsm.h"
+#include <gr_block.h>
+#include "trellis_calc_metric.h"
+
+class trellis_viterbi_combined_fi;
+typedef boost::shared_ptr<trellis_viterbi_combined_fi> trellis_viterbi_combined_fi_sptr;
+
+trellis_viterbi_combined_fi_sptr trellis_make_viterbi_combined_fi (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<float> &TABLE,
+    trellis_metric_type_t TYPE);
+
+
+/*!
+ *  \ingroup coding_blk
+ */
+class trellis_viterbi_combined_fi : public gr_block
+{
+  fsm d_FSM;
+  int d_K;
+  int d_S0;
+  int d_SK;
+  int d_D;
+  std::vector<float> d_TABLE;
+  trellis_metric_type_t d_TYPE;
+  //std::vector<int> d_trace;
+
+  friend trellis_viterbi_combined_fi_sptr trellis_make_viterbi_combined_fi (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<float> &TABLE,
+    trellis_metric_type_t TYPE);
+
+
+  trellis_viterbi_combined_fi (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<float> &TABLE,
+    trellis_metric_type_t TYPE);
+
+
+public:
+  fsm FSM () const { return d_FSM; }
+  int K () const { return d_K; }
+  int S0 () const { return d_S0; }
+  int SK () const { return d_SK; }
+  int D () const { return d_D; }
+  std::vector<float> TABLE () const { return d_TABLE; }
+  trellis_metric_type_t TYPE () const { return d_TYPE; }
+  //std::vector<int> trace () const { return d_trace; }
+  void set_TABLE (const std::vector<float> &table);
+  void forecast (int noutput_items,
+                 gr_vector_int &ninput_items_required);
+  int general_work (int noutput_items,
+                    gr_vector_int &ninput_items,
+                    gr_vector_const_void_star &input_items,
+                    gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gr-trellis/src/lib/trellis_viterbi_combined_fi.i b/gr-trellis/src/lib/trellis_viterbi_combined_fi.i
new file mode 100644 (file)
index 0000000..f0576e7
--- /dev/null
@@ -0,0 +1,59 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ *
+ * This file is part of GNU Radio
+ *
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ *
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(trellis,viterbi_combined_fi);
+
+trellis_viterbi_combined_fi_sptr trellis_make_viterbi_combined_fi (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<float> &TABLE,
+    trellis_metric_type_t TYPE);
+
+
+class trellis_viterbi_combined_fi : public gr_block
+{
+private:
+  trellis_viterbi_combined_fi (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<float> &TABLE,
+    trellis_metric_type_t TYPE);
+
+public:
+    fsm FSM () const { return d_FSM; }
+    int K () const { return d_K; }
+    int S0 () const { return d_S0; }
+    int SK () const { return d_SK; }
+    int D () const { return d_D; }
+    std::vector<float> TABLE () const { return d_TABLE; }
+    trellis_metric_type_t TYPE () const { return d_TYPE; }
+    //std::vector<short> trace () const { return d_trace; }
+    void set_TABLE (const std::vector<float> &table);
+};
diff --git a/gr-trellis/src/lib/trellis_viterbi_combined_fs.cc b/gr-trellis/src/lib/trellis_viterbi_combined_fs.cc
new file mode 100644 (file)
index 0000000..7f17bb9
--- /dev/null
@@ -0,0 +1,190 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <trellis_viterbi_combined_fs.h>
+#include <gr_io_signature.h>
+#include <assert.h>
+#include <iostream>
+  
+static const float INF = 1.0e9;
+
+trellis_viterbi_combined_fs_sptr 
+trellis_make_viterbi_combined_fs (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<float> &TABLE,
+    trellis_metric_type_t TYPE)
+{
+  return trellis_viterbi_combined_fs_sptr (new trellis_viterbi_combined_fs (FSM,K,S0,SK,D,TABLE,TYPE));
+}
+
+trellis_viterbi_combined_fs::trellis_viterbi_combined_fs (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<float> &TABLE,
+    trellis_metric_type_t TYPE)
+  : gr_block ("viterbi_combined_fs",
+                         gr_make_io_signature (1, -1, sizeof (float)),
+                         gr_make_io_signature (1, -1, sizeof (short))),  
+  d_FSM (FSM),
+  d_K (K),
+  d_S0 (S0),
+  d_SK (SK),
+  d_D (D),
+  d_TABLE (TABLE),
+  d_TYPE (TYPE)//,
+  //d_trace(FSM.S()*K)
+{
+    set_relative_rate (1.0 / ((double) d_D));
+    set_output_multiple (d_K);
+}
+
+
+void trellis_viterbi_combined_fs::set_TABLE(const std::vector<float> &table) 
+{
+  d_TABLE = table;
+}
+
+void
+trellis_viterbi_combined_fs::forecast (int noutput_items, gr_vector_int &ninput_items_required)
+{
+  assert (noutput_items % d_K == 0);
+  int input_required =  d_D * noutput_items ;
+  unsigned ninputs = ninput_items_required.size();
+  for (unsigned int i = 0; i < ninputs; i++) {
+    ninput_items_required[i] = input_required;
+  }
+}
+
+
+
+
+void viterbi_algorithm_combined(int I, int S, int O, 
+             const std::vector<int> &NS,
+             const std::vector<int> &OS,
+             const std::vector< std::vector<int> > &PS,
+             const std::vector< std::vector<int> > &PI,
+             int K,
+             int S0,int SK,
+             int D,
+             const std::vector<float> &TABLE,
+             trellis_metric_type_t TYPE,
+             const float *in, short *out)//,
+             //std::vector<int> &trace) 
+{
+  std::vector<int> trace(S*K);
+  std::vector<float> alpha(S*2);
+  float *metric = new float[O];
+  int alphai;
+  float norm,mm,minm;
+  int minmi;
+  int st;
+
+  if(S0<0) { // initial state not specified
+      for(int i=0;i<S;i++) alpha[0*S+i]=0;
+  }
+  else {
+      for(int i=0;i<S;i++) alpha[0*S+i]=INF;
+      alpha[0*S+S0]=0.0;
+  }
+
+  alphai=0;
+  for(int k=0;k<K;k++) {
+      calc_metric(O, D, TABLE, &(in[k*D]), metric,TYPE); // calc metrics
+      norm=INF;
+      for(int j=0;j<S;j++) { // for each next state do ACS
+          minm=INF;
+          minmi=0;
+          for(unsigned int i=0;i<PS[j].size();i++) {
+              //int i0 = j*I+i;
+              if((mm=alpha[alphai*S+PS[j][i]]+metric[OS[PS[j][i]*I+PI[j][i]]])<minm)
+                  minm=mm,minmi=i;
+          }
+          trace[k*S+j]=minmi;
+          alpha[((alphai+1)%2)*S+j]=minm;
+          if(minm<norm) norm=minm;
+      }
+      for(int j=0;j<S;j++) 
+          alpha[((alphai+1)%2)*S+j]-=norm; // normalize total metrics so they do not explode
+      alphai=(alphai+1)%2;
+  }
+
+  if(SK<0) { // final state not specified
+      minm=INF;
+      minmi=0;
+      for(int i=0;i<S;i++)
+          if((mm=alpha[alphai*S+i])<minm) minm=mm,minmi=i;
+      st=minmi;
+  }
+  else {
+      st=SK;
+  }
+
+  for(int k=K-1;k>=0;k--) { // traceback
+      int i0=trace[k*S+st];
+      out[k]= (short) PI[st][i0];
+      st=PS[st][i0];
+  }
+  
+  delete [] metric;
+
+}
+
+
+
+
+
+
+int
+trellis_viterbi_combined_fs::general_work (int noutput_items,
+                        gr_vector_int &ninput_items,
+                        gr_vector_const_void_star &input_items,
+                        gr_vector_void_star &output_items)
+{
+  assert (input_items.size() == output_items.size());
+  int nstreams = input_items.size();
+  assert (noutput_items % d_K == 0);
+  int nblocks = noutput_items / d_K;
+
+  for (int m=0;m<nstreams;m++) {
+    const float *in = (const float *) input_items[m];
+    short *out = (short *) output_items[m];
+    for (int n=0;n<nblocks;n++) {
+      viterbi_algorithm_combined(d_FSM.I(),d_FSM.S(),d_FSM.O(),d_FSM.NS(),d_FSM.OS(),d_FSM.PS(),d_FSM.PI(),d_K,d_S0,d_SK,d_D,d_TABLE,d_TYPE,&(in[n*d_K*d_D]),&(out[n*d_K]));//,d_trace);
+    }
+  }
+
+  consume_each (d_D * noutput_items );
+  return noutput_items;
+}
diff --git a/gr-trellis/src/lib/trellis_viterbi_combined_fs.h b/gr-trellis/src/lib/trellis_viterbi_combined_fs.h
new file mode 100644 (file)
index 0000000..dfe4c37
--- /dev/null
@@ -0,0 +1,97 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_TRELLIS_VITERBI_COMBINED_FS_H
+#define INCLUDED_TRELLIS_VITERBI_COMBINED_FS_H
+
+#include "fsm.h"
+#include <gr_block.h>
+#include "trellis_calc_metric.h"
+
+class trellis_viterbi_combined_fs;
+typedef boost::shared_ptr<trellis_viterbi_combined_fs> trellis_viterbi_combined_fs_sptr;
+
+trellis_viterbi_combined_fs_sptr trellis_make_viterbi_combined_fs (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<float> &TABLE,
+    trellis_metric_type_t TYPE);
+
+
+/*!
+ *  \ingroup coding_blk
+ */
+class trellis_viterbi_combined_fs : public gr_block
+{
+  fsm d_FSM;
+  int d_K;
+  int d_S0;
+  int d_SK;
+  int d_D;
+  std::vector<float> d_TABLE;
+  trellis_metric_type_t d_TYPE;
+  //std::vector<int> d_trace;
+
+  friend trellis_viterbi_combined_fs_sptr trellis_make_viterbi_combined_fs (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<float> &TABLE,
+    trellis_metric_type_t TYPE);
+
+
+  trellis_viterbi_combined_fs (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<float> &TABLE,
+    trellis_metric_type_t TYPE);
+
+
+public:
+  fsm FSM () const { return d_FSM; }
+  int K () const { return d_K; }
+  int S0 () const { return d_S0; }
+  int SK () const { return d_SK; }
+  int D () const { return d_D; }
+  std::vector<float> TABLE () const { return d_TABLE; }
+  trellis_metric_type_t TYPE () const { return d_TYPE; }
+  //std::vector<int> trace () const { return d_trace; }
+  void set_TABLE (const std::vector<float> &table);
+  void forecast (int noutput_items,
+                 gr_vector_int &ninput_items_required);
+  int general_work (int noutput_items,
+                    gr_vector_int &ninput_items,
+                    gr_vector_const_void_star &input_items,
+                    gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gr-trellis/src/lib/trellis_viterbi_combined_fs.i b/gr-trellis/src/lib/trellis_viterbi_combined_fs.i
new file mode 100644 (file)
index 0000000..3745278
--- /dev/null
@@ -0,0 +1,59 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ *
+ * This file is part of GNU Radio
+ *
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ *
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(trellis,viterbi_combined_fs);
+
+trellis_viterbi_combined_fs_sptr trellis_make_viterbi_combined_fs (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<float> &TABLE,
+    trellis_metric_type_t TYPE);
+
+
+class trellis_viterbi_combined_fs : public gr_block
+{
+private:
+  trellis_viterbi_combined_fs (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<float> &TABLE,
+    trellis_metric_type_t TYPE);
+
+public:
+    fsm FSM () const { return d_FSM; }
+    int K () const { return d_K; }
+    int S0 () const { return d_S0; }
+    int SK () const { return d_SK; }
+    int D () const { return d_D; }
+    std::vector<float> TABLE () const { return d_TABLE; }
+    trellis_metric_type_t TYPE () const { return d_TYPE; }
+    //std::vector<short> trace () const { return d_trace; }
+    void set_TABLE (const std::vector<float> &table);
+};
diff --git a/gr-trellis/src/lib/trellis_viterbi_combined_ib.cc b/gr-trellis/src/lib/trellis_viterbi_combined_ib.cc
new file mode 100644 (file)
index 0000000..2e9e347
--- /dev/null
@@ -0,0 +1,190 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <trellis_viterbi_combined_ib.h>
+#include <gr_io_signature.h>
+#include <assert.h>
+#include <iostream>
+  
+static const float INF = 1.0e9;
+
+trellis_viterbi_combined_ib_sptr 
+trellis_make_viterbi_combined_ib (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<int> &TABLE,
+    trellis_metric_type_t TYPE)
+{
+  return trellis_viterbi_combined_ib_sptr (new trellis_viterbi_combined_ib (FSM,K,S0,SK,D,TABLE,TYPE));
+}
+
+trellis_viterbi_combined_ib::trellis_viterbi_combined_ib (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<int> &TABLE,
+    trellis_metric_type_t TYPE)
+  : gr_block ("viterbi_combined_ib",
+                         gr_make_io_signature (1, -1, sizeof (int)),
+                         gr_make_io_signature (1, -1, sizeof (unsigned char))),  
+  d_FSM (FSM),
+  d_K (K),
+  d_S0 (S0),
+  d_SK (SK),
+  d_D (D),
+  d_TABLE (TABLE),
+  d_TYPE (TYPE)//,
+  //d_trace(FSM.S()*K)
+{
+    set_relative_rate (1.0 / ((double) d_D));
+    set_output_multiple (d_K);
+}
+
+
+void trellis_viterbi_combined_ib::set_TABLE(const std::vector<int> &table) 
+{
+  d_TABLE = table;
+}
+
+void
+trellis_viterbi_combined_ib::forecast (int noutput_items, gr_vector_int &ninput_items_required)
+{
+  assert (noutput_items % d_K == 0);
+  int input_required =  d_D * noutput_items ;
+  unsigned ninputs = ninput_items_required.size();
+  for (unsigned int i = 0; i < ninputs; i++) {
+    ninput_items_required[i] = input_required;
+  }
+}
+
+
+
+
+void viterbi_algorithm_combined(int I, int S, int O, 
+             const std::vector<int> &NS,
+             const std::vector<int> &OS,
+             const std::vector< std::vector<int> > &PS,
+             const std::vector< std::vector<int> > &PI,
+             int K,
+             int S0,int SK,
+             int D,
+             const std::vector<int> &TABLE,
+             trellis_metric_type_t TYPE,
+             const int *in, unsigned char *out)//,
+             //std::vector<int> &trace) 
+{
+  std::vector<int> trace(S*K);
+  std::vector<float> alpha(S*2);
+  float *metric = new float[O];
+  int alphai;
+  float norm,mm,minm;
+  int minmi;
+  int st;
+
+  if(S0<0) { // initial state not specified
+      for(int i=0;i<S;i++) alpha[0*S+i]=0;
+  }
+  else {
+      for(int i=0;i<S;i++) alpha[0*S+i]=INF;
+      alpha[0*S+S0]=0.0;
+  }
+
+  alphai=0;
+  for(int k=0;k<K;k++) {
+      calc_metric(O, D, TABLE, &(in[k*D]), metric,TYPE); // calc metrics
+      norm=INF;
+      for(int j=0;j<S;j++) { // for each next state do ACS
+          minm=INF;
+          minmi=0;
+          for(unsigned int i=0;i<PS[j].size();i++) {
+              //int i0 = j*I+i;
+              if((mm=alpha[alphai*S+PS[j][i]]+metric[OS[PS[j][i]*I+PI[j][i]]])<minm)
+                  minm=mm,minmi=i;
+          }
+          trace[k*S+j]=minmi;
+          alpha[((alphai+1)%2)*S+j]=minm;
+          if(minm<norm) norm=minm;
+      }
+      for(int j=0;j<S;j++) 
+          alpha[((alphai+1)%2)*S+j]-=norm; // normalize total metrics so they do not explode
+      alphai=(alphai+1)%2;
+  }
+
+  if(SK<0) { // final state not specified
+      minm=INF;
+      minmi=0;
+      for(int i=0;i<S;i++)
+          if((mm=alpha[alphai*S+i])<minm) minm=mm,minmi=i;
+      st=minmi;
+  }
+  else {
+      st=SK;
+  }
+
+  for(int k=K-1;k>=0;k--) { // traceback
+      int i0=trace[k*S+st];
+      out[k]= (unsigned char) PI[st][i0];
+      st=PS[st][i0];
+  }
+  
+  delete [] metric;
+
+}
+
+
+
+
+
+
+int
+trellis_viterbi_combined_ib::general_work (int noutput_items,
+                        gr_vector_int &ninput_items,
+                        gr_vector_const_void_star &input_items,
+                        gr_vector_void_star &output_items)
+{
+  assert (input_items.size() == output_items.size());
+  int nstreams = input_items.size();
+  assert (noutput_items % d_K == 0);
+  int nblocks = noutput_items / d_K;
+
+  for (int m=0;m<nstreams;m++) {
+    const int *in = (const int *) input_items[m];
+    unsigned char *out = (unsigned char *) output_items[m];
+    for (int n=0;n<nblocks;n++) {
+      viterbi_algorithm_combined(d_FSM.I(),d_FSM.S(),d_FSM.O(),d_FSM.NS(),d_FSM.OS(),d_FSM.PS(),d_FSM.PI(),d_K,d_S0,d_SK,d_D,d_TABLE,d_TYPE,&(in[n*d_K*d_D]),&(out[n*d_K]));//,d_trace);
+    }
+  }
+
+  consume_each (d_D * noutput_items );
+  return noutput_items;
+}
diff --git a/gr-trellis/src/lib/trellis_viterbi_combined_ib.h b/gr-trellis/src/lib/trellis_viterbi_combined_ib.h
new file mode 100644 (file)
index 0000000..ca814e8
--- /dev/null
@@ -0,0 +1,97 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_TRELLIS_VITERBI_COMBINED_IB_H
+#define INCLUDED_TRELLIS_VITERBI_COMBINED_IB_H
+
+#include "fsm.h"
+#include <gr_block.h>
+#include "trellis_calc_metric.h"
+
+class trellis_viterbi_combined_ib;
+typedef boost::shared_ptr<trellis_viterbi_combined_ib> trellis_viterbi_combined_ib_sptr;
+
+trellis_viterbi_combined_ib_sptr trellis_make_viterbi_combined_ib (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<int> &TABLE,
+    trellis_metric_type_t TYPE);
+
+
+/*!
+ *  \ingroup coding_blk
+ */
+class trellis_viterbi_combined_ib : public gr_block
+{
+  fsm d_FSM;
+  int d_K;
+  int d_S0;
+  int d_SK;
+  int d_D;
+  std::vector<int> d_TABLE;
+  trellis_metric_type_t d_TYPE;
+  //std::vector<int> d_trace;
+
+  friend trellis_viterbi_combined_ib_sptr trellis_make_viterbi_combined_ib (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<int> &TABLE,
+    trellis_metric_type_t TYPE);
+
+
+  trellis_viterbi_combined_ib (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<int> &TABLE,
+    trellis_metric_type_t TYPE);
+
+
+public:
+  fsm FSM () const { return d_FSM; }
+  int K () const { return d_K; }
+  int S0 () const { return d_S0; }
+  int SK () const { return d_SK; }
+  int D () const { return d_D; }
+  std::vector<int> TABLE () const { return d_TABLE; }
+  trellis_metric_type_t TYPE () const { return d_TYPE; }
+  //std::vector<int> trace () const { return d_trace; }
+  void set_TABLE (const std::vector<int> &table);
+  void forecast (int noutput_items,
+                 gr_vector_int &ninput_items_required);
+  int general_work (int noutput_items,
+                    gr_vector_int &ninput_items,
+                    gr_vector_const_void_star &input_items,
+                    gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gr-trellis/src/lib/trellis_viterbi_combined_ib.i b/gr-trellis/src/lib/trellis_viterbi_combined_ib.i
new file mode 100644 (file)
index 0000000..2e26f2f
--- /dev/null
@@ -0,0 +1,59 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ *
+ * This file is part of GNU Radio
+ *
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ *
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(trellis,viterbi_combined_ib);
+
+trellis_viterbi_combined_ib_sptr trellis_make_viterbi_combined_ib (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<int> &TABLE,
+    trellis_metric_type_t TYPE);
+
+
+class trellis_viterbi_combined_ib : public gr_block
+{
+private:
+  trellis_viterbi_combined_ib (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<int> &TABLE,
+    trellis_metric_type_t TYPE);
+
+public:
+    fsm FSM () const { return d_FSM; }
+    int K () const { return d_K; }
+    int S0 () const { return d_S0; }
+    int SK () const { return d_SK; }
+    int D () const { return d_D; }
+    std::vector<int> TABLE () const { return d_TABLE; }
+    trellis_metric_type_t TYPE () const { return d_TYPE; }
+    //std::vector<short> trace () const { return d_trace; }
+    void set_TABLE (const std::vector<int> &table);
+};
diff --git a/gr-trellis/src/lib/trellis_viterbi_combined_ii.cc b/gr-trellis/src/lib/trellis_viterbi_combined_ii.cc
new file mode 100644 (file)
index 0000000..0a18245
--- /dev/null
@@ -0,0 +1,190 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <trellis_viterbi_combined_ii.h>
+#include <gr_io_signature.h>
+#include <assert.h>
+#include <iostream>
+  
+static const float INF = 1.0e9;
+
+trellis_viterbi_combined_ii_sptr 
+trellis_make_viterbi_combined_ii (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<int> &TABLE,
+    trellis_metric_type_t TYPE)
+{
+  return trellis_viterbi_combined_ii_sptr (new trellis_viterbi_combined_ii (FSM,K,S0,SK,D,TABLE,TYPE));
+}
+
+trellis_viterbi_combined_ii::trellis_viterbi_combined_ii (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<int> &TABLE,
+    trellis_metric_type_t TYPE)
+  : gr_block ("viterbi_combined_ii",
+                         gr_make_io_signature (1, -1, sizeof (int)),
+                         gr_make_io_signature (1, -1, sizeof (int))),  
+  d_FSM (FSM),
+  d_K (K),
+  d_S0 (S0),
+  d_SK (SK),
+  d_D (D),
+  d_TABLE (TABLE),
+  d_TYPE (TYPE)//,
+  //d_trace(FSM.S()*K)
+{
+    set_relative_rate (1.0 / ((double) d_D));
+    set_output_multiple (d_K);
+}
+
+
+void trellis_viterbi_combined_ii::set_TABLE(const std::vector<int> &table) 
+{
+  d_TABLE = table;
+}
+
+void
+trellis_viterbi_combined_ii::forecast (int noutput_items, gr_vector_int &ninput_items_required)
+{
+  assert (noutput_items % d_K == 0);
+  int input_required =  d_D * noutput_items ;
+  unsigned ninputs = ninput_items_required.size();
+  for (unsigned int i = 0; i < ninputs; i++) {
+    ninput_items_required[i] = input_required;
+  }
+}
+
+
+
+
+void viterbi_algorithm_combined(int I, int S, int O, 
+             const std::vector<int> &NS,
+             const std::vector<int> &OS,
+             const std::vector< std::vector<int> > &PS,
+             const std::vector< std::vector<int> > &PI,
+             int K,
+             int S0,int SK,
+             int D,
+             const std::vector<int> &TABLE,
+             trellis_metric_type_t TYPE,
+             const int *in, int *out)//,
+             //std::vector<int> &trace) 
+{
+  std::vector<int> trace(S*K);
+  std::vector<float> alpha(S*2);
+  float *metric = new float[O];
+  int alphai;
+  float norm,mm,minm;
+  int minmi;
+  int st;
+
+  if(S0<0) { // initial state not specified
+      for(int i=0;i<S;i++) alpha[0*S+i]=0;
+  }
+  else {
+      for(int i=0;i<S;i++) alpha[0*S+i]=INF;
+      alpha[0*S+S0]=0.0;
+  }
+
+  alphai=0;
+  for(int k=0;k<K;k++) {
+      calc_metric(O, D, TABLE, &(in[k*D]), metric,TYPE); // calc metrics
+      norm=INF;
+      for(int j=0;j<S;j++) { // for each next state do ACS
+          minm=INF;
+          minmi=0;
+          for(unsigned int i=0;i<PS[j].size();i++) {
+              //int i0 = j*I+i;
+              if((mm=alpha[alphai*S+PS[j][i]]+metric[OS[PS[j][i]*I+PI[j][i]]])<minm)
+                  minm=mm,minmi=i;
+          }
+          trace[k*S+j]=minmi;
+          alpha[((alphai+1)%2)*S+j]=minm;
+          if(minm<norm) norm=minm;
+      }
+      for(int j=0;j<S;j++) 
+          alpha[((alphai+1)%2)*S+j]-=norm; // normalize total metrics so they do not explode
+      alphai=(alphai+1)%2;
+  }
+
+  if(SK<0) { // final state not specified
+      minm=INF;
+      minmi=0;
+      for(int i=0;i<S;i++)
+          if((mm=alpha[alphai*S+i])<minm) minm=mm,minmi=i;
+      st=minmi;
+  }
+  else {
+      st=SK;
+  }
+
+  for(int k=K-1;k>=0;k--) { // traceback
+      int i0=trace[k*S+st];
+      out[k]= (int) PI[st][i0];
+      st=PS[st][i0];
+  }
+  
+  delete [] metric;
+
+}
+
+
+
+
+
+
+int
+trellis_viterbi_combined_ii::general_work (int noutput_items,
+                        gr_vector_int &ninput_items,
+                        gr_vector_const_void_star &input_items,
+                        gr_vector_void_star &output_items)
+{
+  assert (input_items.size() == output_items.size());
+  int nstreams = input_items.size();
+  assert (noutput_items % d_K == 0);
+  int nblocks = noutput_items / d_K;
+
+  for (int m=0;m<nstreams;m++) {
+    const int *in = (const int *) input_items[m];
+    int *out = (int *) output_items[m];
+    for (int n=0;n<nblocks;n++) {
+      viterbi_algorithm_combined(d_FSM.I(),d_FSM.S(),d_FSM.O(),d_FSM.NS(),d_FSM.OS(),d_FSM.PS(),d_FSM.PI(),d_K,d_S0,d_SK,d_D,d_TABLE,d_TYPE,&(in[n*d_K*d_D]),&(out[n*d_K]));//,d_trace);
+    }
+  }
+
+  consume_each (d_D * noutput_items );
+  return noutput_items;
+}
diff --git a/gr-trellis/src/lib/trellis_viterbi_combined_ii.h b/gr-trellis/src/lib/trellis_viterbi_combined_ii.h
new file mode 100644 (file)
index 0000000..78e66fd
--- /dev/null
@@ -0,0 +1,97 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_TRELLIS_VITERBI_COMBINED_II_H
+#define INCLUDED_TRELLIS_VITERBI_COMBINED_II_H
+
+#include "fsm.h"
+#include <gr_block.h>
+#include "trellis_calc_metric.h"
+
+class trellis_viterbi_combined_ii;
+typedef boost::shared_ptr<trellis_viterbi_combined_ii> trellis_viterbi_combined_ii_sptr;
+
+trellis_viterbi_combined_ii_sptr trellis_make_viterbi_combined_ii (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<int> &TABLE,
+    trellis_metric_type_t TYPE);
+
+
+/*!
+ *  \ingroup coding_blk
+ */
+class trellis_viterbi_combined_ii : public gr_block
+{
+  fsm d_FSM;
+  int d_K;
+  int d_S0;
+  int d_SK;
+  int d_D;
+  std::vector<int> d_TABLE;
+  trellis_metric_type_t d_TYPE;
+  //std::vector<int> d_trace;
+
+  friend trellis_viterbi_combined_ii_sptr trellis_make_viterbi_combined_ii (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<int> &TABLE,
+    trellis_metric_type_t TYPE);
+
+
+  trellis_viterbi_combined_ii (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<int> &TABLE,
+    trellis_metric_type_t TYPE);
+
+
+public:
+  fsm FSM () const { return d_FSM; }
+  int K () const { return d_K; }
+  int S0 () const { return d_S0; }
+  int SK () const { return d_SK; }
+  int D () const { return d_D; }
+  std::vector<int> TABLE () const { return d_TABLE; }
+  trellis_metric_type_t TYPE () const { return d_TYPE; }
+  //std::vector<int> trace () const { return d_trace; }
+  void set_TABLE (const std::vector<int> &table);
+  void forecast (int noutput_items,
+                 gr_vector_int &ninput_items_required);
+  int general_work (int noutput_items,
+                    gr_vector_int &ninput_items,
+                    gr_vector_const_void_star &input_items,
+                    gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gr-trellis/src/lib/trellis_viterbi_combined_ii.i b/gr-trellis/src/lib/trellis_viterbi_combined_ii.i
new file mode 100644 (file)
index 0000000..3a0f42b
--- /dev/null
@@ -0,0 +1,59 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ *
+ * This file is part of GNU Radio
+ *
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ *
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(trellis,viterbi_combined_ii);
+
+trellis_viterbi_combined_ii_sptr trellis_make_viterbi_combined_ii (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<int> &TABLE,
+    trellis_metric_type_t TYPE);
+
+
+class trellis_viterbi_combined_ii : public gr_block
+{
+private:
+  trellis_viterbi_combined_ii (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<int> &TABLE,
+    trellis_metric_type_t TYPE);
+
+public:
+    fsm FSM () const { return d_FSM; }
+    int K () const { return d_K; }
+    int S0 () const { return d_S0; }
+    int SK () const { return d_SK; }
+    int D () const { return d_D; }
+    std::vector<int> TABLE () const { return d_TABLE; }
+    trellis_metric_type_t TYPE () const { return d_TYPE; }
+    //std::vector<short> trace () const { return d_trace; }
+    void set_TABLE (const std::vector<int> &table);
+};
diff --git a/gr-trellis/src/lib/trellis_viterbi_combined_is.cc b/gr-trellis/src/lib/trellis_viterbi_combined_is.cc
new file mode 100644 (file)
index 0000000..dd9775b
--- /dev/null
@@ -0,0 +1,190 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <trellis_viterbi_combined_is.h>
+#include <gr_io_signature.h>
+#include <assert.h>
+#include <iostream>
+  
+static const float INF = 1.0e9;
+
+trellis_viterbi_combined_is_sptr 
+trellis_make_viterbi_combined_is (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<int> &TABLE,
+    trellis_metric_type_t TYPE)
+{
+  return trellis_viterbi_combined_is_sptr (new trellis_viterbi_combined_is (FSM,K,S0,SK,D,TABLE,TYPE));
+}
+
+trellis_viterbi_combined_is::trellis_viterbi_combined_is (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<int> &TABLE,
+    trellis_metric_type_t TYPE)
+  : gr_block ("viterbi_combined_is",
+                         gr_make_io_signature (1, -1, sizeof (int)),
+                         gr_make_io_signature (1, -1, sizeof (short))),  
+  d_FSM (FSM),
+  d_K (K),
+  d_S0 (S0),
+  d_SK (SK),
+  d_D (D),
+  d_TABLE (TABLE),
+  d_TYPE (TYPE)//,
+  //d_trace(FSM.S()*K)
+{
+    set_relative_rate (1.0 / ((double) d_D));
+    set_output_multiple (d_K);
+}
+
+
+void trellis_viterbi_combined_is::set_TABLE(const std::vector<int> &table) 
+{
+  d_TABLE = table;
+}
+
+void
+trellis_viterbi_combined_is::forecast (int noutput_items, gr_vector_int &ninput_items_required)
+{
+  assert (noutput_items % d_K == 0);
+  int input_required =  d_D * noutput_items ;
+  unsigned ninputs = ninput_items_required.size();
+  for (unsigned int i = 0; i < ninputs; i++) {
+    ninput_items_required[i] = input_required;
+  }
+}
+
+
+
+
+void viterbi_algorithm_combined(int I, int S, int O, 
+             const std::vector<int> &NS,
+             const std::vector<int> &OS,
+             const std::vector< std::vector<int> > &PS,
+             const std::vector< std::vector<int> > &PI,
+             int K,
+             int S0,int SK,
+             int D,
+             const std::vector<int> &TABLE,
+             trellis_metric_type_t TYPE,
+             const int *in, short *out)//,
+             //std::vector<int> &trace) 
+{
+  std::vector<int> trace(S*K);
+  std::vector<float> alpha(S*2);
+  float *metric = new float[O];
+  int alphai;
+  float norm,mm,minm;
+  int minmi;
+  int st;
+
+  if(S0<0) { // initial state not specified
+      for(int i=0;i<S;i++) alpha[0*S+i]=0;
+  }
+  else {
+      for(int i=0;i<S;i++) alpha[0*S+i]=INF;
+      alpha[0*S+S0]=0.0;
+  }
+
+  alphai=0;
+  for(int k=0;k<K;k++) {
+      calc_metric(O, D, TABLE, &(in[k*D]), metric,TYPE); // calc metrics
+      norm=INF;
+      for(int j=0;j<S;j++) { // for each next state do ACS
+          minm=INF;
+          minmi=0;
+          for(unsigned int i=0;i<PS[j].size();i++) {
+              //int i0 = j*I+i;
+              if((mm=alpha[alphai*S+PS[j][i]]+metric[OS[PS[j][i]*I+PI[j][i]]])<minm)
+                  minm=mm,minmi=i;
+          }
+          trace[k*S+j]=minmi;
+          alpha[((alphai+1)%2)*S+j]=minm;
+          if(minm<norm) norm=minm;
+      }
+      for(int j=0;j<S;j++) 
+          alpha[((alphai+1)%2)*S+j]-=norm; // normalize total metrics so they do not explode
+      alphai=(alphai+1)%2;
+  }
+
+  if(SK<0) { // final state not specified
+      minm=INF;
+      minmi=0;
+      for(int i=0;i<S;i++)
+          if((mm=alpha[alphai*S+i])<minm) minm=mm,minmi=i;
+      st=minmi;
+  }
+  else {
+      st=SK;
+  }
+
+  for(int k=K-1;k>=0;k--) { // traceback
+      int i0=trace[k*S+st];
+      out[k]= (short) PI[st][i0];
+      st=PS[st][i0];
+  }
+  
+  delete [] metric;
+
+}
+
+
+
+
+
+
+int
+trellis_viterbi_combined_is::general_work (int noutput_items,
+                        gr_vector_int &ninput_items,
+                        gr_vector_const_void_star &input_items,
+                        gr_vector_void_star &output_items)
+{
+  assert (input_items.size() == output_items.size());
+  int nstreams = input_items.size();
+  assert (noutput_items % d_K == 0);
+  int nblocks = noutput_items / d_K;
+
+  for (int m=0;m<nstreams;m++) {
+    const int *in = (const int *) input_items[m];
+    short *out = (short *) output_items[m];
+    for (int n=0;n<nblocks;n++) {
+      viterbi_algorithm_combined(d_FSM.I(),d_FSM.S(),d_FSM.O(),d_FSM.NS(),d_FSM.OS(),d_FSM.PS(),d_FSM.PI(),d_K,d_S0,d_SK,d_D,d_TABLE,d_TYPE,&(in[n*d_K*d_D]),&(out[n*d_K]));//,d_trace);
+    }
+  }
+
+  consume_each (d_D * noutput_items );
+  return noutput_items;
+}
diff --git a/gr-trellis/src/lib/trellis_viterbi_combined_is.h b/gr-trellis/src/lib/trellis_viterbi_combined_is.h
new file mode 100644 (file)
index 0000000..f637009
--- /dev/null
@@ -0,0 +1,97 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_TRELLIS_VITERBI_COMBINED_IS_H
+#define INCLUDED_TRELLIS_VITERBI_COMBINED_IS_H
+
+#include "fsm.h"
+#include <gr_block.h>
+#include "trellis_calc_metric.h"
+
+class trellis_viterbi_combined_is;
+typedef boost::shared_ptr<trellis_viterbi_combined_is> trellis_viterbi_combined_is_sptr;
+
+trellis_viterbi_combined_is_sptr trellis_make_viterbi_combined_is (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<int> &TABLE,
+    trellis_metric_type_t TYPE);
+
+
+/*!
+ *  \ingroup coding_blk
+ */
+class trellis_viterbi_combined_is : public gr_block
+{
+  fsm d_FSM;
+  int d_K;
+  int d_S0;
+  int d_SK;
+  int d_D;
+  std::vector<int> d_TABLE;
+  trellis_metric_type_t d_TYPE;
+  //std::vector<int> d_trace;
+
+  friend trellis_viterbi_combined_is_sptr trellis_make_viterbi_combined_is (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<int> &TABLE,
+    trellis_metric_type_t TYPE);
+
+
+  trellis_viterbi_combined_is (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<int> &TABLE,
+    trellis_metric_type_t TYPE);
+
+
+public:
+  fsm FSM () const { return d_FSM; }
+  int K () const { return d_K; }
+  int S0 () const { return d_S0; }
+  int SK () const { return d_SK; }
+  int D () const { return d_D; }
+  std::vector<int> TABLE () const { return d_TABLE; }
+  trellis_metric_type_t TYPE () const { return d_TYPE; }
+  //std::vector<int> trace () const { return d_trace; }
+  void set_TABLE (const std::vector<int> &table);
+  void forecast (int noutput_items,
+                 gr_vector_int &ninput_items_required);
+  int general_work (int noutput_items,
+                    gr_vector_int &ninput_items,
+                    gr_vector_const_void_star &input_items,
+                    gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gr-trellis/src/lib/trellis_viterbi_combined_is.i b/gr-trellis/src/lib/trellis_viterbi_combined_is.i
new file mode 100644 (file)
index 0000000..65dc713
--- /dev/null
@@ -0,0 +1,59 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ *
+ * This file is part of GNU Radio
+ *
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ *
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(trellis,viterbi_combined_is);
+
+trellis_viterbi_combined_is_sptr trellis_make_viterbi_combined_is (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<int> &TABLE,
+    trellis_metric_type_t TYPE);
+
+
+class trellis_viterbi_combined_is : public gr_block
+{
+private:
+  trellis_viterbi_combined_is (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<int> &TABLE,
+    trellis_metric_type_t TYPE);
+
+public:
+    fsm FSM () const { return d_FSM; }
+    int K () const { return d_K; }
+    int S0 () const { return d_S0; }
+    int SK () const { return d_SK; }
+    int D () const { return d_D; }
+    std::vector<int> TABLE () const { return d_TABLE; }
+    trellis_metric_type_t TYPE () const { return d_TYPE; }
+    //std::vector<short> trace () const { return d_trace; }
+    void set_TABLE (const std::vector<int> &table);
+};
diff --git a/gr-trellis/src/lib/trellis_viterbi_combined_sb.cc b/gr-trellis/src/lib/trellis_viterbi_combined_sb.cc
new file mode 100644 (file)
index 0000000..103df31
--- /dev/null
@@ -0,0 +1,190 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <trellis_viterbi_combined_sb.h>
+#include <gr_io_signature.h>
+#include <assert.h>
+#include <iostream>
+  
+static const float INF = 1.0e9;
+
+trellis_viterbi_combined_sb_sptr 
+trellis_make_viterbi_combined_sb (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<short> &TABLE,
+    trellis_metric_type_t TYPE)
+{
+  return trellis_viterbi_combined_sb_sptr (new trellis_viterbi_combined_sb (FSM,K,S0,SK,D,TABLE,TYPE));
+}
+
+trellis_viterbi_combined_sb::trellis_viterbi_combined_sb (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<short> &TABLE,
+    trellis_metric_type_t TYPE)
+  : gr_block ("viterbi_combined_sb",
+                         gr_make_io_signature (1, -1, sizeof (short)),
+                         gr_make_io_signature (1, -1, sizeof (unsigned char))),  
+  d_FSM (FSM),
+  d_K (K),
+  d_S0 (S0),
+  d_SK (SK),
+  d_D (D),
+  d_TABLE (TABLE),
+  d_TYPE (TYPE)//,
+  //d_trace(FSM.S()*K)
+{
+    set_relative_rate (1.0 / ((double) d_D));
+    set_output_multiple (d_K);
+}
+
+
+void trellis_viterbi_combined_sb::set_TABLE(const std::vector<short> &table) 
+{
+  d_TABLE = table;
+}
+
+void
+trellis_viterbi_combined_sb::forecast (int noutput_items, gr_vector_int &ninput_items_required)
+{
+  assert (noutput_items % d_K == 0);
+  int input_required =  d_D * noutput_items ;
+  unsigned ninputs = ninput_items_required.size();
+  for (unsigned int i = 0; i < ninputs; i++) {
+    ninput_items_required[i] = input_required;
+  }
+}
+
+
+
+
+void viterbi_algorithm_combined(int I, int S, int O, 
+             const std::vector<int> &NS,
+             const std::vector<int> &OS,
+             const std::vector< std::vector<int> > &PS,
+             const std::vector< std::vector<int> > &PI,
+             int K,
+             int S0,int SK,
+             int D,
+             const std::vector<short> &TABLE,
+             trellis_metric_type_t TYPE,
+             const short *in, unsigned char *out)//,
+             //std::vector<int> &trace) 
+{
+  std::vector<int> trace(S*K);
+  std::vector<float> alpha(S*2);
+  float *metric = new float[O];
+  int alphai;
+  float norm,mm,minm;
+  int minmi;
+  int st;
+
+  if(S0<0) { // initial state not specified
+      for(int i=0;i<S;i++) alpha[0*S+i]=0;
+  }
+  else {
+      for(int i=0;i<S;i++) alpha[0*S+i]=INF;
+      alpha[0*S+S0]=0.0;
+  }
+
+  alphai=0;
+  for(int k=0;k<K;k++) {
+      calc_metric(O, D, TABLE, &(in[k*D]), metric,TYPE); // calc metrics
+      norm=INF;
+      for(int j=0;j<S;j++) { // for each next state do ACS
+          minm=INF;
+          minmi=0;
+          for(unsigned int i=0;i<PS[j].size();i++) {
+              //int i0 = j*I+i;
+              if((mm=alpha[alphai*S+PS[j][i]]+metric[OS[PS[j][i]*I+PI[j][i]]])<minm)
+                  minm=mm,minmi=i;
+          }
+          trace[k*S+j]=minmi;
+          alpha[((alphai+1)%2)*S+j]=minm;
+          if(minm<norm) norm=minm;
+      }
+      for(int j=0;j<S;j++) 
+          alpha[((alphai+1)%2)*S+j]-=norm; // normalize total metrics so they do not explode
+      alphai=(alphai+1)%2;
+  }
+
+  if(SK<0) { // final state not specified
+      minm=INF;
+      minmi=0;
+      for(int i=0;i<S;i++)
+          if((mm=alpha[alphai*S+i])<minm) minm=mm,minmi=i;
+      st=minmi;
+  }
+  else {
+      st=SK;
+  }
+
+  for(int k=K-1;k>=0;k--) { // traceback
+      int i0=trace[k*S+st];
+      out[k]= (unsigned char) PI[st][i0];
+      st=PS[st][i0];
+  }
+  
+  delete [] metric;
+
+}
+
+
+
+
+
+
+int
+trellis_viterbi_combined_sb::general_work (int noutput_items,
+                        gr_vector_int &ninput_items,
+                        gr_vector_const_void_star &input_items,
+                        gr_vector_void_star &output_items)
+{
+  assert (input_items.size() == output_items.size());
+  int nstreams = input_items.size();
+  assert (noutput_items % d_K == 0);
+  int nblocks = noutput_items / d_K;
+
+  for (int m=0;m<nstreams;m++) {
+    const short *in = (const short *) input_items[m];
+    unsigned char *out = (unsigned char *) output_items[m];
+    for (int n=0;n<nblocks;n++) {
+      viterbi_algorithm_combined(d_FSM.I(),d_FSM.S(),d_FSM.O(),d_FSM.NS(),d_FSM.OS(),d_FSM.PS(),d_FSM.PI(),d_K,d_S0,d_SK,d_D,d_TABLE,d_TYPE,&(in[n*d_K*d_D]),&(out[n*d_K]));//,d_trace);
+    }
+  }
+
+  consume_each (d_D * noutput_items );
+  return noutput_items;
+}
diff --git a/gr-trellis/src/lib/trellis_viterbi_combined_sb.h b/gr-trellis/src/lib/trellis_viterbi_combined_sb.h
new file mode 100644 (file)
index 0000000..e3aa07c
--- /dev/null
@@ -0,0 +1,97 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_TRELLIS_VITERBI_COMBINED_SB_H
+#define INCLUDED_TRELLIS_VITERBI_COMBINED_SB_H
+
+#include "fsm.h"
+#include <gr_block.h>
+#include "trellis_calc_metric.h"
+
+class trellis_viterbi_combined_sb;
+typedef boost::shared_ptr<trellis_viterbi_combined_sb> trellis_viterbi_combined_sb_sptr;
+
+trellis_viterbi_combined_sb_sptr trellis_make_viterbi_combined_sb (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<short> &TABLE,
+    trellis_metric_type_t TYPE);
+
+
+/*!
+ *  \ingroup coding_blk
+ */
+class trellis_viterbi_combined_sb : public gr_block
+{
+  fsm d_FSM;
+  int d_K;
+  int d_S0;
+  int d_SK;
+  int d_D;
+  std::vector<short> d_TABLE;
+  trellis_metric_type_t d_TYPE;
+  //std::vector<int> d_trace;
+
+  friend trellis_viterbi_combined_sb_sptr trellis_make_viterbi_combined_sb (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<short> &TABLE,
+    trellis_metric_type_t TYPE);
+
+
+  trellis_viterbi_combined_sb (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<short> &TABLE,
+    trellis_metric_type_t TYPE);
+
+
+public:
+  fsm FSM () const { return d_FSM; }
+  int K () const { return d_K; }
+  int S0 () const { return d_S0; }
+  int SK () const { return d_SK; }
+  int D () const { return d_D; }
+  std::vector<short> TABLE () const { return d_TABLE; }
+  trellis_metric_type_t TYPE () const { return d_TYPE; }
+  //std::vector<int> trace () const { return d_trace; }
+  void set_TABLE (const std::vector<short> &table);
+  void forecast (int noutput_items,
+                 gr_vector_int &ninput_items_required);
+  int general_work (int noutput_items,
+                    gr_vector_int &ninput_items,
+                    gr_vector_const_void_star &input_items,
+                    gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gr-trellis/src/lib/trellis_viterbi_combined_sb.i b/gr-trellis/src/lib/trellis_viterbi_combined_sb.i
new file mode 100644 (file)
index 0000000..55d3a86
--- /dev/null
@@ -0,0 +1,59 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ *
+ * This file is part of GNU Radio
+ *
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ *
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(trellis,viterbi_combined_sb);
+
+trellis_viterbi_combined_sb_sptr trellis_make_viterbi_combined_sb (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<short> &TABLE,
+    trellis_metric_type_t TYPE);
+
+
+class trellis_viterbi_combined_sb : public gr_block
+{
+private:
+  trellis_viterbi_combined_sb (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<short> &TABLE,
+    trellis_metric_type_t TYPE);
+
+public:
+    fsm FSM () const { return d_FSM; }
+    int K () const { return d_K; }
+    int S0 () const { return d_S0; }
+    int SK () const { return d_SK; }
+    int D () const { return d_D; }
+    std::vector<short> TABLE () const { return d_TABLE; }
+    trellis_metric_type_t TYPE () const { return d_TYPE; }
+    //std::vector<short> trace () const { return d_trace; }
+    void set_TABLE (const std::vector<short> &table);
+};
diff --git a/gr-trellis/src/lib/trellis_viterbi_combined_si.cc b/gr-trellis/src/lib/trellis_viterbi_combined_si.cc
new file mode 100644 (file)
index 0000000..48b2083
--- /dev/null
@@ -0,0 +1,190 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <trellis_viterbi_combined_si.h>
+#include <gr_io_signature.h>
+#include <assert.h>
+#include <iostream>
+  
+static const float INF = 1.0e9;
+
+trellis_viterbi_combined_si_sptr 
+trellis_make_viterbi_combined_si (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<short> &TABLE,
+    trellis_metric_type_t TYPE)
+{
+  return trellis_viterbi_combined_si_sptr (new trellis_viterbi_combined_si (FSM,K,S0,SK,D,TABLE,TYPE));
+}
+
+trellis_viterbi_combined_si::trellis_viterbi_combined_si (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<short> &TABLE,
+    trellis_metric_type_t TYPE)
+  : gr_block ("viterbi_combined_si",
+                         gr_make_io_signature (1, -1, sizeof (short)),
+                         gr_make_io_signature (1, -1, sizeof (int))),  
+  d_FSM (FSM),
+  d_K (K),
+  d_S0 (S0),
+  d_SK (SK),
+  d_D (D),
+  d_TABLE (TABLE),
+  d_TYPE (TYPE)//,
+  //d_trace(FSM.S()*K)
+{
+    set_relative_rate (1.0 / ((double) d_D));
+    set_output_multiple (d_K);
+}
+
+
+void trellis_viterbi_combined_si::set_TABLE(const std::vector<short> &table) 
+{
+  d_TABLE = table;
+}
+
+void
+trellis_viterbi_combined_si::forecast (int noutput_items, gr_vector_int &ninput_items_required)
+{
+  assert (noutput_items % d_K == 0);
+  int input_required =  d_D * noutput_items ;
+  unsigned ninputs = ninput_items_required.size();
+  for (unsigned int i = 0; i < ninputs; i++) {
+    ninput_items_required[i] = input_required;
+  }
+}
+
+
+
+
+void viterbi_algorithm_combined(int I, int S, int O, 
+             const std::vector<int> &NS,
+             const std::vector<int> &OS,
+             const std::vector< std::vector<int> > &PS,
+             const std::vector< std::vector<int> > &PI,
+             int K,
+             int S0,int SK,
+             int D,
+             const std::vector<short> &TABLE,
+             trellis_metric_type_t TYPE,
+             const short *in, int *out)//,
+             //std::vector<int> &trace) 
+{
+  std::vector<int> trace(S*K);
+  std::vector<float> alpha(S*2);
+  float *metric = new float[O];
+  int alphai;
+  float norm,mm,minm;
+  int minmi;
+  int st;
+
+  if(S0<0) { // initial state not specified
+      for(int i=0;i<S;i++) alpha[0*S+i]=0;
+  }
+  else {
+      for(int i=0;i<S;i++) alpha[0*S+i]=INF;
+      alpha[0*S+S0]=0.0;
+  }
+
+  alphai=0;
+  for(int k=0;k<K;k++) {
+      calc_metric(O, D, TABLE, &(in[k*D]), metric,TYPE); // calc metrics
+      norm=INF;
+      for(int j=0;j<S;j++) { // for each next state do ACS
+          minm=INF;
+          minmi=0;
+          for(unsigned int i=0;i<PS[j].size();i++) {
+              //int i0 = j*I+i;
+              if((mm=alpha[alphai*S+PS[j][i]]+metric[OS[PS[j][i]*I+PI[j][i]]])<minm)
+                  minm=mm,minmi=i;
+          }
+          trace[k*S+j]=minmi;
+          alpha[((alphai+1)%2)*S+j]=minm;
+          if(minm<norm) norm=minm;
+      }
+      for(int j=0;j<S;j++) 
+          alpha[((alphai+1)%2)*S+j]-=norm; // normalize total metrics so they do not explode
+      alphai=(alphai+1)%2;
+  }
+
+  if(SK<0) { // final state not specified
+      minm=INF;
+      minmi=0;
+      for(int i=0;i<S;i++)
+          if((mm=alpha[alphai*S+i])<minm) minm=mm,minmi=i;
+      st=minmi;
+  }
+  else {
+      st=SK;
+  }
+
+  for(int k=K-1;k>=0;k--) { // traceback
+      int i0=trace[k*S+st];
+      out[k]= (int) PI[st][i0];
+      st=PS[st][i0];
+  }
+  
+  delete [] metric;
+
+}
+
+
+
+
+
+
+int
+trellis_viterbi_combined_si::general_work (int noutput_items,
+                        gr_vector_int &ninput_items,
+                        gr_vector_const_void_star &input_items,
+                        gr_vector_void_star &output_items)
+{
+  assert (input_items.size() == output_items.size());
+  int nstreams = input_items.size();
+  assert (noutput_items % d_K == 0);
+  int nblocks = noutput_items / d_K;
+
+  for (int m=0;m<nstreams;m++) {
+    const short *in = (const short *) input_items[m];
+    int *out = (int *) output_items[m];
+    for (int n=0;n<nblocks;n++) {
+      viterbi_algorithm_combined(d_FSM.I(),d_FSM.S(),d_FSM.O(),d_FSM.NS(),d_FSM.OS(),d_FSM.PS(),d_FSM.PI(),d_K,d_S0,d_SK,d_D,d_TABLE,d_TYPE,&(in[n*d_K*d_D]),&(out[n*d_K]));//,d_trace);
+    }
+  }
+
+  consume_each (d_D * noutput_items );
+  return noutput_items;
+}
diff --git a/gr-trellis/src/lib/trellis_viterbi_combined_si.h b/gr-trellis/src/lib/trellis_viterbi_combined_si.h
new file mode 100644 (file)
index 0000000..bbaae1d
--- /dev/null
@@ -0,0 +1,97 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_TRELLIS_VITERBI_COMBINED_SI_H
+#define INCLUDED_TRELLIS_VITERBI_COMBINED_SI_H
+
+#include "fsm.h"
+#include <gr_block.h>
+#include "trellis_calc_metric.h"
+
+class trellis_viterbi_combined_si;
+typedef boost::shared_ptr<trellis_viterbi_combined_si> trellis_viterbi_combined_si_sptr;
+
+trellis_viterbi_combined_si_sptr trellis_make_viterbi_combined_si (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<short> &TABLE,
+    trellis_metric_type_t TYPE);
+
+
+/*!
+ *  \ingroup coding_blk
+ */
+class trellis_viterbi_combined_si : public gr_block
+{
+  fsm d_FSM;
+  int d_K;
+  int d_S0;
+  int d_SK;
+  int d_D;
+  std::vector<short> d_TABLE;
+  trellis_metric_type_t d_TYPE;
+  //std::vector<int> d_trace;
+
+  friend trellis_viterbi_combined_si_sptr trellis_make_viterbi_combined_si (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<short> &TABLE,
+    trellis_metric_type_t TYPE);
+
+
+  trellis_viterbi_combined_si (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<short> &TABLE,
+    trellis_metric_type_t TYPE);
+
+
+public:
+  fsm FSM () const { return d_FSM; }
+  int K () const { return d_K; }
+  int S0 () const { return d_S0; }
+  int SK () const { return d_SK; }
+  int D () const { return d_D; }
+  std::vector<short> TABLE () const { return d_TABLE; }
+  trellis_metric_type_t TYPE () const { return d_TYPE; }
+  //std::vector<int> trace () const { return d_trace; }
+  void set_TABLE (const std::vector<short> &table);
+  void forecast (int noutput_items,
+                 gr_vector_int &ninput_items_required);
+  int general_work (int noutput_items,
+                    gr_vector_int &ninput_items,
+                    gr_vector_const_void_star &input_items,
+                    gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gr-trellis/src/lib/trellis_viterbi_combined_si.i b/gr-trellis/src/lib/trellis_viterbi_combined_si.i
new file mode 100644 (file)
index 0000000..94362d6
--- /dev/null
@@ -0,0 +1,59 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ *
+ * This file is part of GNU Radio
+ *
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ *
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(trellis,viterbi_combined_si);
+
+trellis_viterbi_combined_si_sptr trellis_make_viterbi_combined_si (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<short> &TABLE,
+    trellis_metric_type_t TYPE);
+
+
+class trellis_viterbi_combined_si : public gr_block
+{
+private:
+  trellis_viterbi_combined_si (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<short> &TABLE,
+    trellis_metric_type_t TYPE);
+
+public:
+    fsm FSM () const { return d_FSM; }
+    int K () const { return d_K; }
+    int S0 () const { return d_S0; }
+    int SK () const { return d_SK; }
+    int D () const { return d_D; }
+    std::vector<short> TABLE () const { return d_TABLE; }
+    trellis_metric_type_t TYPE () const { return d_TYPE; }
+    //std::vector<short> trace () const { return d_trace; }
+    void set_TABLE (const std::vector<short> &table);
+};
diff --git a/gr-trellis/src/lib/trellis_viterbi_combined_ss.cc b/gr-trellis/src/lib/trellis_viterbi_combined_ss.cc
new file mode 100644 (file)
index 0000000..7e64c4f
--- /dev/null
@@ -0,0 +1,190 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <trellis_viterbi_combined_ss.h>
+#include <gr_io_signature.h>
+#include <assert.h>
+#include <iostream>
+  
+static const float INF = 1.0e9;
+
+trellis_viterbi_combined_ss_sptr 
+trellis_make_viterbi_combined_ss (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<short> &TABLE,
+    trellis_metric_type_t TYPE)
+{
+  return trellis_viterbi_combined_ss_sptr (new trellis_viterbi_combined_ss (FSM,K,S0,SK,D,TABLE,TYPE));
+}
+
+trellis_viterbi_combined_ss::trellis_viterbi_combined_ss (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<short> &TABLE,
+    trellis_metric_type_t TYPE)
+  : gr_block ("viterbi_combined_ss",
+                         gr_make_io_signature (1, -1, sizeof (short)),
+                         gr_make_io_signature (1, -1, sizeof (short))),  
+  d_FSM (FSM),
+  d_K (K),
+  d_S0 (S0),
+  d_SK (SK),
+  d_D (D),
+  d_TABLE (TABLE),
+  d_TYPE (TYPE)//,
+  //d_trace(FSM.S()*K)
+{
+    set_relative_rate (1.0 / ((double) d_D));
+    set_output_multiple (d_K);
+}
+
+
+void trellis_viterbi_combined_ss::set_TABLE(const std::vector<short> &table) 
+{
+  d_TABLE = table;
+}
+
+void
+trellis_viterbi_combined_ss::forecast (int noutput_items, gr_vector_int &ninput_items_required)
+{
+  assert (noutput_items % d_K == 0);
+  int input_required =  d_D * noutput_items ;
+  unsigned ninputs = ninput_items_required.size();
+  for (unsigned int i = 0; i < ninputs; i++) {
+    ninput_items_required[i] = input_required;
+  }
+}
+
+
+
+
+void viterbi_algorithm_combined(int I, int S, int O, 
+             const std::vector<int> &NS,
+             const std::vector<int> &OS,
+             const std::vector< std::vector<int> > &PS,
+             const std::vector< std::vector<int> > &PI,
+             int K,
+             int S0,int SK,
+             int D,
+             const std::vector<short> &TABLE,
+             trellis_metric_type_t TYPE,
+             const short *in, short *out)//,
+             //std::vector<int> &trace) 
+{
+  std::vector<int> trace(S*K);
+  std::vector<float> alpha(S*2);
+  float *metric = new float[O];
+  int alphai;
+  float norm,mm,minm;
+  int minmi;
+  int st;
+
+  if(S0<0) { // initial state not specified
+      for(int i=0;i<S;i++) alpha[0*S+i]=0;
+  }
+  else {
+      for(int i=0;i<S;i++) alpha[0*S+i]=INF;
+      alpha[0*S+S0]=0.0;
+  }
+
+  alphai=0;
+  for(int k=0;k<K;k++) {
+      calc_metric(O, D, TABLE, &(in[k*D]), metric,TYPE); // calc metrics
+      norm=INF;
+      for(int j=0;j<S;j++) { // for each next state do ACS
+          minm=INF;
+          minmi=0;
+          for(unsigned int i=0;i<PS[j].size();i++) {
+              //int i0 = j*I+i;
+              if((mm=alpha[alphai*S+PS[j][i]]+metric[OS[PS[j][i]*I+PI[j][i]]])<minm)
+                  minm=mm,minmi=i;
+          }
+          trace[k*S+j]=minmi;
+          alpha[((alphai+1)%2)*S+j]=minm;
+          if(minm<norm) norm=minm;
+      }
+      for(int j=0;j<S;j++) 
+          alpha[((alphai+1)%2)*S+j]-=norm; // normalize total metrics so they do not explode
+      alphai=(alphai+1)%2;
+  }
+
+  if(SK<0) { // final state not specified
+      minm=INF;
+      minmi=0;
+      for(int i=0;i<S;i++)
+          if((mm=alpha[alphai*S+i])<minm) minm=mm,minmi=i;
+      st=minmi;
+  }
+  else {
+      st=SK;
+  }
+
+  for(int k=K-1;k>=0;k--) { // traceback
+      int i0=trace[k*S+st];
+      out[k]= (short) PI[st][i0];
+      st=PS[st][i0];
+  }
+  
+  delete [] metric;
+
+}
+
+
+
+
+
+
+int
+trellis_viterbi_combined_ss::general_work (int noutput_items,
+                        gr_vector_int &ninput_items,
+                        gr_vector_const_void_star &input_items,
+                        gr_vector_void_star &output_items)
+{
+  assert (input_items.size() == output_items.size());
+  int nstreams = input_items.size();
+  assert (noutput_items % d_K == 0);
+  int nblocks = noutput_items / d_K;
+
+  for (int m=0;m<nstreams;m++) {
+    const short *in = (const short *) input_items[m];
+    short *out = (short *) output_items[m];
+    for (int n=0;n<nblocks;n++) {
+      viterbi_algorithm_combined(d_FSM.I(),d_FSM.S(),d_FSM.O(),d_FSM.NS(),d_FSM.OS(),d_FSM.PS(),d_FSM.PI(),d_K,d_S0,d_SK,d_D,d_TABLE,d_TYPE,&(in[n*d_K*d_D]),&(out[n*d_K]));//,d_trace);
+    }
+  }
+
+  consume_each (d_D * noutput_items );
+  return noutput_items;
+}
diff --git a/gr-trellis/src/lib/trellis_viterbi_combined_ss.h b/gr-trellis/src/lib/trellis_viterbi_combined_ss.h
new file mode 100644 (file)
index 0000000..783caee
--- /dev/null
@@ -0,0 +1,97 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_TRELLIS_VITERBI_COMBINED_SS_H
+#define INCLUDED_TRELLIS_VITERBI_COMBINED_SS_H
+
+#include "fsm.h"
+#include <gr_block.h>
+#include "trellis_calc_metric.h"
+
+class trellis_viterbi_combined_ss;
+typedef boost::shared_ptr<trellis_viterbi_combined_ss> trellis_viterbi_combined_ss_sptr;
+
+trellis_viterbi_combined_ss_sptr trellis_make_viterbi_combined_ss (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<short> &TABLE,
+    trellis_metric_type_t TYPE);
+
+
+/*!
+ *  \ingroup coding_blk
+ */
+class trellis_viterbi_combined_ss : public gr_block
+{
+  fsm d_FSM;
+  int d_K;
+  int d_S0;
+  int d_SK;
+  int d_D;
+  std::vector<short> d_TABLE;
+  trellis_metric_type_t d_TYPE;
+  //std::vector<int> d_trace;
+
+  friend trellis_viterbi_combined_ss_sptr trellis_make_viterbi_combined_ss (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<short> &TABLE,
+    trellis_metric_type_t TYPE);
+
+
+  trellis_viterbi_combined_ss (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<short> &TABLE,
+    trellis_metric_type_t TYPE);
+
+
+public:
+  fsm FSM () const { return d_FSM; }
+  int K () const { return d_K; }
+  int S0 () const { return d_S0; }
+  int SK () const { return d_SK; }
+  int D () const { return d_D; }
+  std::vector<short> TABLE () const { return d_TABLE; }
+  trellis_metric_type_t TYPE () const { return d_TYPE; }
+  //std::vector<int> trace () const { return d_trace; }
+  void set_TABLE (const std::vector<short> &table);
+  void forecast (int noutput_items,
+                 gr_vector_int &ninput_items_required);
+  int general_work (int noutput_items,
+                    gr_vector_int &ninput_items,
+                    gr_vector_const_void_star &input_items,
+                    gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gr-trellis/src/lib/trellis_viterbi_combined_ss.i b/gr-trellis/src/lib/trellis_viterbi_combined_ss.i
new file mode 100644 (file)
index 0000000..035e7b3
--- /dev/null
@@ -0,0 +1,59 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ *
+ * This file is part of GNU Radio
+ *
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ *
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(trellis,viterbi_combined_ss);
+
+trellis_viterbi_combined_ss_sptr trellis_make_viterbi_combined_ss (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<short> &TABLE,
+    trellis_metric_type_t TYPE);
+
+
+class trellis_viterbi_combined_ss : public gr_block
+{
+private:
+  trellis_viterbi_combined_ss (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK,
+    int D,
+    const std::vector<short> &TABLE,
+    trellis_metric_type_t TYPE);
+
+public:
+    fsm FSM () const { return d_FSM; }
+    int K () const { return d_K; }
+    int S0 () const { return d_S0; }
+    int SK () const { return d_SK; }
+    int D () const { return d_D; }
+    std::vector<short> TABLE () const { return d_TABLE; }
+    trellis_metric_type_t TYPE () const { return d_TYPE; }
+    //std::vector<short> trace () const { return d_trace; }
+    void set_TABLE (const std::vector<short> &table);
+};
diff --git a/gr-trellis/src/lib/trellis_viterbi_i.cc b/gr-trellis/src/lib/trellis_viterbi_i.cc
new file mode 100644 (file)
index 0000000..487b6d5
--- /dev/null
@@ -0,0 +1,170 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <trellis_viterbi_i.h>
+#include <gr_io_signature.h>
+#include <assert.h>
+#include <iostream>
+  
+static const float INF = 1.0e9;
+
+trellis_viterbi_i_sptr 
+trellis_make_viterbi_i (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK)
+{
+  return trellis_viterbi_i_sptr (new trellis_viterbi_i (FSM,K,S0,SK));
+}
+
+trellis_viterbi_i::trellis_viterbi_i (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK)
+  : gr_block ("viterbi_i",
+                         gr_make_io_signature (1, -1, sizeof (float)),
+                         gr_make_io_signature (1, -1, sizeof (int))),  
+  d_FSM (FSM),
+  d_K (K),
+  d_S0 (S0),
+  d_SK (SK)//,
+  //d_trace(FSM.S()*K)
+{
+    set_relative_rate (1.0 / ((double) d_FSM.O()));
+    set_output_multiple (d_K);
+}
+
+
+void
+trellis_viterbi_i::forecast (int noutput_items, gr_vector_int &ninput_items_required)
+{
+  assert (noutput_items % d_K == 0);
+  int input_required =  d_FSM.O() * noutput_items ;
+  unsigned ninputs = ninput_items_required.size();
+  for (unsigned int i = 0; i < ninputs; i++) {
+    ninput_items_required[i] = input_required;
+  }
+}
+
+
+
+
+void viterbi_algorithm(int I, int S, int O, 
+             const std::vector<int> &NS,
+             const std::vector<int> &OS,
+             const std::vector< std::vector<int> > &PS,
+             const std::vector< std::vector<int> > &PI,
+             int K,
+             int S0,int SK,
+             const float *in, int *out)//,
+             //std::vector<int> &trace) 
+{
+  std::vector<int> trace(S*K);
+  std::vector<float> alpha(S*2);
+  int alphai;
+  float norm,mm,minm;
+  int minmi;
+  int st;
+
+
+  if(S0<0) { // initial state not specified
+      for(int i=0;i<S;i++) alpha[0*S+i]=0;
+  }
+  else {
+      for(int i=0;i<S;i++) alpha[0*S+i]=INF;
+      alpha[0*S+S0]=0.0;
+  }
+
+  alphai=0;
+  for(int k=0;k<K;k++) {
+      norm=INF;
+      for(int j=0;j<S;j++) { // for each next state do ACS
+          minm=INF;
+          minmi=0;
+          for(unsigned int i=0;i<PS[j].size();i++) {
+              //int i0 = j*I+i;
+              if((mm=alpha[alphai*S+PS[j][i]]+in[k*O+OS[PS[j][i]*I+PI[j][i]]])<minm)
+                  minm=mm,minmi=i;
+          }
+          trace[k*S+j]=minmi;
+          alpha[((alphai+1)%2)*S+j]=minm;
+          if(minm<norm) norm=minm;
+      }
+      for(int j=0;j<S;j++) 
+          alpha[((alphai+1)%2)*S+j]-=norm; // normalize total metrics so they do not explode
+      alphai=(alphai+1)%2;
+  }
+
+  if(SK<0) { // final state not specified
+      minm=INF;
+      minmi=0;
+      for(int i=0;i<S;i++)
+          if((mm=alpha[alphai*S+i])<minm) minm=mm,minmi=i;
+      st=minmi;
+  }
+  else {
+      st=SK;
+  }
+
+  for(int k=K-1;k>=0;k--) { // traceback
+      int i0=trace[k*S+st];
+      out[k]= (int) PI[st][i0];
+      st=PS[st][i0];
+  }
+
+}
+
+
+
+
+
+
+int
+trellis_viterbi_i::general_work (int noutput_items,
+                        gr_vector_int &ninput_items,
+                        gr_vector_const_void_star &input_items,
+                        gr_vector_void_star &output_items)
+{
+  assert (input_items.size() == output_items.size());
+  int nstreams = input_items.size();
+  assert (noutput_items % d_K == 0);
+  int nblocks = noutput_items / d_K;
+
+  for (int m=0;m<nstreams;m++) {
+    const float *in = (const float *) input_items[m];
+    int *out = (int *) output_items[m];
+    for (int n=0;n<nblocks;n++) {
+      viterbi_algorithm(d_FSM.I(),d_FSM.S(),d_FSM.O(),d_FSM.NS(),d_FSM.OS(),d_FSM.PS(),d_FSM.PI(),d_K,d_S0,d_SK,&(in[n*d_K*d_FSM.O()]),&(out[n*d_K]));//,d_trace);
+    }
+  }
+
+  consume_each (d_FSM.O() * noutput_items );
+  return noutput_items;
+}
diff --git a/gr-trellis/src/lib/trellis_viterbi_i.h b/gr-trellis/src/lib/trellis_viterbi_i.h
new file mode 100644 (file)
index 0000000..8e5e5ab
--- /dev/null
@@ -0,0 +1,81 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_TRELLIS_VITERBI_I_H
+#define INCLUDED_TRELLIS_VITERBI_I_H
+
+#include "fsm.h"
+#include <gr_block.h>
+
+class trellis_viterbi_i;
+typedef boost::shared_ptr<trellis_viterbi_i> trellis_viterbi_i_sptr;
+
+trellis_viterbi_i_sptr trellis_make_viterbi_i (
+    const fsm &FSM, 
+    int K,
+    int S0,
+    int SK);
+
+
+
+/*!
+ *  \ingroup coding_blk
+ */
+class trellis_viterbi_i : public gr_block
+{
+  fsm d_FSM;
+  int d_K;
+  int d_S0;
+  int d_SK;
+  //std::vector<int> d_trace;
+
+  friend trellis_viterbi_i_sptr trellis_make_viterbi_i (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK);
+
+
+  trellis_viterbi_i (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK);
+
+
+public:
+  fsm FSM () const { return d_FSM; }
+  int K () const { return d_K; }
+  int S0 () const { return d_S0; }
+  int SK () const { return d_SK; }
+  //std::vector<int> trace () const { return d_trace; }
+  void forecast (int noutput_items,
+                 gr_vector_int &ninput_items_required);
+  int general_work (int noutput_items,
+                    gr_vector_int &ninput_items,
+                    gr_vector_const_void_star &input_items,
+                    gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gr-trellis/src/lib/trellis_viterbi_i.i b/gr-trellis/src/lib/trellis_viterbi_i.i
new file mode 100644 (file)
index 0000000..2968884
--- /dev/null
@@ -0,0 +1,49 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ *
+ * This file is part of GNU Radio
+ *
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ *
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(trellis,viterbi_i);
+
+trellis_viterbi_i_sptr trellis_make_viterbi_i (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK);
+
+
+class trellis_viterbi_i : public gr_block
+{
+private:
+  trellis_viterbi_i (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK);
+
+public:
+    fsm FSM () const { return d_FSM; }
+    int K () const { return d_K; }
+    int S0 () const { return d_S0; }
+    int SK () const { return d_SK; }
+    //std::vector<short> trace () const { return d_trace; }
+};
diff --git a/gr-trellis/src/lib/trellis_viterbi_s.cc b/gr-trellis/src/lib/trellis_viterbi_s.cc
new file mode 100644 (file)
index 0000000..94a9c0b
--- /dev/null
@@ -0,0 +1,170 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <trellis_viterbi_s.h>
+#include <gr_io_signature.h>
+#include <assert.h>
+#include <iostream>
+  
+static const float INF = 1.0e9;
+
+trellis_viterbi_s_sptr 
+trellis_make_viterbi_s (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK)
+{
+  return trellis_viterbi_s_sptr (new trellis_viterbi_s (FSM,K,S0,SK));
+}
+
+trellis_viterbi_s::trellis_viterbi_s (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK)
+  : gr_block ("viterbi_s",
+                         gr_make_io_signature (1, -1, sizeof (float)),
+                         gr_make_io_signature (1, -1, sizeof (short))),  
+  d_FSM (FSM),
+  d_K (K),
+  d_S0 (S0),
+  d_SK (SK)//,
+  //d_trace(FSM.S()*K)
+{
+    set_relative_rate (1.0 / ((double) d_FSM.O()));
+    set_output_multiple (d_K);
+}
+
+
+void
+trellis_viterbi_s::forecast (int noutput_items, gr_vector_int &ninput_items_required)
+{
+  assert (noutput_items % d_K == 0);
+  int input_required =  d_FSM.O() * noutput_items ;
+  unsigned ninputs = ninput_items_required.size();
+  for (unsigned int i = 0; i < ninputs; i++) {
+    ninput_items_required[i] = input_required;
+  }
+}
+
+
+
+
+void viterbi_algorithm(int I, int S, int O, 
+             const std::vector<int> &NS,
+             const std::vector<int> &OS,
+             const std::vector< std::vector<int> > &PS,
+             const std::vector< std::vector<int> > &PI,
+             int K,
+             int S0,int SK,
+             const float *in, short *out)//,
+             //std::vector<int> &trace) 
+{
+  std::vector<int> trace(S*K);
+  std::vector<float> alpha(S*2);
+  int alphai;
+  float norm,mm,minm;
+  int minmi;
+  int st;
+
+
+  if(S0<0) { // initial state not specified
+      for(int i=0;i<S;i++) alpha[0*S+i]=0;
+  }
+  else {
+      for(int i=0;i<S;i++) alpha[0*S+i]=INF;
+      alpha[0*S+S0]=0.0;
+  }
+
+  alphai=0;
+  for(int k=0;k<K;k++) {
+      norm=INF;
+      for(int j=0;j<S;j++) { // for each next state do ACS
+          minm=INF;
+          minmi=0;
+          for(unsigned int i=0;i<PS[j].size();i++) {
+              //int i0 = j*I+i;
+              if((mm=alpha[alphai*S+PS[j][i]]+in[k*O+OS[PS[j][i]*I+PI[j][i]]])<minm)
+                  minm=mm,minmi=i;
+          }
+          trace[k*S+j]=minmi;
+          alpha[((alphai+1)%2)*S+j]=minm;
+          if(minm<norm) norm=minm;
+      }
+      for(int j=0;j<S;j++) 
+          alpha[((alphai+1)%2)*S+j]-=norm; // normalize total metrics so they do not explode
+      alphai=(alphai+1)%2;
+  }
+
+  if(SK<0) { // final state not specified
+      minm=INF;
+      minmi=0;
+      for(int i=0;i<S;i++)
+          if((mm=alpha[alphai*S+i])<minm) minm=mm,minmi=i;
+      st=minmi;
+  }
+  else {
+      st=SK;
+  }
+
+  for(int k=K-1;k>=0;k--) { // traceback
+      int i0=trace[k*S+st];
+      out[k]= (short) PI[st][i0];
+      st=PS[st][i0];
+  }
+
+}
+
+
+
+
+
+
+int
+trellis_viterbi_s::general_work (int noutput_items,
+                        gr_vector_int &ninput_items,
+                        gr_vector_const_void_star &input_items,
+                        gr_vector_void_star &output_items)
+{
+  assert (input_items.size() == output_items.size());
+  int nstreams = input_items.size();
+  assert (noutput_items % d_K == 0);
+  int nblocks = noutput_items / d_K;
+
+  for (int m=0;m<nstreams;m++) {
+    const float *in = (const float *) input_items[m];
+    short *out = (short *) output_items[m];
+    for (int n=0;n<nblocks;n++) {
+      viterbi_algorithm(d_FSM.I(),d_FSM.S(),d_FSM.O(),d_FSM.NS(),d_FSM.OS(),d_FSM.PS(),d_FSM.PI(),d_K,d_S0,d_SK,&(in[n*d_K*d_FSM.O()]),&(out[n*d_K]));//,d_trace);
+    }
+  }
+
+  consume_each (d_FSM.O() * noutput_items );
+  return noutput_items;
+}
diff --git a/gr-trellis/src/lib/trellis_viterbi_s.h b/gr-trellis/src/lib/trellis_viterbi_s.h
new file mode 100644 (file)
index 0000000..1f2d3f1
--- /dev/null
@@ -0,0 +1,81 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+#ifndef INCLUDED_TRELLIS_VITERBI_S_H
+#define INCLUDED_TRELLIS_VITERBI_S_H
+
+#include "fsm.h"
+#include <gr_block.h>
+
+class trellis_viterbi_s;
+typedef boost::shared_ptr<trellis_viterbi_s> trellis_viterbi_s_sptr;
+
+trellis_viterbi_s_sptr trellis_make_viterbi_s (
+    const fsm &FSM, 
+    int K,
+    int S0,
+    int SK);
+
+
+
+/*!
+ *  \ingroup coding_blk
+ */
+class trellis_viterbi_s : public gr_block
+{
+  fsm d_FSM;
+  int d_K;
+  int d_S0;
+  int d_SK;
+  //std::vector<int> d_trace;
+
+  friend trellis_viterbi_s_sptr trellis_make_viterbi_s (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK);
+
+
+  trellis_viterbi_s (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK);
+
+
+public:
+  fsm FSM () const { return d_FSM; }
+  int K () const { return d_K; }
+  int S0 () const { return d_S0; }
+  int SK () const { return d_SK; }
+  //std::vector<int> trace () const { return d_trace; }
+  void forecast (int noutput_items,
+                 gr_vector_int &ninput_items_required);
+  int general_work (int noutput_items,
+                    gr_vector_int &ninput_items,
+                    gr_vector_const_void_star &input_items,
+                    gr_vector_void_star &output_items);
+};
+
+#endif
diff --git a/gr-trellis/src/lib/trellis_viterbi_s.i b/gr-trellis/src/lib/trellis_viterbi_s.i
new file mode 100644 (file)
index 0000000..e417044
--- /dev/null
@@ -0,0 +1,49 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ *
+ * This file is part of GNU Radio
+ *
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ *
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// WARNING: this file is machine generated.  Edits will be over written
+
+GR_SWIG_BLOCK_MAGIC(trellis,viterbi_s);
+
+trellis_viterbi_s_sptr trellis_make_viterbi_s (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK);
+
+
+class trellis_viterbi_s : public gr_block
+{
+private:
+  trellis_viterbi_s (
+    const fsm &FSM,
+    int K,
+    int S0,
+    int SK);
+
+public:
+    fsm FSM () const { return d_FSM; }
+    int K () const { return d_K; }
+    int S0 () const { return d_S0; }
+    int SK () const { return d_SK; }
+    //std::vector<short> trace () const { return d_trace; }
+};
diff --git a/gr-trellis/src/python/Makefile.in b/gr-trellis/src/python/Makefile.in
new file mode 100644 (file)
index 0000000..f3d83a9
--- /dev/null
@@ -0,0 +1,972 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(noinst_PYTHON) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(srcdir)/run_tests.in \
+       $(top_srcdir)/Makefile.common
+subdir = gr-trellis/src/python
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES = run_tests
+SOURCES =
+DIST_SOURCES =
+py_compile = $(top_srcdir)/py-compile
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+EXTRA_DIST = run_tests.in              \
+       awgn1o2_4.fsm
+
+TESTS = \
+       run_tests
+
+noinst_PYTHON = \
+       qa_trellis.py                   
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-trellis/src/python/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-trellis/src/python/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+run_tests: $(top_builddir)/config.status $(srcdir)/run_tests.in
+       cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+check-TESTS: $(TESTS)
+       @failed=0; all=0; xfail=0; xpass=0; skip=0; \
+       srcdir=$(srcdir); export srcdir; \
+       list=' $(TESTS) '; \
+       if test -n "$$list"; then \
+         for tst in $$list; do \
+           if test -f ./$$tst; then dir=./; \
+           elif test -f $$tst; then dir=; \
+           else dir="$(srcdir)/"; fi; \
+           if $(TESTS_ENVIRONMENT) $${dir}$$tst; then \
+             all=`expr $$all + 1`; \
+             case " $(XFAIL_TESTS) " in \
+             *[\ \     ]$$tst[\ \      ]*) \
+               xpass=`expr $$xpass + 1`; \
+               failed=`expr $$failed + 1`; \
+               echo "XPASS: $$tst"; \
+             ;; \
+             *) \
+               echo "PASS: $$tst"; \
+             ;; \
+             esac; \
+           elif test $$? -ne 77; then \
+             all=`expr $$all + 1`; \
+             case " $(XFAIL_TESTS) " in \
+             *[\ \     ]$$tst[\ \      ]*) \
+               xfail=`expr $$xfail + 1`; \
+               echo "XFAIL: $$tst"; \
+             ;; \
+             *) \
+               failed=`expr $$failed + 1`; \
+               echo "FAIL: $$tst"; \
+             ;; \
+             esac; \
+           else \
+             skip=`expr $$skip + 1`; \
+             echo "SKIP: $$tst"; \
+           fi; \
+         done; \
+         if test "$$all" -eq 1; then \
+           tests="test"; \
+           All=""; \
+         else \
+           tests="tests"; \
+           All="All "; \
+         fi; \
+         if test "$$failed" -eq 0; then \
+           if test "$$xfail" -eq 0; then \
+             banner="$$All$$all $$tests passed"; \
+           else \
+             if test "$$xfail" -eq 1; then failures=failure; else failures=failures; fi; \
+             banner="$$All$$all $$tests behaved as expected ($$xfail expected $$failures)"; \
+           fi; \
+         else \
+           if test "$$xpass" -eq 0; then \
+             banner="$$failed of $$all $$tests failed"; \
+           else \
+             if test "$$xpass" -eq 1; then passes=pass; else passes=passes; fi; \
+             banner="$$failed of $$all $$tests did not behave as expected ($$xpass unexpected $$passes)"; \
+           fi; \
+         fi; \
+         dashes="$$banner"; \
+         skipped=""; \
+         if test "$$skip" -ne 0; then \
+           if test "$$skip" -eq 1; then \
+             skipped="($$skip test was not run)"; \
+           else \
+             skipped="($$skip tests were not run)"; \
+           fi; \
+           test `echo "$$skipped" | wc -c` -le `echo "$$banner" | wc -c` || \
+             dashes="$$skipped"; \
+         fi; \
+         report=""; \
+         if test "$$failed" -ne 0 && test -n "$(PACKAGE_BUGREPORT)"; then \
+           report="Please report to $(PACKAGE_BUGREPORT)"; \
+           test `echo "$$report" | wc -c` -le `echo "$$banner" | wc -c` || \
+             dashes="$$report"; \
+         fi; \
+         dashes=`echo "$$dashes" | sed s/./=/g`; \
+         echo "$$dashes"; \
+         echo "$$banner"; \
+         test -z "$$skipped" || echo "$$skipped"; \
+         test -z "$$report" || echo "$$report"; \
+         echo "$$dashes"; \
+         test "$$failed" -eq 0; \
+       else :; fi
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+       $(MAKE) $(AM_MAKEFLAGS) check-TESTS
+check: check-am
+all-am: Makefile
+installdirs:
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-TESTS check-am clean clean-generic \
+       clean-libtool dist-hook distclean distclean-generic \
+       distclean-libtool distdir dvi dvi-am html html-am info info-am \
+       install install-am install-data install-data-am install-dvi \
+       install-dvi-am install-exec install-exec-am install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-ps install-ps-am \
+       install-strip installcheck installcheck-am installdirs \
+       maintainer-clean maintainer-clean-generic mostlyclean \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-usrp/Makefile.in b/gr-usrp/Makefile.in
new file mode 100644 (file)
index 0000000..d7a55e1
--- /dev/null
@@ -0,0 +1,1069 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(srcdir)/gnuradio-usrp.pc.in $(top_srcdir)/Makefile.common
+subdir = gr-usrp
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES = gnuradio-usrp.pc
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(pkgconfigdir)"
+pkgconfigDATA_INSTALL = $(INSTALL_DATA)
+DATA = $(pkgconfig_DATA)
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+EXTRA_DIST = \
+       README_MULTI_USRP.txt \
+       gnuradio-usrp.pc.in
+
+SUBDIRS = src apps
+pkgconfigdir = $(libdir)/pkgconfig
+pkgconfig_DATA = gnuradio-usrp.pc
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-usrp/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-usrp/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+gnuradio-usrp.pc: $(top_builddir)/config.status $(srcdir)/gnuradio-usrp.pc.in
+       cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-pkgconfigDATA: $(pkgconfig_DATA)
+       @$(NORMAL_INSTALL)
+       test -z "$(pkgconfigdir)" || $(MKDIR_P) "$(DESTDIR)$(pkgconfigdir)"
+       @list='$(pkgconfig_DATA)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(pkgconfigDATA_INSTALL) '$$d$$p' '$(DESTDIR)$(pkgconfigdir)/$$f'"; \
+         $(pkgconfigDATA_INSTALL) "$$d$$p" "$(DESTDIR)$(pkgconfigdir)/$$f"; \
+       done
+
+uninstall-pkgconfigDATA:
+       @$(NORMAL_UNINSTALL)
+       @list='$(pkgconfig_DATA)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(pkgconfigdir)/$$f'"; \
+         rm -f "$(DESTDIR)$(pkgconfigdir)/$$f"; \
+       done
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-recursive
+all-am: Makefile $(DATA)
+installdirs: installdirs-recursive
+installdirs-am:
+       for dir in "$(DESTDIR)$(pkgconfigdir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am: install-pkgconfigDATA
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am: uninstall-pkgconfigDATA
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive dist-hook distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-pkgconfigDATA install-ps install-ps-am install-strip \
+       installcheck installcheck-am installdirs installdirs-am \
+       maintainer-clean maintainer-clean-generic mostlyclean \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       tags tags-recursive uninstall uninstall-am \
+       uninstall-pkgconfigDATA
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-usrp/apps/Makefile.in b/gr-usrp/apps/Makefile.in
new file mode 100644 (file)
index 0000000..e96d9e8
--- /dev/null
@@ -0,0 +1,1020 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(noinst_HEADERS) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(top_srcdir)/Makefile.common
+noinst_PROGRAMS = usrp_rx_cfile$(EXEEXT) usrp_siggen$(EXEEXT)
+subdir = gr-usrp/apps
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+PROGRAMS = $(noinst_PROGRAMS)
+am_usrp_rx_cfile_OBJECTS = usrp_rx_cfile.$(OBJEXT)
+usrp_rx_cfile_OBJECTS = $(am_usrp_rx_cfile_OBJECTS)
+usrp_rx_cfile_LDADD = $(LDADD)
+am__DEPENDENCIES_1 =
+usrp_rx_cfile_DEPENDENCIES = $(am__DEPENDENCIES_1) \
+       $(am__DEPENDENCIES_1) $(GR_USRP_LA)
+am_usrp_siggen_OBJECTS = usrp_siggen.$(OBJEXT)
+usrp_siggen_OBJECTS = $(am_usrp_siggen_OBJECTS)
+usrp_siggen_LDADD = $(LDADD)
+usrp_siggen_DEPENDENCIES = $(am__DEPENDENCIES_1) $(am__DEPENDENCIES_1) \
+       $(GR_USRP_LA)
+DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
+depcomp = $(SHELL) $(top_srcdir)/depcomp
+am__depfiles_maybe = depfiles
+CXXCOMPILE = $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+LTCXXCOMPILE = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+CXXLD = $(CXX)
+CXXLINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CXXLD) $(AM_CXXFLAGS) $(CXXFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+SOURCES = $(usrp_rx_cfile_SOURCES) $(usrp_siggen_SOURCES)
+DIST_SOURCES = $(usrp_rx_cfile_SOURCES) $(usrp_siggen_SOURCES)
+HEADERS = $(noinst_HEADERS)
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+
+# For compiling within the GNU Radio build tree
+AM_CPPFLAGS = $(STD_DEFINES_AND_INCLUDES) \
+        -I$(top_srcdir)/gr-usrp/src \
+        -I$(top_srcdir)/usrp/host/lib/legacy \
+        -I\${abs_top_builddir}/usrp/host/lib/legacy \
+        -I$(top_srcdir)/usrp/firmware/include \
+        $(WITH_INCLUDES)
+
+GR_USRP_LA = $(top_builddir)/gr-usrp/src/libgnuradio-usrp.la
+
+# For compiling outside the tree, these will get fished out by pkgconfig
+LDADD = \
+       $(BOOST_LDFLAGS) \
+       $(BOOST_PROGRAM_OPTIONS_LIB) \
+       $(GR_USRP_LA)
+
+noinst_HEADERS = \
+       usrp_rx_cfile.h \
+       usrp_siggen.h
+
+usrp_rx_cfile_SOURCES = \
+    usrp_rx_cfile.cc
+
+usrp_siggen_SOURCES = \
+    usrp_siggen.cc
+
+all: all-am
+
+.SUFFIXES:
+.SUFFIXES: .cc .lo .o .obj
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-usrp/apps/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-usrp/apps/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+clean-noinstPROGRAMS:
+       @list='$(noinst_PROGRAMS)'; for p in $$list; do \
+         f=`echo $$p|sed 's/$(EXEEXT)$$//'`; \
+         echo " rm -f $$p $$f"; \
+         rm -f $$p $$f ; \
+       done
+usrp_rx_cfile$(EXEEXT): $(usrp_rx_cfile_OBJECTS) $(usrp_rx_cfile_DEPENDENCIES) 
+       @rm -f usrp_rx_cfile$(EXEEXT)
+       $(CXXLINK) $(usrp_rx_cfile_OBJECTS) $(usrp_rx_cfile_LDADD) $(LIBS)
+usrp_siggen$(EXEEXT): $(usrp_siggen_OBJECTS) $(usrp_siggen_DEPENDENCIES) 
+       @rm -f usrp_siggen$(EXEEXT)
+       $(CXXLINK) $(usrp_siggen_OBJECTS) $(usrp_siggen_LDADD) $(LIBS)
+
+mostlyclean-compile:
+       -rm -f *.$(OBJEXT)
+
+distclean-compile:
+       -rm -f *.tab.c
+
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/usrp_rx_cfile.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/usrp_siggen.Po@am__quote@
+
+.cc.o:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ $<
+
+.cc.obj:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ `$(CYGPATH_W) '$<'`
+
+.cc.lo:
+@am__fastdepCXX_TRUE@  $(LTCXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LTCXXCOMPILE) -c -o $@ $<
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile $(PROGRAMS) $(HEADERS)
+installdirs:
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool clean-noinstPROGRAMS \
+       mostlyclean-am
+
+distclean: distclean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+distclean-am: clean-am distclean-compile distclean-generic \
+       distclean-tags
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-compile mostlyclean-generic \
+       mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: install-am install-strip
+
+.PHONY: CTAGS GTAGS all all-am check check-am clean clean-generic \
+       clean-libtool clean-noinstPROGRAMS ctags dist-hook distclean \
+       distclean-compile distclean-generic distclean-libtool \
+       distclean-tags distdir dvi dvi-am html html-am info info-am \
+       install install-am install-data install-data-am install-dvi \
+       install-dvi-am install-exec install-exec-am install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-ps install-ps-am \
+       install-strip installcheck installcheck-am installdirs \
+       maintainer-clean maintainer-clean-generic mostlyclean \
+       mostlyclean-compile mostlyclean-generic mostlyclean-libtool \
+       pdf pdf-am ps ps-am tags uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-usrp/src/Makefile.in b/gr-usrp/src/Makefile.in
new file mode 100644 (file)
index 0000000..b1d845d
--- /dev/null
@@ -0,0 +1,1510 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004,2005,2006,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# Makefile.swig.gen for usrp_swig.i
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(grinclude_HEADERS) $(noinst_PYTHON) \
+       $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(srcdir)/Makefile.swig.gen $(srcdir)/run_tests.in \
+       $(top_srcdir)/Makefile.common $(top_srcdir)/Makefile.swig \
+       $(usrp_swig_python_PYTHON) $(usrp_swig_swiginclude_HEADERS)
+subdir = gr-usrp/src
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES = run_tests
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(libdir)" \
+       "$(DESTDIR)$(usrp_swig_pylibdir)" \
+       "$(DESTDIR)$(usrp_swig_pythondir)" "$(DESTDIR)$(grincludedir)" \
+       "$(DESTDIR)$(usrp_swig_swigincludedir)"
+libLTLIBRARIES_INSTALL = $(INSTALL)
+usrp_swig_pylibLTLIBRARIES_INSTALL = $(INSTALL)
+LTLIBRARIES = $(lib_LTLIBRARIES) $(usrp_swig_pylib_LTLIBRARIES)
+am__DEPENDENCIES_1 =
+_usrp_swig_la_DEPENDENCIES = $(am__DEPENDENCIES_1) \
+       $(usrp_swig_la_swig_libadd)
+am__usrp_swig_la_OBJECTS = _usrp_swig_la-usrp_swig.lo
+_usrp_swig_la_OBJECTS = $(am__usrp_swig_la_OBJECTS)
+_usrp_swig_la_LINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) \
+       $(LIBTOOLFLAGS) --mode=link $(CXXLD) $(_usrp_swig_la_CXXFLAGS) \
+       $(CXXFLAGS) $(_usrp_swig_la_LDFLAGS) $(LDFLAGS) -o $@
+libgnuradio_usrp_la_DEPENDENCIES = $(am__DEPENDENCIES_1) \
+       $(am__DEPENDENCIES_1)
+am_libgnuradio_usrp_la_OBJECTS = usrp_base.lo usrp_sink_base.lo \
+       usrp_sink_c.lo usrp_sink_s.lo usrp_source_base.lo \
+       usrp_source_c.lo usrp_source_s.lo
+libgnuradio_usrp_la_OBJECTS = $(am_libgnuradio_usrp_la_OBJECTS)
+libgnuradio_usrp_la_LINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) \
+       $(LIBTOOLFLAGS) --mode=link $(CXXLD) $(AM_CXXFLAGS) \
+       $(CXXFLAGS) $(libgnuradio_usrp_la_LDFLAGS) $(LDFLAGS) -o $@
+DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
+depcomp = $(SHELL) $(top_srcdir)/depcomp
+am__depfiles_maybe = depfiles
+CXXCOMPILE = $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+LTCXXCOMPILE = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+CXXLD = $(CXX)
+CXXLINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CXXLD) $(AM_CXXFLAGS) $(CXXFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+SOURCES = $(_usrp_swig_la_SOURCES) $(libgnuradio_usrp_la_SOURCES)
+DIST_SOURCES = $(_usrp_swig_la_SOURCES) $(libgnuradio_usrp_la_SOURCES)
+usrp_swig_pythonPYTHON_INSTALL = $(INSTALL_DATA)
+py_compile = $(top_srcdir)/py-compile
+grincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+usrp_swig_swigincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+HEADERS = $(grinclude_HEADERS) $(usrp_swig_swiginclude_HEADERS)
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = $(DEPDIR)/usrp_swig-generate-*
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp \
+       *.loT $(DEPDIR)/*.S*
+
+# ----------------------------------------------------------------
+# Misc. build/installation activities
+EXTRA_DIST = run_tests.in
+TESTS = run_tests
+DISTCLEANFILES = run_tests
+noinst_PYTHON = qa_usrp.py
+
+# ----------------------------------------------------------------
+# The straight C++ library
+AM_CPPFLAGS = \
+       $(STD_DEFINES_AND_INCLUDES) \
+       $(PYTHON_CPPFLAGS) \
+       $(USRP_INCLUDES) \
+       $(WITH_INCLUDES)
+
+lib_LTLIBRARIES = \
+       libgnuradio-usrp.la
+
+libgnuradio_usrp_la_SOURCES = \
+       usrp_base.cc \
+       usrp_sink_base.cc \
+       usrp_sink_c.cc \
+       usrp_sink_s.cc \
+       usrp_source_base.cc \
+       usrp_source_c.cc \
+       usrp_source_s.cc
+
+libgnuradio_usrp_la_LIBADD = \
+       $(GNURADIO_CORE_LA) \
+       $(USRP_LA)                      
+
+libgnuradio_usrp_la_LDFLAGS = $(NO_UNDEFINED) -version-info 0:0:0
+grinclude_HEADERS = \
+       usrp_base.h \
+       usrp_sink_base.h \
+       usrp_sink_c.h \
+       usrp_sink_s.h \
+       usrp_source_base.h \
+       usrp_source_c.h \
+       usrp_source_s.h
+
+
+# ----------------------------------------------------------------
+# The SWIG library
+TOP_SWIG_IFILES = \
+       usrp_swig.i
+
+
+# Install so that they end up available as:
+#   import gnuradio.usrp
+# This ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio/usrp
+usrp_swig_pythondir_category = \
+       gnuradio/usrp
+
+
+# additional arguments to the SWIG command
+usrp_swig_swig_args = \
+       $(USRP_INCLUDES)
+
+
+# additional libraries for linking with the SWIG-generated library
+usrp_swig_la_swig_libadd = \
+       libgnuradio-usrp.la
+
+
+# additional Python files to be installed along with the SWIG-generated one
+usrp_swig_python = \
+       __init__.py
+
+
+# additional SWIG files to be installed
+usrp_swig_swiginclude_headers = \
+       usrp_base.i             \
+       usrp_source_base.i      \
+       usrp_source_c.i         \
+       usrp_source_s.i         \
+       usrp_sink_base.i        \
+       usrp_sink_c.i           \
+       usrp_sink_s.i           \
+       usrp_standard.i
+
+SWIG_PYTHON_FLAGS = \
+       -fvirtual       \
+       -python         \
+       -modern         \
+       -keyword        \
+       -w511           \
+       -outdir .
+
+STD_SWIG_PYTHON_ARGS = \
+       $(SWIG_PYTHON_FLAGS)                    \
+       $(STD_DEFINES_AND_INCLUDES)             \
+       $(WITH_SWIG_INCLUDES)                   \
+       $(WITH_INCLUDES)
+
+STD_SWIG_LA_LD_FLAGS = \
+       $(PYTHON_LDFLAGS)       \
+       -module                 \
+       -avoid-version          \
+       $(NO_UNDEFINED)
+
+STD_SWIG_LA_LIB_ADD = \
+       -lstdc++
+
+STD_SWIG_CXX_FLAGS = @swig_CXXFLAGS@
+SUFFIXES = .i
+swig_built_sources = usrp_swig.py usrp_swig.cc
+usrp_swig_pythondir = $(pythondir)/$(usrp_swig_pythondir_category)
+usrp_swig_pylibdir = $(pyexecdir)/$(usrp_swig_pylibdir_category)
+usrp_swig_swigincludedir = $(swigincludedir)
+usrp_swig_swiginclude_HEADERS = \
+       usrp_swig.i                     \
+       $(usrp_swig_swiginclude_headers)
+
+usrp_swig_pylib_LTLIBRARIES = \
+       _usrp_swig.la
+
+_usrp_swig_la_SOURCES = \
+       usrp_swig.cc                    \
+       $(usrp_swig_la_swig_sources)
+
+_usrp_swig_la_LIBADD = \
+       $(STD_SWIG_LA_LIB_ADD)          \
+       $(usrp_swig_la_swig_libadd)
+
+_usrp_swig_la_LDFLAGS = \
+       $(STD_SWIG_LA_LD_FLAGS)         \
+       $(usrp_swig_la_swig_ldflags)
+
+_usrp_swig_la_CXXFLAGS = \
+       $(STD_SWIG_CXX_FLAGS)           \
+       $(usrp_swig_la_swig_cxxflags)
+
+usrp_swig_python_PYTHON = \
+       usrp_swig.py                    \
+       $(usrp_swig_python)
+
+
+# add some of the variables generated inside the Makefile.swig.gen
+BUILT_SOURCES = $(swig_built_sources)
+
+# Do not distribute the output of SWIG
+no_dist_files = $(swig_built_sources)
+all: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) all-am
+
+.SUFFIXES:
+.SUFFIXES: .i .cc .lo .o .obj
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(top_srcdir)/Makefile.swig $(srcdir)/Makefile.swig.gen $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-usrp/src/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-usrp/src/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+run_tests: $(top_builddir)/config.status $(srcdir)/run_tests.in
+       cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@
+install-libLTLIBRARIES: $(lib_LTLIBRARIES)
+       @$(NORMAL_INSTALL)
+       test -z "$(libdir)" || $(MKDIR_P) "$(DESTDIR)$(libdir)"
+       @list='$(lib_LTLIBRARIES)'; for p in $$list; do \
+         if test -f $$p; then \
+           f=$(am__strip_dir) \
+           echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(libLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) '$$p' '$(DESTDIR)$(libdir)/$$f'"; \
+           $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(libLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) "$$p" "$(DESTDIR)$(libdir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-libLTLIBRARIES:
+       @$(NORMAL_UNINSTALL)
+       @list='$(lib_LTLIBRARIES)'; for p in $$list; do \
+         p=$(am__strip_dir) \
+         echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f '$(DESTDIR)$(libdir)/$$p'"; \
+         $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f "$(DESTDIR)$(libdir)/$$p"; \
+       done
+
+clean-libLTLIBRARIES:
+       -test -z "$(lib_LTLIBRARIES)" || rm -f $(lib_LTLIBRARIES)
+       @list='$(lib_LTLIBRARIES)'; for p in $$list; do \
+         dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
+         test "$$dir" != "$$p" || dir=.; \
+         echo "rm -f \"$${dir}/so_locations\""; \
+         rm -f "$${dir}/so_locations"; \
+       done
+install-usrp_swig_pylibLTLIBRARIES: $(usrp_swig_pylib_LTLIBRARIES)
+       @$(NORMAL_INSTALL)
+       test -z "$(usrp_swig_pylibdir)" || $(MKDIR_P) "$(DESTDIR)$(usrp_swig_pylibdir)"
+       @list='$(usrp_swig_pylib_LTLIBRARIES)'; for p in $$list; do \
+         if test -f $$p; then \
+           f=$(am__strip_dir) \
+           echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(usrp_swig_pylibLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) '$$p' '$(DESTDIR)$(usrp_swig_pylibdir)/$$f'"; \
+           $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(usrp_swig_pylibLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) "$$p" "$(DESTDIR)$(usrp_swig_pylibdir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-usrp_swig_pylibLTLIBRARIES:
+       @$(NORMAL_UNINSTALL)
+       @list='$(usrp_swig_pylib_LTLIBRARIES)'; for p in $$list; do \
+         p=$(am__strip_dir) \
+         echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f '$(DESTDIR)$(usrp_swig_pylibdir)/$$p'"; \
+         $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f "$(DESTDIR)$(usrp_swig_pylibdir)/$$p"; \
+       done
+
+clean-usrp_swig_pylibLTLIBRARIES:
+       -test -z "$(usrp_swig_pylib_LTLIBRARIES)" || rm -f $(usrp_swig_pylib_LTLIBRARIES)
+       @list='$(usrp_swig_pylib_LTLIBRARIES)'; for p in $$list; do \
+         dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
+         test "$$dir" != "$$p" || dir=.; \
+         echo "rm -f \"$${dir}/so_locations\""; \
+         rm -f "$${dir}/so_locations"; \
+       done
+_usrp_swig.la: $(_usrp_swig_la_OBJECTS) $(_usrp_swig_la_DEPENDENCIES) 
+       $(_usrp_swig_la_LINK) -rpath $(usrp_swig_pylibdir) $(_usrp_swig_la_OBJECTS) $(_usrp_swig_la_LIBADD) $(LIBS)
+libgnuradio-usrp.la: $(libgnuradio_usrp_la_OBJECTS) $(libgnuradio_usrp_la_DEPENDENCIES) 
+       $(libgnuradio_usrp_la_LINK) -rpath $(libdir) $(libgnuradio_usrp_la_OBJECTS) $(libgnuradio_usrp_la_LIBADD) $(LIBS)
+
+mostlyclean-compile:
+       -rm -f *.$(OBJEXT)
+
+distclean-compile:
+       -rm -f *.tab.c
+
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_usrp_swig_la-usrp_swig.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/usrp_base.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/usrp_sink_base.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/usrp_sink_c.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/usrp_sink_s.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/usrp_source_base.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/usrp_source_c.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/usrp_source_s.Plo@am__quote@
+
+.cc.o:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ $<
+
+.cc.obj:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ `$(CYGPATH_W) '$<'`
+
+.cc.lo:
+@am__fastdepCXX_TRUE@  $(LTCXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LTCXXCOMPILE) -c -o $@ $<
+
+_usrp_swig_la-usrp_swig.lo: usrp_swig.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_usrp_swig_la_CXXFLAGS) $(CXXFLAGS) -MT _usrp_swig_la-usrp_swig.lo -MD -MP -MF $(DEPDIR)/_usrp_swig_la-usrp_swig.Tpo -c -o _usrp_swig_la-usrp_swig.lo `test -f 'usrp_swig.cc' || echo '$(srcdir)/'`usrp_swig.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_usrp_swig_la-usrp_swig.Tpo $(DEPDIR)/_usrp_swig_la-usrp_swig.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='usrp_swig.cc' object='_usrp_swig_la-usrp_swig.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_usrp_swig_la_CXXFLAGS) $(CXXFLAGS) -c -o _usrp_swig_la-usrp_swig.lo `test -f 'usrp_swig.cc' || echo '$(srcdir)/'`usrp_swig.cc
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-usrp_swig_pythonPYTHON: $(usrp_swig_python_PYTHON)
+       @$(NORMAL_INSTALL)
+       test -z "$(usrp_swig_pythondir)" || $(MKDIR_P) "$(DESTDIR)$(usrp_swig_pythondir)"
+       @list='$(usrp_swig_python_PYTHON)'; dlist=''; for p in $$list; do\
+         if test -f "$$p"; then b=; else b="$(srcdir)/"; fi; \
+         if test -f $$b$$p; then \
+           f=$(am__strip_dir) \
+           dlist="$$dlist $$f"; \
+           echo " $(usrp_swig_pythonPYTHON_INSTALL) '$$b$$p' '$(DESTDIR)$(usrp_swig_pythondir)/$$f'"; \
+           $(usrp_swig_pythonPYTHON_INSTALL) "$$b$$p" "$(DESTDIR)$(usrp_swig_pythondir)/$$f"; \
+         else :; fi; \
+       done; \
+       if test -n "$$dlist"; then \
+         if test -z "$(DESTDIR)"; then \
+           PYTHON=$(PYTHON) $(py_compile) --basedir "$(usrp_swig_pythondir)" $$dlist; \
+         else \
+           PYTHON=$(PYTHON) $(py_compile) --destdir "$(DESTDIR)" --basedir "$(usrp_swig_pythondir)" $$dlist; \
+         fi; \
+       else :; fi
+
+uninstall-usrp_swig_pythonPYTHON:
+       @$(NORMAL_UNINSTALL)
+       @list='$(usrp_swig_python_PYTHON)'; dlist=''; for p in $$list; do\
+         f=$(am__strip_dir) \
+         rm -f "$(DESTDIR)$(usrp_swig_pythondir)/$$f"; \
+         rm -f "$(DESTDIR)$(usrp_swig_pythondir)/$${f}c"; \
+         rm -f "$(DESTDIR)$(usrp_swig_pythondir)/$${f}o"; \
+       done
+install-grincludeHEADERS: $(grinclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(grincludedir)" || $(MKDIR_P) "$(DESTDIR)$(grincludedir)"
+       @list='$(grinclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(grincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(grincludedir)/$$f'"; \
+         $(grincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(grincludedir)/$$f"; \
+       done
+
+uninstall-grincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(grinclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(grincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(grincludedir)/$$f"; \
+       done
+install-usrp_swig_swigincludeHEADERS: $(usrp_swig_swiginclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(usrp_swig_swigincludedir)" || $(MKDIR_P) "$(DESTDIR)$(usrp_swig_swigincludedir)"
+       @list='$(usrp_swig_swiginclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(usrp_swig_swigincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(usrp_swig_swigincludedir)/$$f'"; \
+         $(usrp_swig_swigincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(usrp_swig_swigincludedir)/$$f"; \
+       done
+
+uninstall-usrp_swig_swigincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(usrp_swig_swiginclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(usrp_swig_swigincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(usrp_swig_swigincludedir)/$$f"; \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+check-TESTS: $(TESTS)
+       @failed=0; all=0; xfail=0; xpass=0; skip=0; \
+       srcdir=$(srcdir); export srcdir; \
+       list=' $(TESTS) '; \
+       if test -n "$$list"; then \
+         for tst in $$list; do \
+           if test -f ./$$tst; then dir=./; \
+           elif test -f $$tst; then dir=; \
+           else dir="$(srcdir)/"; fi; \
+           if $(TESTS_ENVIRONMENT) $${dir}$$tst; then \
+             all=`expr $$all + 1`; \
+             case " $(XFAIL_TESTS) " in \
+             *[\ \     ]$$tst[\ \      ]*) \
+               xpass=`expr $$xpass + 1`; \
+               failed=`expr $$failed + 1`; \
+               echo "XPASS: $$tst"; \
+             ;; \
+             *) \
+               echo "PASS: $$tst"; \
+             ;; \
+             esac; \
+           elif test $$? -ne 77; then \
+             all=`expr $$all + 1`; \
+             case " $(XFAIL_TESTS) " in \
+             *[\ \     ]$$tst[\ \      ]*) \
+               xfail=`expr $$xfail + 1`; \
+               echo "XFAIL: $$tst"; \
+             ;; \
+             *) \
+               failed=`expr $$failed + 1`; \
+               echo "FAIL: $$tst"; \
+             ;; \
+             esac; \
+           else \
+             skip=`expr $$skip + 1`; \
+             echo "SKIP: $$tst"; \
+           fi; \
+         done; \
+         if test "$$all" -eq 1; then \
+           tests="test"; \
+           All=""; \
+         else \
+           tests="tests"; \
+           All="All "; \
+         fi; \
+         if test "$$failed" -eq 0; then \
+           if test "$$xfail" -eq 0; then \
+             banner="$$All$$all $$tests passed"; \
+           else \
+             if test "$$xfail" -eq 1; then failures=failure; else failures=failures; fi; \
+             banner="$$All$$all $$tests behaved as expected ($$xfail expected $$failures)"; \
+           fi; \
+         else \
+           if test "$$xpass" -eq 0; then \
+             banner="$$failed of $$all $$tests failed"; \
+           else \
+             if test "$$xpass" -eq 1; then passes=pass; else passes=passes; fi; \
+             banner="$$failed of $$all $$tests did not behave as expected ($$xpass unexpected $$passes)"; \
+           fi; \
+         fi; \
+         dashes="$$banner"; \
+         skipped=""; \
+         if test "$$skip" -ne 0; then \
+           if test "$$skip" -eq 1; then \
+             skipped="($$skip test was not run)"; \
+           else \
+             skipped="($$skip tests were not run)"; \
+           fi; \
+           test `echo "$$skipped" | wc -c` -le `echo "$$banner" | wc -c` || \
+             dashes="$$skipped"; \
+         fi; \
+         report=""; \
+         if test "$$failed" -ne 0 && test -n "$(PACKAGE_BUGREPORT)"; then \
+           report="Please report to $(PACKAGE_BUGREPORT)"; \
+           test `echo "$$report" | wc -c` -le `echo "$$banner" | wc -c` || \
+             dashes="$$report"; \
+         fi; \
+         dashes=`echo "$$dashes" | sed s/./=/g`; \
+         echo "$$dashes"; \
+         echo "$$banner"; \
+         test -z "$$skipped" || echo "$$skipped"; \
+         test -z "$$report" || echo "$$report"; \
+         echo "$$dashes"; \
+         test "$$failed" -eq 0; \
+       else :; fi
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+       $(MAKE) $(AM_MAKEFLAGS) check-TESTS
+check: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) check-am
+all-am: Makefile $(LTLIBRARIES) $(HEADERS)
+installdirs:
+       for dir in "$(DESTDIR)$(libdir)" "$(DESTDIR)$(usrp_swig_pylibdir)" "$(DESTDIR)$(usrp_swig_pythondir)" "$(DESTDIR)$(grincludedir)" "$(DESTDIR)$(usrp_swig_swigincludedir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+       -test -z "$(DISTCLEANFILES)" || rm -f $(DISTCLEANFILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+       -test -z "$(BUILT_SOURCES)" || rm -f $(BUILT_SOURCES)
+clean: clean-am
+
+clean-am: clean-generic clean-libLTLIBRARIES clean-libtool \
+       clean-usrp_swig_pylibLTLIBRARIES mostlyclean-am
+
+distclean: distclean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+distclean-am: clean-am distclean-compile distclean-generic \
+       distclean-tags
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-grincludeHEADERS \
+       install-usrp_swig_pylibLTLIBRARIES \
+       install-usrp_swig_pythonPYTHON \
+       install-usrp_swig_swigincludeHEADERS
+
+install-dvi: install-dvi-am
+
+install-exec-am: install-libLTLIBRARIES
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-compile mostlyclean-generic \
+       mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-grincludeHEADERS uninstall-libLTLIBRARIES \
+       uninstall-usrp_swig_pylibLTLIBRARIES \
+       uninstall-usrp_swig_pythonPYTHON \
+       uninstall-usrp_swig_swigincludeHEADERS
+
+.MAKE: install-am install-strip
+
+.PHONY: CTAGS GTAGS all all-am check check-TESTS check-am clean \
+       clean-generic clean-libLTLIBRARIES clean-libtool \
+       clean-usrp_swig_pylibLTLIBRARIES ctags dist-hook distclean \
+       distclean-compile distclean-generic distclean-libtool \
+       distclean-tags distdir dvi dvi-am html html-am info info-am \
+       install install-am install-data install-data-am install-dvi \
+       install-dvi-am install-exec install-exec-am \
+       install-grincludeHEADERS install-html install-html-am \
+       install-info install-info-am install-libLTLIBRARIES \
+       install-man install-pdf install-pdf-am install-ps \
+       install-ps-am install-strip install-usrp_swig_pylibLTLIBRARIES \
+       install-usrp_swig_pythonPYTHON \
+       install-usrp_swig_swigincludeHEADERS installcheck \
+       installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-compile \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       tags uninstall uninstall-am uninstall-grincludeHEADERS \
+       uninstall-libLTLIBRARIES uninstall-usrp_swig_pylibLTLIBRARIES \
+       uninstall-usrp_swig_pythonPYTHON \
+       uninstall-usrp_swig_swigincludeHEADERS
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+
+generate-makefile-swig $(srcdir)/Makefile.swig.gen: $(top_srcdir)/Makefile.swig.gen.t
+       @do_recreate=0; \
+       if test -f $(srcdir)/Makefile.swig.gen; then \
+               if $(RM) $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                       if touch $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                               do_recreate=1; \
+                       fi; \
+               fi; \
+       else \
+               if touch $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                       do_recreate=1; \
+               fi; \
+       fi; \
+       if test "$$do_recreate" == "1"; then \
+               echo "Regenerating $(srcdir)/Makefile.swig.gen"; \
+               for TFILE in $(TOP_SWIG_IFILES); do \
+                       TNAME=`python -c "import os.path as op; (dN, fN) = op.split ('$$TFILE'); (fbN, fE) = op.splitext (fN); print fbN;"`; \
+                       $(SED) -e 's|@NAME@|'$$TNAME'|g;' < $(top_srcdir)/Makefile.swig.gen.t >> $(srcdir)/Makefile.swig.gen; \
+                       echo "" >> $(srcdir)/Makefile.swig.gen; \
+               done; \
+       else \
+               echo "Cannot recreate $(srcdir)/Makefile.swig.gen because the directory or file is write-protected."; \
+               exit -1; \
+       fi;
+
+usrp_swig_pythondir_category ?= gnuradio/usrp_swig
+usrp_swig_pylibdir_category ?= $(usrp_swig_pythondir_category)
+
+usrp_swig.h usrp_swig.py usrp_swig.cc: usrp_swig.i
+       trap 'rm -rf $(DEPDIR)/usrp_swig-generate-*' 1 2 13 15; \
+       if mkdir $(DEPDIR)/usrp_swig-generate-lock 2>/dev/null; then \
+               rm -f $(DEPDIR)/usrp_swig-generate-stamp; \
+               $(MAKE) $(AM_MAKEFLAGS) $(DEPDIR)/usrp_swig-generate-stamp WHAT=$<; \
+               rmdir $(DEPDIR)/usrp_swig-generate-lock; \
+       else \
+               while test -d $(DEPDIR)/usrp_swig-generate-lock; do \
+                       sleep 1; \
+               done; \
+               test -f $(DEPDIR)/usrp_swig-generate-stamp; \
+               exit $$?; \
+       fi;
+
+$(DEPDIR)/usrp_swig-generate-stamp:
+       if $(SWIG) $(STD_SWIG_PYTHON_ARGS) $(usrp_swig_swig_args) \
+               -MD -MF $(DEPDIR)/usrp_swig.Std \
+               -module usrp_swig -o usrp_swig.cc $(WHAT); then \
+           if test $(host_os) = mingw32; then \
+               $(RM) $(DEPDIR)/usrp_swig.Sd; \
+               $(SED) 's,\\\\,/,g' < $(DEPDIR)/usrp_swig.Std \
+                       > $(DEPDIR)/usrp_swig.Sd; \
+               $(RM) $(DEPDIR)/usrp_swig.Std; \
+               $(MV) $(DEPDIR)/usrp_swig.Sd $(DEPDIR)/usrp_swig.Std; \
+           fi; \
+       else \
+           $(RM) $(DEPDIR)/usrp_swig.S*; exit 1; \
+       fi;
+       $(RM) $(DEPDIR)/usrp_swig.d
+       cp $(DEPDIR)/usrp_swig.Std $(DEPDIR)/usrp_swig.d
+       echo "" >> $(DEPDIR)/usrp_swig.d
+       $(SED) -e '1d;s, \\,,g;s, ,,g' < $(DEPDIR)/usrp_swig.Std | \
+               awk '{ printf "%s:\n\n", $$0 }' >> $(DEPDIR)/usrp_swig.d
+       $(RM) $(DEPDIR)/usrp_swig.Std
+       touch $(DEPDIR)/usrp_swig-generate-stamp
+
+# KLUDGE: Force runtime include of a SWIG dependency file.  This is
+# not guaranteed to be portable, but will probably work.  If it works,
+# we have accurate dependencies for our swig stuff, which is good.
+
+@am__include@ @am__quote@./$(DEPDIR)/usrp_swig.d@am__quote@
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-usrp2/Makefile.in b/gr-usrp2/Makefile.in
new file mode 100644 (file)
index 0000000..0bf7917
--- /dev/null
@@ -0,0 +1,1065 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2001,2006,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(srcdir)/gnuradio-usrp2.pc.in $(top_srcdir)/Makefile.common
+subdir = gr-usrp2
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES = gnuradio-usrp2.pc
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(pkgconfigdir)"
+pkgconfigDATA_INSTALL = $(INSTALL_DATA)
+DATA = $(pkgconfig_DATA)
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+SUBDIRS = src
+pkgconfigdir = $(libdir)/pkgconfig
+pkgconfig_DATA = gnuradio-usrp2.pc
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-usrp2/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-usrp2/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+gnuradio-usrp2.pc: $(top_builddir)/config.status $(srcdir)/gnuradio-usrp2.pc.in
+       cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-pkgconfigDATA: $(pkgconfig_DATA)
+       @$(NORMAL_INSTALL)
+       test -z "$(pkgconfigdir)" || $(MKDIR_P) "$(DESTDIR)$(pkgconfigdir)"
+       @list='$(pkgconfig_DATA)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(pkgconfigDATA_INSTALL) '$$d$$p' '$(DESTDIR)$(pkgconfigdir)/$$f'"; \
+         $(pkgconfigDATA_INSTALL) "$$d$$p" "$(DESTDIR)$(pkgconfigdir)/$$f"; \
+       done
+
+uninstall-pkgconfigDATA:
+       @$(NORMAL_UNINSTALL)
+       @list='$(pkgconfig_DATA)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(pkgconfigdir)/$$f'"; \
+         rm -f "$(DESTDIR)$(pkgconfigdir)/$$f"; \
+       done
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-recursive
+all-am: Makefile $(DATA)
+installdirs: installdirs-recursive
+installdirs-am:
+       for dir in "$(DESTDIR)$(pkgconfigdir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am: install-pkgconfigDATA
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am: uninstall-pkgconfigDATA
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive dist-hook distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-pkgconfigDATA install-ps install-ps-am install-strip \
+       installcheck installcheck-am installdirs installdirs-am \
+       maintainer-clean maintainer-clean-generic mostlyclean \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       tags tags-recursive uninstall uninstall-am \
+       uninstall-pkgconfigDATA
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-usrp2/src/Makefile.in b/gr-usrp2/src/Makefile.in
new file mode 100644 (file)
index 0000000..0df4fc0
--- /dev/null
@@ -0,0 +1,1507 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004,2005,2006,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# Makefile.swig.gen for usrp2.i
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(grinclude_HEADERS) $(noinst_HEADERS) $(noinst_PYTHON) \
+       $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(srcdir)/Makefile.swig.gen $(srcdir)/run_tests.in \
+       $(top_srcdir)/Makefile.common $(top_srcdir)/Makefile.swig \
+       $(usrp2_python_PYTHON) $(usrp2_swiginclude_HEADERS)
+subdir = gr-usrp2/src
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES = run_tests
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(libdir)" "$(DESTDIR)$(usrp2_pylibdir)" \
+       "$(DESTDIR)$(usrp2_pythondir)" "$(DESTDIR)$(grincludedir)" \
+       "$(DESTDIR)$(usrp2_swigincludedir)"
+libLTLIBRARIES_INSTALL = $(INSTALL)
+usrp2_pylibLTLIBRARIES_INSTALL = $(INSTALL)
+LTLIBRARIES = $(lib_LTLIBRARIES) $(usrp2_pylib_LTLIBRARIES)
+am__DEPENDENCIES_1 =
+_usrp2_la_DEPENDENCIES = $(am__DEPENDENCIES_1) $(usrp2_la_swig_libadd)
+am__usrp2_la_OBJECTS = _usrp2_la-usrp2.lo
+_usrp2_la_OBJECTS = $(am__usrp2_la_OBJECTS)
+_usrp2_la_LINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) \
+       $(LIBTOOLFLAGS) --mode=link $(CXXLD) $(_usrp2_la_CXXFLAGS) \
+       $(CXXFLAGS) $(_usrp2_la_LDFLAGS) $(LDFLAGS) -o $@
+libgnuradio_usrp2_la_DEPENDENCIES = $(am__DEPENDENCIES_1) \
+       $(am__DEPENDENCIES_1)
+am_libgnuradio_usrp2_la_OBJECTS = rx_16sc_handler.lo \
+       rx_32fc_handler.lo usrp2_base.lo usrp2_source_base.lo \
+       usrp2_source_16sc.lo usrp2_source_32fc.lo usrp2_sink_base.lo \
+       usrp2_sink_16sc.lo usrp2_sink_32fc.lo
+libgnuradio_usrp2_la_OBJECTS = $(am_libgnuradio_usrp2_la_OBJECTS)
+DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
+depcomp = $(SHELL) $(top_srcdir)/depcomp
+am__depfiles_maybe = depfiles
+CXXCOMPILE = $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+LTCXXCOMPILE = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+CXXLD = $(CXX)
+CXXLINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CXXLD) $(AM_CXXFLAGS) $(CXXFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+SOURCES = $(_usrp2_la_SOURCES) $(libgnuradio_usrp2_la_SOURCES)
+DIST_SOURCES = $(_usrp2_la_SOURCES) $(libgnuradio_usrp2_la_SOURCES)
+usrp2_pythonPYTHON_INSTALL = $(INSTALL_DATA)
+py_compile = $(top_srcdir)/py-compile
+grincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+usrp2_swigincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+HEADERS = $(grinclude_HEADERS) $(noinst_HEADERS) \
+       $(usrp2_swiginclude_HEADERS)
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = $(DEPDIR)/usrp2-generate-*
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp \
+       *.loT $(DEPDIR)/*.S*
+
+# ----------------------------------------------------------------------
+# Local Python files, not installed
+#
+# qa_usrp2.py
+# ----------------------------------------------------------------------
+noinst_PYTHON = qa_usrp2.py
+
+# ----------------------------------------------------------------------
+# Miscellaneous build operations
+# ----------------------------------------------------------------------
+EXTRA_DIST = run_tests.in
+TESTS = run_tests
+DISTCLEANFILES = run_tests
+
+# ----------------------------------------------------------------------
+# C++ block API interface librar(ies)
+#
+# libgr-usrp.so
+# ----------------------------------------------------------------------
+AM_CPPFLAGS = \
+       $(STD_DEFINES_AND_INCLUDES)  \
+       $(GRUEL_INCLUDES) \
+       $(PYTHON_CPPFLAGS) \
+       $(USRP2_INCLUDES) \
+       $(WITH_INCLUDES)
+
+lib_LTLIBRARIES = libgnuradio-usrp2.la
+libgnuradio_usrp2_la_SOURCES = \
+       rx_16sc_handler.cc \
+       rx_32fc_handler.cc \
+       usrp2_base.cc \
+       usrp2_source_base.cc \
+       usrp2_source_16sc.cc \
+       usrp2_source_32fc.cc \
+       usrp2_sink_base.cc \
+       usrp2_sink_16sc.cc \
+       usrp2_sink_32fc.cc
+
+libgnuradio_usrp2_la_LIBADD = \
+       $(USRP2_LA) \
+       $(GNURADIO_CORE_LA) 
+
+grinclude_HEADERS = \
+       usrp2_base.h \
+       usrp2_source_base.h \
+       usrp2_source_32fc.h \
+       usrp2_source_16sc.h \
+       usrp2_sink_base.h \
+       usrp2_sink_16sc.h \
+       usrp2_sink_32fc.h
+
+noinst_HEADERS = \
+       rx_16sc_handler.h \
+       rx_32fc_handler.h
+
+
+# ----------------------------------------------------------------------
+# Python SWIG wrapper around C++ library
+#
+# usrp2.py
+# _usrp2.so
+# ----------------------------------------------------------------------
+TOP_SWIG_IFILES = \
+       usrp2.i
+
+
+# Install so that they end up available as:
+#   import gnuradio.usrp2
+# This ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+usrp2_pythondir_category = \
+       gnuradio
+
+
+# additional arguments to the SWIG command
+usrp2_swig_args = \
+       $(USRP2_INCLUDES)
+
+
+# additional libraries for linking with the SWIG-generated library
+usrp2_la_swig_libadd = \
+       libgnuradio-usrp2.la
+
+SWIG_PYTHON_FLAGS = \
+       -fvirtual       \
+       -python         \
+       -modern         \
+       -keyword        \
+       -w511           \
+       -outdir .
+
+STD_SWIG_PYTHON_ARGS = \
+       $(SWIG_PYTHON_FLAGS)                    \
+       $(STD_DEFINES_AND_INCLUDES)             \
+       $(WITH_SWIG_INCLUDES)                   \
+       $(WITH_INCLUDES)
+
+STD_SWIG_LA_LD_FLAGS = \
+       $(PYTHON_LDFLAGS)       \
+       -module                 \
+       -avoid-version          \
+       $(NO_UNDEFINED)
+
+STD_SWIG_LA_LIB_ADD = \
+       -lstdc++
+
+STD_SWIG_CXX_FLAGS = @swig_CXXFLAGS@
+SUFFIXES = .i
+swig_built_sources = usrp2.py usrp2.cc
+usrp2_pythondir = $(pythondir)/$(usrp2_pythondir_category)
+usrp2_pylibdir = $(pyexecdir)/$(usrp2_pylibdir_category)
+usrp2_swigincludedir = $(swigincludedir)
+usrp2_swiginclude_HEADERS = \
+       usrp2.i                 \
+       $(usrp2_swiginclude_headers)
+
+usrp2_pylib_LTLIBRARIES = \
+       _usrp2.la
+
+_usrp2_la_SOURCES = \
+       usrp2.cc                        \
+       $(usrp2_la_swig_sources)
+
+_usrp2_la_LIBADD = \
+       $(STD_SWIG_LA_LIB_ADD)          \
+       $(usrp2_la_swig_libadd)
+
+_usrp2_la_LDFLAGS = \
+       $(STD_SWIG_LA_LD_FLAGS)         \
+       $(usrp2_la_swig_ldflags)
+
+_usrp2_la_CXXFLAGS = \
+       $(STD_SWIG_CXX_FLAGS)           \
+       $(usrp2_la_swig_cxxflags)
+
+usrp2_python_PYTHON = \
+       usrp2.py                        \
+       $(usrp2_python)
+
+
+# add some of the variables generated inside the Makefile.swig.gen
+BUILT_SOURCES = $(swig_built_sources)
+
+# Do not distribute the output of SWIG
+no_dist_files = $(swig_built_sources)
+all: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) all-am
+
+.SUFFIXES:
+.SUFFIXES: .i .cc .lo .o .obj
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(top_srcdir)/Makefile.swig $(srcdir)/Makefile.swig.gen $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-usrp2/src/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-usrp2/src/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+run_tests: $(top_builddir)/config.status $(srcdir)/run_tests.in
+       cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@
+install-libLTLIBRARIES: $(lib_LTLIBRARIES)
+       @$(NORMAL_INSTALL)
+       test -z "$(libdir)" || $(MKDIR_P) "$(DESTDIR)$(libdir)"
+       @list='$(lib_LTLIBRARIES)'; for p in $$list; do \
+         if test -f $$p; then \
+           f=$(am__strip_dir) \
+           echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(libLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) '$$p' '$(DESTDIR)$(libdir)/$$f'"; \
+           $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(libLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) "$$p" "$(DESTDIR)$(libdir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-libLTLIBRARIES:
+       @$(NORMAL_UNINSTALL)
+       @list='$(lib_LTLIBRARIES)'; for p in $$list; do \
+         p=$(am__strip_dir) \
+         echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f '$(DESTDIR)$(libdir)/$$p'"; \
+         $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f "$(DESTDIR)$(libdir)/$$p"; \
+       done
+
+clean-libLTLIBRARIES:
+       -test -z "$(lib_LTLIBRARIES)" || rm -f $(lib_LTLIBRARIES)
+       @list='$(lib_LTLIBRARIES)'; for p in $$list; do \
+         dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
+         test "$$dir" != "$$p" || dir=.; \
+         echo "rm -f \"$${dir}/so_locations\""; \
+         rm -f "$${dir}/so_locations"; \
+       done
+install-usrp2_pylibLTLIBRARIES: $(usrp2_pylib_LTLIBRARIES)
+       @$(NORMAL_INSTALL)
+       test -z "$(usrp2_pylibdir)" || $(MKDIR_P) "$(DESTDIR)$(usrp2_pylibdir)"
+       @list='$(usrp2_pylib_LTLIBRARIES)'; for p in $$list; do \
+         if test -f $$p; then \
+           f=$(am__strip_dir) \
+           echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(usrp2_pylibLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) '$$p' '$(DESTDIR)$(usrp2_pylibdir)/$$f'"; \
+           $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(usrp2_pylibLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) "$$p" "$(DESTDIR)$(usrp2_pylibdir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-usrp2_pylibLTLIBRARIES:
+       @$(NORMAL_UNINSTALL)
+       @list='$(usrp2_pylib_LTLIBRARIES)'; for p in $$list; do \
+         p=$(am__strip_dir) \
+         echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f '$(DESTDIR)$(usrp2_pylibdir)/$$p'"; \
+         $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f "$(DESTDIR)$(usrp2_pylibdir)/$$p"; \
+       done
+
+clean-usrp2_pylibLTLIBRARIES:
+       -test -z "$(usrp2_pylib_LTLIBRARIES)" || rm -f $(usrp2_pylib_LTLIBRARIES)
+       @list='$(usrp2_pylib_LTLIBRARIES)'; for p in $$list; do \
+         dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
+         test "$$dir" != "$$p" || dir=.; \
+         echo "rm -f \"$${dir}/so_locations\""; \
+         rm -f "$${dir}/so_locations"; \
+       done
+_usrp2.la: $(_usrp2_la_OBJECTS) $(_usrp2_la_DEPENDENCIES) 
+       $(_usrp2_la_LINK) -rpath $(usrp2_pylibdir) $(_usrp2_la_OBJECTS) $(_usrp2_la_LIBADD) $(LIBS)
+libgnuradio-usrp2.la: $(libgnuradio_usrp2_la_OBJECTS) $(libgnuradio_usrp2_la_DEPENDENCIES) 
+       $(CXXLINK) -rpath $(libdir) $(libgnuradio_usrp2_la_OBJECTS) $(libgnuradio_usrp2_la_LIBADD) $(LIBS)
+
+mostlyclean-compile:
+       -rm -f *.$(OBJEXT)
+
+distclean-compile:
+       -rm -f *.tab.c
+
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_usrp2_la-usrp2.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/rx_16sc_handler.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/rx_32fc_handler.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/usrp2_base.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/usrp2_sink_16sc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/usrp2_sink_32fc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/usrp2_sink_base.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/usrp2_source_16sc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/usrp2_source_32fc.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/usrp2_source_base.Plo@am__quote@
+
+.cc.o:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ $<
+
+.cc.obj:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ `$(CYGPATH_W) '$<'`
+
+.cc.lo:
+@am__fastdepCXX_TRUE@  $(LTCXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LTCXXCOMPILE) -c -o $@ $<
+
+_usrp2_la-usrp2.lo: usrp2.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_usrp2_la_CXXFLAGS) $(CXXFLAGS) -MT _usrp2_la-usrp2.lo -MD -MP -MF $(DEPDIR)/_usrp2_la-usrp2.Tpo -c -o _usrp2_la-usrp2.lo `test -f 'usrp2.cc' || echo '$(srcdir)/'`usrp2.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_usrp2_la-usrp2.Tpo $(DEPDIR)/_usrp2_la-usrp2.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='usrp2.cc' object='_usrp2_la-usrp2.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_usrp2_la_CXXFLAGS) $(CXXFLAGS) -c -o _usrp2_la-usrp2.lo `test -f 'usrp2.cc' || echo '$(srcdir)/'`usrp2.cc
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-usrp2_pythonPYTHON: $(usrp2_python_PYTHON)
+       @$(NORMAL_INSTALL)
+       test -z "$(usrp2_pythondir)" || $(MKDIR_P) "$(DESTDIR)$(usrp2_pythondir)"
+       @list='$(usrp2_python_PYTHON)'; dlist=''; for p in $$list; do\
+         if test -f "$$p"; then b=; else b="$(srcdir)/"; fi; \
+         if test -f $$b$$p; then \
+           f=$(am__strip_dir) \
+           dlist="$$dlist $$f"; \
+           echo " $(usrp2_pythonPYTHON_INSTALL) '$$b$$p' '$(DESTDIR)$(usrp2_pythondir)/$$f'"; \
+           $(usrp2_pythonPYTHON_INSTALL) "$$b$$p" "$(DESTDIR)$(usrp2_pythondir)/$$f"; \
+         else :; fi; \
+       done; \
+       if test -n "$$dlist"; then \
+         if test -z "$(DESTDIR)"; then \
+           PYTHON=$(PYTHON) $(py_compile) --basedir "$(usrp2_pythondir)" $$dlist; \
+         else \
+           PYTHON=$(PYTHON) $(py_compile) --destdir "$(DESTDIR)" --basedir "$(usrp2_pythondir)" $$dlist; \
+         fi; \
+       else :; fi
+
+uninstall-usrp2_pythonPYTHON:
+       @$(NORMAL_UNINSTALL)
+       @list='$(usrp2_python_PYTHON)'; dlist=''; for p in $$list; do\
+         f=$(am__strip_dir) \
+         rm -f "$(DESTDIR)$(usrp2_pythondir)/$$f"; \
+         rm -f "$(DESTDIR)$(usrp2_pythondir)/$${f}c"; \
+         rm -f "$(DESTDIR)$(usrp2_pythondir)/$${f}o"; \
+       done
+install-grincludeHEADERS: $(grinclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(grincludedir)" || $(MKDIR_P) "$(DESTDIR)$(grincludedir)"
+       @list='$(grinclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(grincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(grincludedir)/$$f'"; \
+         $(grincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(grincludedir)/$$f"; \
+       done
+
+uninstall-grincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(grinclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(grincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(grincludedir)/$$f"; \
+       done
+install-usrp2_swigincludeHEADERS: $(usrp2_swiginclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(usrp2_swigincludedir)" || $(MKDIR_P) "$(DESTDIR)$(usrp2_swigincludedir)"
+       @list='$(usrp2_swiginclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(usrp2_swigincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(usrp2_swigincludedir)/$$f'"; \
+         $(usrp2_swigincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(usrp2_swigincludedir)/$$f"; \
+       done
+
+uninstall-usrp2_swigincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(usrp2_swiginclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(usrp2_swigincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(usrp2_swigincludedir)/$$f"; \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+check-TESTS: $(TESTS)
+       @failed=0; all=0; xfail=0; xpass=0; skip=0; \
+       srcdir=$(srcdir); export srcdir; \
+       list=' $(TESTS) '; \
+       if test -n "$$list"; then \
+         for tst in $$list; do \
+           if test -f ./$$tst; then dir=./; \
+           elif test -f $$tst; then dir=; \
+           else dir="$(srcdir)/"; fi; \
+           if $(TESTS_ENVIRONMENT) $${dir}$$tst; then \
+             all=`expr $$all + 1`; \
+             case " $(XFAIL_TESTS) " in \
+             *[\ \     ]$$tst[\ \      ]*) \
+               xpass=`expr $$xpass + 1`; \
+               failed=`expr $$failed + 1`; \
+               echo "XPASS: $$tst"; \
+             ;; \
+             *) \
+               echo "PASS: $$tst"; \
+             ;; \
+             esac; \
+           elif test $$? -ne 77; then \
+             all=`expr $$all + 1`; \
+             case " $(XFAIL_TESTS) " in \
+             *[\ \     ]$$tst[\ \      ]*) \
+               xfail=`expr $$xfail + 1`; \
+               echo "XFAIL: $$tst"; \
+             ;; \
+             *) \
+               failed=`expr $$failed + 1`; \
+               echo "FAIL: $$tst"; \
+             ;; \
+             esac; \
+           else \
+             skip=`expr $$skip + 1`; \
+             echo "SKIP: $$tst"; \
+           fi; \
+         done; \
+         if test "$$all" -eq 1; then \
+           tests="test"; \
+           All=""; \
+         else \
+           tests="tests"; \
+           All="All "; \
+         fi; \
+         if test "$$failed" -eq 0; then \
+           if test "$$xfail" -eq 0; then \
+             banner="$$All$$all $$tests passed"; \
+           else \
+             if test "$$xfail" -eq 1; then failures=failure; else failures=failures; fi; \
+             banner="$$All$$all $$tests behaved as expected ($$xfail expected $$failures)"; \
+           fi; \
+         else \
+           if test "$$xpass" -eq 0; then \
+             banner="$$failed of $$all $$tests failed"; \
+           else \
+             if test "$$xpass" -eq 1; then passes=pass; else passes=passes; fi; \
+             banner="$$failed of $$all $$tests did not behave as expected ($$xpass unexpected $$passes)"; \
+           fi; \
+         fi; \
+         dashes="$$banner"; \
+         skipped=""; \
+         if test "$$skip" -ne 0; then \
+           if test "$$skip" -eq 1; then \
+             skipped="($$skip test was not run)"; \
+           else \
+             skipped="($$skip tests were not run)"; \
+           fi; \
+           test `echo "$$skipped" | wc -c` -le `echo "$$banner" | wc -c` || \
+             dashes="$$skipped"; \
+         fi; \
+         report=""; \
+         if test "$$failed" -ne 0 && test -n "$(PACKAGE_BUGREPORT)"; then \
+           report="Please report to $(PACKAGE_BUGREPORT)"; \
+           test `echo "$$report" | wc -c` -le `echo "$$banner" | wc -c` || \
+             dashes="$$report"; \
+         fi; \
+         dashes=`echo "$$dashes" | sed s/./=/g`; \
+         echo "$$dashes"; \
+         echo "$$banner"; \
+         test -z "$$skipped" || echo "$$skipped"; \
+         test -z "$$report" || echo "$$report"; \
+         echo "$$dashes"; \
+         test "$$failed" -eq 0; \
+       else :; fi
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+       $(MAKE) $(AM_MAKEFLAGS) check-TESTS
+check: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) check-am
+all-am: Makefile $(LTLIBRARIES) $(HEADERS)
+installdirs:
+       for dir in "$(DESTDIR)$(libdir)" "$(DESTDIR)$(usrp2_pylibdir)" "$(DESTDIR)$(usrp2_pythondir)" "$(DESTDIR)$(grincludedir)" "$(DESTDIR)$(usrp2_swigincludedir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+       -test -z "$(DISTCLEANFILES)" || rm -f $(DISTCLEANFILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+       -test -z "$(BUILT_SOURCES)" || rm -f $(BUILT_SOURCES)
+clean: clean-am
+
+clean-am: clean-generic clean-libLTLIBRARIES clean-libtool \
+       clean-usrp2_pylibLTLIBRARIES mostlyclean-am
+
+distclean: distclean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+distclean-am: clean-am distclean-compile distclean-generic \
+       distclean-tags
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-grincludeHEADERS \
+       install-usrp2_pylibLTLIBRARIES install-usrp2_pythonPYTHON \
+       install-usrp2_swigincludeHEADERS
+
+install-dvi: install-dvi-am
+
+install-exec-am: install-libLTLIBRARIES
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-compile mostlyclean-generic \
+       mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-grincludeHEADERS uninstall-libLTLIBRARIES \
+       uninstall-usrp2_pylibLTLIBRARIES uninstall-usrp2_pythonPYTHON \
+       uninstall-usrp2_swigincludeHEADERS
+
+.MAKE: install-am install-strip
+
+.PHONY: CTAGS GTAGS all all-am check check-TESTS check-am clean \
+       clean-generic clean-libLTLIBRARIES clean-libtool \
+       clean-usrp2_pylibLTLIBRARIES ctags dist-hook distclean \
+       distclean-compile distclean-generic distclean-libtool \
+       distclean-tags distdir dvi dvi-am html html-am info info-am \
+       install install-am install-data install-data-am install-dvi \
+       install-dvi-am install-exec install-exec-am \
+       install-grincludeHEADERS install-html install-html-am \
+       install-info install-info-am install-libLTLIBRARIES \
+       install-man install-pdf install-pdf-am install-ps \
+       install-ps-am install-strip install-usrp2_pylibLTLIBRARIES \
+       install-usrp2_pythonPYTHON install-usrp2_swigincludeHEADERS \
+       installcheck installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-compile \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       tags uninstall uninstall-am uninstall-grincludeHEADERS \
+       uninstall-libLTLIBRARIES uninstall-usrp2_pylibLTLIBRARIES \
+       uninstall-usrp2_pythonPYTHON \
+       uninstall-usrp2_swigincludeHEADERS
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+
+generate-makefile-swig $(srcdir)/Makefile.swig.gen: $(top_srcdir)/Makefile.swig.gen.t
+       @do_recreate=0; \
+       if test -f $(srcdir)/Makefile.swig.gen; then \
+               if $(RM) $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                       if touch $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                               do_recreate=1; \
+                       fi; \
+               fi; \
+       else \
+               if touch $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                       do_recreate=1; \
+               fi; \
+       fi; \
+       if test "$$do_recreate" == "1"; then \
+               echo "Regenerating $(srcdir)/Makefile.swig.gen"; \
+               for TFILE in $(TOP_SWIG_IFILES); do \
+                       TNAME=`python -c "import os.path as op; (dN, fN) = op.split ('$$TFILE'); (fbN, fE) = op.splitext (fN); print fbN;"`; \
+                       $(SED) -e 's|@NAME@|'$$TNAME'|g;' < $(top_srcdir)/Makefile.swig.gen.t >> $(srcdir)/Makefile.swig.gen; \
+                       echo "" >> $(srcdir)/Makefile.swig.gen; \
+               done; \
+       else \
+               echo "Cannot recreate $(srcdir)/Makefile.swig.gen because the directory or file is write-protected."; \
+               exit -1; \
+       fi;
+
+usrp2_pythondir_category ?= gnuradio/usrp2
+usrp2_pylibdir_category ?= $(usrp2_pythondir_category)
+
+usrp2.h usrp2.py usrp2.cc: usrp2.i
+       trap 'rm -rf $(DEPDIR)/usrp2-generate-*' 1 2 13 15; \
+       if mkdir $(DEPDIR)/usrp2-generate-lock 2>/dev/null; then \
+               rm -f $(DEPDIR)/usrp2-generate-stamp; \
+               $(MAKE) $(AM_MAKEFLAGS) $(DEPDIR)/usrp2-generate-stamp WHAT=$<; \
+               rmdir $(DEPDIR)/usrp2-generate-lock; \
+       else \
+               while test -d $(DEPDIR)/usrp2-generate-lock; do \
+                       sleep 1; \
+               done; \
+               test -f $(DEPDIR)/usrp2-generate-stamp; \
+               exit $$?; \
+       fi;
+
+$(DEPDIR)/usrp2-generate-stamp:
+       if $(SWIG) $(STD_SWIG_PYTHON_ARGS) $(usrp2_swig_args) \
+               -MD -MF $(DEPDIR)/usrp2.Std \
+               -module usrp2 -o usrp2.cc $(WHAT); then \
+           if test $(host_os) = mingw32; then \
+               $(RM) $(DEPDIR)/usrp2.Sd; \
+               $(SED) 's,\\\\,/,g' < $(DEPDIR)/usrp2.Std \
+                       > $(DEPDIR)/usrp2.Sd; \
+               $(RM) $(DEPDIR)/usrp2.Std; \
+               $(MV) $(DEPDIR)/usrp2.Sd $(DEPDIR)/usrp2.Std; \
+           fi; \
+       else \
+           $(RM) $(DEPDIR)/usrp2.S*; exit 1; \
+       fi;
+       $(RM) $(DEPDIR)/usrp2.d
+       cp $(DEPDIR)/usrp2.Std $(DEPDIR)/usrp2.d
+       echo "" >> $(DEPDIR)/usrp2.d
+       $(SED) -e '1d;s, \\,,g;s, ,,g' < $(DEPDIR)/usrp2.Std | \
+               awk '{ printf "%s:\n\n", $$0 }' >> $(DEPDIR)/usrp2.d
+       $(RM) $(DEPDIR)/usrp2.Std
+       touch $(DEPDIR)/usrp2-generate-stamp
+
+# KLUDGE: Force runtime include of a SWIG dependency file.  This is
+# not guaranteed to be portable, but will probably work.  If it works,
+# we have accurate dependencies for our swig stuff, which is good.
+
+@am__include@ @am__quote@./$(DEPDIR)/usrp2.d@am__quote@
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-utils/Makefile.in b/gr-utils/Makefile.in
new file mode 100644 (file)
index 0000000..5f2f196
--- /dev/null
@@ -0,0 +1,896 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2007 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+subdir = gr-utils
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+SUBDIRS = src
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am  $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-utils/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-utils/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+check-am: all-am
+check: check-recursive
+all-am: Makefile
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am
+
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-utils/src/Makefile.in b/gr-utils/src/Makefile.in
new file mode 100644 (file)
index 0000000..eb4c39e
--- /dev/null
@@ -0,0 +1,896 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2007 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+subdir = gr-utils/src
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+SUBDIRS = lib python
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am  $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-utils/src/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-utils/src/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+check-am: all-am
+check: check-recursive
+all-am: Makefile
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am
+
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-utils/src/lib/Makefile.in b/gr-utils/src/lib/Makefile.in
new file mode 100644 (file)
index 0000000..2863cb4
--- /dev/null
@@ -0,0 +1,737 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+subdir = gr-utils/src/lib
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am  $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-utils/src/lib/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-utils/src/lib/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+check-am: all-am
+check: check-am
+all-am: Makefile
+installdirs:
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       distclean distclean-generic distclean-libtool distdir dvi \
+       dvi-am html html-am info info-am install install-am \
+       install-data install-data-am install-dvi install-dvi-am \
+       install-exec install-exec-am install-html install-html-am \
+       install-info install-info-am install-man install-pdf \
+       install-pdf-am install-ps install-ps-am install-strip \
+       installcheck installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am uninstall uninstall-am
+
+
+#
+# Copyright 2007 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-utils/src/python/Makefile.in b/gr-utils/src/python/Makefile.in
new file mode 100644 (file)
index 0000000..d204d70
--- /dev/null
@@ -0,0 +1,970 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2007,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(ourpython_PYTHON) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(top_srcdir)/Makefile.common
+subdir = gr-utils/src/python
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+am__installdirs = "$(DESTDIR)$(bindir)" "$(DESTDIR)$(ourpythondir)"
+binSCRIPT_INSTALL = $(INSTALL_SCRIPT)
+SCRIPTS = $(bin_SCRIPTS)
+SOURCES =
+DIST_SOURCES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+ourpythonPYTHON_INSTALL = $(INSTALL_DATA)
+py_compile = $(top_srcdir)/py-compile
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+EXTRA_DIST = \
+    $(bin_SCRIPTS) \
+    README.plot
+
+ourpythondir = $(grpythondir)
+ourpython_PYTHON = \
+    plot_data.py
+
+bin_SCRIPTS = \
+    gr_plot_char.py \
+    gr_plot_const.py \
+    gr_plot_fft.py \
+    gr_plot_fft_c.py \
+    gr_plot_fft_f.py \
+    gr_plot_psd.py \
+    gr_plot_psd_c.py \
+    gr_plot_psd_f.py \
+    gr_plot_float.py \
+    gr_plot_int.py \
+    gr_plot_iq.py \
+    gr_plot_short.py \
+    lsusrp \
+    usrp_fft.py \
+    usrp_oscope.py \
+    usrp_print_db.py \
+    usrp_rx_cfile.py \
+    usrp_rx_nogui.py \
+    usrp_siggen.py \
+    usrp_test_counting.py \
+    usrp_test_loopback.py \
+    usrp2_fft.py \
+    usrp2_rx_cfile.py \
+    usrp2_siggen.py \
+    usrp2_siggen_gui.py
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-utils/src/python/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-utils/src/python/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+install-binSCRIPTS: $(bin_SCRIPTS)
+       @$(NORMAL_INSTALL)
+       test -z "$(bindir)" || $(MKDIR_P) "$(DESTDIR)$(bindir)"
+       @list='$(bin_SCRIPTS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         if test -f $$d$$p; then \
+           f=`echo "$$p" | sed 's|^.*/||;$(transform)'`; \
+           echo " $(binSCRIPT_INSTALL) '$$d$$p' '$(DESTDIR)$(bindir)/$$f'"; \
+           $(binSCRIPT_INSTALL) "$$d$$p" "$(DESTDIR)$(bindir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-binSCRIPTS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(bin_SCRIPTS)'; for p in $$list; do \
+         f=`echo "$$p" | sed 's|^.*/||;$(transform)'`; \
+         echo " rm -f '$(DESTDIR)$(bindir)/$$f'"; \
+         rm -f "$(DESTDIR)$(bindir)/$$f"; \
+       done
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-ourpythonPYTHON: $(ourpython_PYTHON)
+       @$(NORMAL_INSTALL)
+       test -z "$(ourpythondir)" || $(MKDIR_P) "$(DESTDIR)$(ourpythondir)"
+       @list='$(ourpython_PYTHON)'; dlist=''; for p in $$list; do\
+         if test -f "$$p"; then b=; else b="$(srcdir)/"; fi; \
+         if test -f $$b$$p; then \
+           f=$(am__strip_dir) \
+           dlist="$$dlist $$f"; \
+           echo " $(ourpythonPYTHON_INSTALL) '$$b$$p' '$(DESTDIR)$(ourpythondir)/$$f'"; \
+           $(ourpythonPYTHON_INSTALL) "$$b$$p" "$(DESTDIR)$(ourpythondir)/$$f"; \
+         else :; fi; \
+       done; \
+       if test -n "$$dlist"; then \
+         if test -z "$(DESTDIR)"; then \
+           PYTHON=$(PYTHON) $(py_compile) --basedir "$(ourpythondir)" $$dlist; \
+         else \
+           PYTHON=$(PYTHON) $(py_compile) --destdir "$(DESTDIR)" --basedir "$(ourpythondir)" $$dlist; \
+         fi; \
+       else :; fi
+
+uninstall-ourpythonPYTHON:
+       @$(NORMAL_UNINSTALL)
+       @list='$(ourpython_PYTHON)'; dlist=''; for p in $$list; do\
+         f=$(am__strip_dir) \
+         rm -f "$(DESTDIR)$(ourpythondir)/$$f"; \
+         rm -f "$(DESTDIR)$(ourpythondir)/$${f}c"; \
+         rm -f "$(DESTDIR)$(ourpythondir)/$${f}o"; \
+       done
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile $(SCRIPTS)
+installdirs:
+       for dir in "$(DESTDIR)$(bindir)" "$(DESTDIR)$(ourpythondir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-ourpythonPYTHON
+
+install-dvi: install-dvi-am
+
+install-exec-am: install-binSCRIPTS
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-binSCRIPTS uninstall-ourpythonPYTHON
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-binSCRIPTS install-data install-data-am \
+       install-dvi install-dvi-am install-exec install-exec-am \
+       install-html install-html-am install-info install-info-am \
+       install-man install-ourpythonPYTHON install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am uninstall uninstall-am \
+       uninstall-binSCRIPTS uninstall-ourpythonPYTHON
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-utils/src/python/usrp2_siggen.py b/gr-utils/src/python/usrp2_siggen.py
new file mode 100755 (executable)
index 0000000..9ade933
--- /dev/null
@@ -0,0 +1,389 @@
+#!/usr/bin/env python
+#
+# Copyright 2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+from gnuradio import gr, eng_notation, usrp2
+from gnuradio.eng_option import eng_option
+from optparse import OptionParser
+import sys
+import math
+
+n2s = eng_notation.num_to_str
+
+waveforms = { gr.GR_SIN_WAVE   : "Complex Sinusoid",
+              gr.GR_CONST_WAVE : "Constant",
+              gr.GR_GAUSSIAN   : "Gaussian Noise",
+              gr.GR_UNIFORM    : "Uniform Noise",
+              "2tone"          : "Two Tone",
+              "sweep"          : "Sweep" }
+
+#
+# GUI-unaware GNU Radio flowgraph.  This may be used either with command
+# line applications or GUI applications.
+#
+class top_block(gr.top_block):
+    def __init__(self, options, args):
+        gr.top_block.__init__(self)
+        self._verbose = options.verbose
+
+        self._interp = 0 
+        self._gain = 0
+        self._freq = None       # Indicates frequency hasn't been successfully set yet
+        self._bb_freq = 0
+        self._ddc_freq = 0
+        self._amplitude = 0
+        self._type = None       # Indicates waveform flowgraph not created yet
+        self._offset = options.offset
+
+        self.set_usrp2(options.interface, options.mac_addr)
+        self.set_interp(options.interp)
+        self.set_gain(options.gain)
+        self.set_freq(options.tx_freq, options.lo_offset)
+        self.set_amplitude(options.amplitude)
+
+        self.set_waveform_freq(options.waveform_freq)
+        self.set_waveform2_freq(options.waveform2_freq)
+        self.set_waveform(options.type)
+
+    def set_usrp2(self, interface, mac_addr):
+        self._u = usrp2.sink_32fc(interface, mac_addr)
+        self._dac_rate = self._u.dac_rate()
+        if self._verbose:
+            print "Network interface:", interface
+            print "Network address:", self._u.mac_addr()
+            print "Daughterboard ID:", hex(self._u.daughterboard_id())
+
+    def set_interp(self, interp):
+        if interp < 4 or interp > 512: # FIXME get from flowgraph
+            if self._verbose: print "Interpolation rate out of range:", interp
+            return False
+
+        if not self._u.set_interp(interp):
+            raise RuntimeError("Failed to set interpolation rate %i" % (interp,))
+
+        self._interp = interp
+        self._eth_rate = self._dac_rate/self._interp
+        if self._verbose:
+            print "USRP2 interpolation rate:", self._interp
+            print "USRP2 IF bandwidth: %sHz" % (n2s(self._eth_rate),)
+
+        if (self._type == gr.GR_SIN_WAVE or 
+            self._type == gr.GR_CONST_WAVE):
+            self._src.set_sampling_freq(self._eth_rate)
+        elif self._type == "2tone":
+            self._src1.set_sampling_freq(self._eth_rate)
+            self._src1.set_sampling_freq(self._eth_rate)
+        elif self._type == "sweep":
+            self._src1.set_sampling_freq(self._eth_rate)
+            self._src1.set_sampling_freq(self._waveform_freq*2*math.pi/self._eth_rate)
+        else:
+            return True # Waveform not yet set
+        
+        if self._verbose: print "Set interpolation rate to:", interp
+        return True
+
+    def set_gain(self, gain):
+        if gain is None:
+            g = self._u.gain_range()
+            gain = float(g[0]+g[1])/2
+            if self._verbose:
+                print "Using auto-calculated mid-point TX gain"
+        self._u.set_gain(gain)
+        self._gain = gain
+        if self._verbose:
+            print "Set TX gain to:", self._gain
+
+    def set_freq(self, target_freq, lo_offset=None):
+        if lo_offset is not None:
+            self._lo_offset = lo_offset
+            self._u.set_lo_offset(self._lo_offset)
+            if self._verbose:
+                print "Set LO offset frequency to: %sHz" % (n2s(lo_offset),)
+
+        if target_freq is None:
+            f = self._u.freq_range()
+            target_freq = float(f[0]+f[1])/2.0
+            if self._verbose:
+                print "Using auto-calculated mid-point frequency"
+
+        tr = self._u.set_center_freq(target_freq)
+        fs = "%sHz" % (n2s(target_freq),)
+        if tr is not None:
+            self._freq = target_freq
+
+        else:
+            return True # Waveform not yet set
+        
+        if self._verbose: print "Set amplitude to:", amplitude
+        return True
+
+    def set_gain(self, gain):
+        if gain is None:
+            g = self._u.gain_range()
+            gain = float(g[0]+g[1])/2
+            if self._verbose:
+                print "Using auto-calculated mid-point TX gain"
+        self._u.set_gain(gain)
+        self._gain = gain
+        if self._verbose:
+            print "Set TX gain to:", self._gain
+
+    def set_freq(self, target_freq, lo_offset=None):
+        if lo_offset is not None:
+            self._lo_offset = lo_offset
+            self._u.set_lo_offset(self._lo_offset)
+            if self._verbose:
+                print "Set LO offset frequency to: %sHz" % (n2s(lo_offset),)
+
+        if target_freq is None:
+            f = self._u.freq_range()
+            target_freq = float(f[0]+f[1])/2.0
+            if self._verbose:
+                print "Using auto-calculated mid-point frequency"
+
+        tr = self._u.set_center_freq(target_freq)
+        fs = "%sHz" % (n2s(target_freq),)
+        if tr is not None:
+            self._freq = target_freq
+            self._ddc_freq = tr.dxc_freq
+            self._bb_freq = tr.baseband_freq
+            if self._verbose:
+                print "Set center frequency to", fs
+                print "Tx baseband frequency: %sHz" % (n2s(tr.baseband_freq),)
+                print "Tx DDC frequency: %sHz" % (n2s(tr.dxc_freq),)
+                print "Tx residual frequency: %sHz" % (n2s(tr.residual_freq),)
+                
+        return tr
+
+    def set_waveform_freq(self, freq):
+        self._waveform_freq = freq
+        if self._type == gr.GR_SIN_WAVE:
+            self._src.set_frequency(freq)
+        elif self._type == "2tone" or self._type == "sweep":
+            self._src1.set_frequency(freq)
+        return True
+
+    def set_waveform2_freq(self, freq):
+        self._waveform2_freq = freq
+        if self._type == "2tone":
+            self._src2.set_frequency(freq)
+        elif self._type == "sweep":
+            self._src1.set_frequency(freq)
+        return True
+
+    def set_waveform(self, type):
+        self.lock()
+        self.disconnect_all()
+
+        if type == gr.GR_SIN_WAVE or type == gr.GR_CONST_WAVE:
+            self._src = gr.sig_source_c(self._eth_rate,      # Sample rate
+                                        type,                # Waveform type
+                                        self._waveform_freq, # Waveform frequency
+                                        self._amplitude,     # Waveform amplitude
+                                        self._offset)        # Waveform offset
+        elif type == gr.GR_GAUSSIAN or type == gr.GR_UNIFORM:
+            self._src = gr.noise_source_c(type, self._amplitude)
+        elif type == "2tone":
+            self._src1 = gr.sig_source_c(self._eth_rate,
+                                         gr.GR_SIN_WAVE,
+                                         self._waveform_freq,
+                                         self._amplitude/2.0,
+                                         0)
+            if(self._waveform2_freq is None):
+                self._waveform2_freq = -self._waveform_freq
+
+            self._src2 = gr.sig_source_c(self._eth_rate,
+                                         gr.GR_SIN_WAVE,
+                                         self._waveform2_freq,
+                                         self._amplitude/2.0,
+                                         0)
+            self._src = gr.add_cc()
+            self.connect(self._src1,(self._src,0))
+            self.connect(self._src2,(self._src,1))
+        elif type == "sweep":
+            # rf freq is center frequency
+            # waveform_freq is total swept width
+            # waveform2_freq is sweep rate
+            # will sweep from (rf_freq-waveform_freq/2) to (rf_freq+waveform_freq/2)
+            if self._waveform2_freq is None:
+                self._waveform2_freq = 0.1
+
+            self._src1 = gr.sig_source_f(self._eth_rate,
+                                         gr.GR_TRI_WAVE,
+                                         self._waveform2_freq,
+                                         1.0,
+                                         -0.5)
+            self._src2 = gr.frequency_modulator_fc(self._waveform_freq*2*math.pi/self._eth_rate)
+            self._src = gr.multiply_const_cc(self._amplitude)
+            self.connect(self._src1,self._src2,self._src)
+        else:
+            raise RuntimeError("Unknown waveform type")
+
+        self.connect(self._src, self._u)
+        self._type = type
+        self.unlock()
+
+        if self._verbose:
+            print "Set baseband modulation to:", waveforms[self._type]
+            if type == gr.GR_SIN_WAVE:
+                print "Modulation frequency: %sHz" % (n2s(self._waveform_freq),)
+                print "Initial phase:", self._offset
+            elif type == "2tone":
+                print "Tone 1: %sHz" % (n2s(self._waveform_freq),)
+                print "Tone 2: %sHz" % (n2s(self._waveform2_freq),)
+            elif type == "sweep":
+                print "Sweeping across %sHz to %sHz" % (n2s(-self._waveform_freq/2.0),n2s(self._waveform_freq/2.0))
+                print "Sweep rate: %sHz" % (n2s(self._waveform2_freq),)
+            print "TX amplitude:", self._amplitude
+
+
+    def set_amplitude(self, amplitude):
+        if amplitude < 0.0 or amplitude > 1.0:
+            if self._verbose: print "Amplitude out of range:", amplitude
+            return False
+
+        self._amplitude = amplitude
+
+        if (self._type == gr.GR_SIN_WAVE or 
+            self._type == gr.GR_CONST_WAVE or
+            self._type == gr.GR_GAUSSIAN or
+            self._type == gr.GR_UNIFORM):
+            self._src.set_amplitude(amplitude)
+        elif self._type == "2tone":
+            self._src1.set_amplitude(amplitude/2.0)
+            self._src2.set_amplitude(amplitude/2.0)
+        elif self._type == "sweep":
+            self._src.set_k(amplitude)
+        else:
+            return True # Waveform not yet set
+        
+        if self._verbose: print "Set amplitude to:", amplitude
+        return True
+
+
+    # Property getters
+
+    def mac_addr(self):
+        return self._u.mac_addr()
+
+    def interface_name(self):
+        return self._u.interface_name()
+
+    def daughterboard_id(self):
+        return self._u.daughterboard_id()
+
+    def interp_rate(self):
+        return self._interp
+
+    def eth_rate(self):
+        return self._eth_rate
+
+    def freq(self):
+        return self._freq
+
+    def freq_range(self):
+        return self._u.freq_range()
+
+    def ddc_freq(self):
+        return self._ddc_freq
+
+    def baseband_freq(self):
+        return self._bb_freq
+
+    def amplitude(self):
+        return self._amplitude
+
+    def waveform_type(self):
+        return self._type
+
+    def waveform_freq(self):
+        return self._waveform_freq
+
+    def waveform2_freq(self):
+        if self._waveform2_freq is None:
+            return -self._waveform_freq
+        else:
+            return self._waveform2_freq
+
+def get_options():
+    usage="%prog: [options]"
+
+    parser = OptionParser(option_class=eng_option, usage=usage)
+
+    parser.add_option("-e", "--interface", type="string", default="eth0",
+                      help="Use specified Ethernet interface [default=%default]")
+    parser.add_option("-m", "--mac-addr", type="string", default="",
+                      help="Use USRP2 at specified MAC address [default=None]")  
+    parser.add_option("-i", "--interp", type="int", default=16, metavar="INTERP",
+                      help="Set FPGA interpolation rate of INTERP [default=%default]")
+    parser.add_option("-f", "--tx-freq", type="eng_float", default=None,
+                      help="Set carrier frequency to FREQ [default=mid-point]", metavar="FREQ")
+    parser.add_option("--lo-offset", type="eng_float", default=None,
+                      help="set daughterboard LO offset to OFFSET [default=hw default]")
+    parser.add_option("-g", "--gain", type="eng_float", default=None,
+                      help="Set TX gain to GAIN [default=mid-point]")
+    parser.add_option("-w", "--waveform-freq", type="eng_float", default=0,
+                      help="Set baseband waveform frequency to FREQ [default=%default]")
+    parser.add_option("-x", "--waveform2-freq", type="eng_float", default=None,
+                      help="Set 2nd waveform frequency to FREQ [default=%default]")
+    parser.add_option("--sine", dest="type", action="store_const", const=gr.GR_SIN_WAVE,
+                      help="Generate a carrier modulated by a complex sine wave", default=gr.GR_SIN_WAVE)
+    parser.add_option("--const", dest="type", action="store_const", const=gr.GR_CONST_WAVE, 
+                      help="Generate a constant carrier")
+    parser.add_option("--offset", type="eng_float", default=0,
+                      help="Set waveform phase offset to OFFSET [default=%default]")
+    parser.add_option("--gaussian", dest="type", action="store_const", const=gr.GR_GAUSSIAN,
+                      help="Generate Gaussian random output")
+    parser.add_option("--uniform", dest="type", action="store_const", const=gr.GR_UNIFORM,
+                      help="Generate Uniform random output")
+    parser.add_option("--2tone", dest="type", action="store_const", const="2tone",
+                      help="Generate Two Tone signal for IMD testing")
+    parser.add_option("--sweep", dest="type", action="store_const", const="sweep",
+                      help="Generate a swept sine wave")
+    parser.add_option("-a", "--amplitude", type="eng_float", default=0.1,
+                      help="Set output amplitude to AMPL (0.0-1.0) [default=%default]", metavar="AMPL")
+    parser.add_option("-v", "--verbose", action="store_true", default=False,
+                      help="Use verbose console output [default=%default]")
+
+    (options, args) = parser.parse_args()
+
+    return (options, args)
+
+# If this script is executed, the following runs. If it is imported, the below does not run.
+if __name__ == "__main__":
+    if gr.enable_realtime_scheduling() != gr.RT_OK:
+        print "Note: failed to enable realtime scheduling, continuing"
+    
+    # Grab command line options and create top block
+    try:
+        (options, args) = get_options()
+        tb = top_block(options, args)
+
+    except RuntimeError, e:
+        print e
+        sys.exit(1)
+
+    # Run it
+    try:
+        tb.run()
+
+    except KeyboardInterrupt:
+        pass
diff --git a/gr-utils/src/python/usrp2_siggen_gui.py b/gr-utils/src/python/usrp2_siggen_gui.py
new file mode 100755 (executable)
index 0000000..89bc6e5
--- /dev/null
@@ -0,0 +1,275 @@
+#!/usr/bin/env python
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+import wx
+from gnuradio.wxgui import form, slider, gui
+import usrp2_siggen
+import sys, math
+
+class app_gui(object):
+    def __init__(self, frame, panel, vbox, top_block, options, args):
+        self.frame = frame      # Use for top-level application window frame
+        self.panel = panel      # Use as parent class for created windows
+        self.vbox = vbox        # Use as sizer for created windows
+        self.tb = top_block     # GUI-unaware flowgraph class
+        self.options = options  # Supplied command-line options
+        self.args = args        # Supplied command-line arguments
+
+        freq_range = self.tb.freq_range()
+        self.min_freq = freq_range[0]
+        self.max_freq = freq_range[1]
+        self.freq_step = (self.max_freq-self.min_freq)/100.0
+        self._types = dict([v, k] for k, v in usrp2_siggen.waveforms.items())
+
+        self.build_gui()
+
+        # TODO: turn these into listeners
+        self.myform['ifc'].set_value(self.tb.interface_name())
+        self.myform['mac'].set_value(self.tb.mac_addr())
+        dbid = self.tb.daughterboard_id()
+        self.myform['dbid'].set_value("%04x" % (dbid,))
+
+        w = usrp2_siggen.waveforms[self.tb.waveform_type()]
+        self.myform['type'].set_value(w)
+        self.myform['w1freq'].set_value(self.tb.waveform_freq())
+        self.myform['w2freq'].set_value(self.tb.waveform2_freq())
+
+        freq = self.tb.freq()
+        if freq is None:
+            self.evt_set_status_msg("Failed to set initial frequency")
+        else:
+            self.myform['freq'].set_value(freq)
+            self.myform['freq_slider'].set_value(self.tb.freq())
+
+        amp = self.tb.amplitude()
+        if (amp > 0.0):
+            db = 20*math.log10(amp)
+        else:
+            db = -100.0
+        self.myform['amp'].set_value(amp)
+        self.myform['amp_slider'].set_value(db)
+        self.myform['eth'].set_value(self.tb.eth_rate())
+        self.myform['gbe'].set_value(self.tb.eth_rate()*32)
+        self.myform['interp'].set_value(self.tb.interp_rate())
+        self.myform['DDC'].set_value(self.tb.ddc_freq())
+        self.myform['analog'].set_value(self.tb.baseband_freq())
+
+    # Event response handlers
+    def evt_set_status_msg(self, msg):
+        self.frame.SetStatusText(msg, 0)
+
+    def evt_set_freq1(self, kv):
+        return self.tb.set_waveform_freq(kv['w1freq'])
+
+    def evt_set_freq2(self, kv):
+        return self.tb.set_waveform2_freq(kv['w2freq'])
+
+    def evt_set_freq(self, kv):
+        if type(kv) == type(0.0):              # Set from slider
+            tr = self.tb.set_freq(kv)
+            if tr is not None:
+                self.myform['freq'].set_value(kv)
+        else:                                  # Set from edit box
+            f = kv['freq']
+            tr = self.tb.set_freq(f)
+            if tr is not None:
+                self.myform['freq_slider'].set_value(f)
+
+        if tr is not None:
+            self.myform['DDC'].set_value(tr.dxc_freq)
+            self.myform['analog'].set_value(tr.baseband_freq)
+
+        return (tr is not None)
+
+    def evt_set_amplitude(self, kv):
+        if type(kv) == type(0.0):              # Set from slider
+            amp = math.pow(10, kv/20.0)
+            self.myform['amp'].set_value(amp)
+            return self.tb.set_amplitude(amp)
+        else:                                  # Set from edit box
+            amp = kv['amp']
+            if amp < 0.0 or amp > 1.0:
+                return False
+            if amp == 0.0:
+                db = -100.0
+            else:
+                db = 20*math.log10(amp)
+            self.myform['amp_slider'].set_value(db)
+            return self.tb.set_amplitude(amp)
+
+    def evt_set_interp(self):
+        interp = self.myform['interp'].get_value()
+        if self.tb.set_interp(interp):
+            eth_rate = self.tb.eth_rate()
+            self.myform['eth'].set_value(eth_rate)
+            self.myform['gbe'].set_value(eth_rate*32)
+            return True
+        return False
+
+    def evt_set_waveform_type(self, type):
+        # TODO: update frequency labels
+        return self.tb.set_waveform(self._types[type])
+
+    # GUI construction
+    def build_gui(self):
+        self.myform = myform = form.form()
+
+        # Baseband controls
+        bb_sbox = wx.StaticBox(parent=self.panel, label="Baseband Modulation")
+        bb_vbox = wx.StaticBoxSizer(bb_sbox, wx.VERTICAL) # Holds all baseband controls as unit
+
+        # First row of baseband controls (modulation type)
+        mod_hbox = wx.BoxSizer(wx.HORIZONTAL)
+        mod_hbox.Add((10,0), 0, 0)
+        myform['type'] = form.radiobox_field(
+            parent=self.panel, label="Type", sizer=mod_hbox, value=None,
+            callback=self.evt_set_waveform_type, weight=1, major_dimension=0,
+            choices=usrp2_siggen.waveforms.values() )
+        bb_vbox.Add((0,10), 0, 0)
+        bb_vbox.Add(mod_hbox, 0, wx.EXPAND)
+
+        # Second row of baseband controls (frequencies)
+        bbf_hbox = wx.BoxSizer(wx.HORIZONTAL)
+        bbf_hbox.Add((10,0), 0, 0)
+        myform['w1freq'] = form.float_field(
+            parent=self.panel, sizer=bbf_hbox, label="Frequency 1 (Hz)", weight=1,
+            callback=myform.check_input_and_call(self.evt_set_freq1, self.evt_set_status_msg) )
+        bbf_hbox.Add((10,0), 0, 0)
+        myform['w2freq'] = form.float_field(
+            parent=self.panel, sizer=bbf_hbox, label="Frequency 2 (Hz)", weight=1,
+            callback=myform.check_input_and_call(self.evt_set_freq2, self.evt_set_status_msg) )
+        bbf_hbox.Add((10,0), 0, 0)
+        
+        bb_vbox.Add((0,10), 0, 0)
+        bb_vbox.Add(bbf_hbox, 0, wx.EXPAND)
+
+        # Add baseband controls to top window sizer
+        self.vbox.Add((0,10), 0, 0)
+        self.vbox.Add(bb_vbox, 0, wx.EXPAND)
+
+        # Frequency controls
+        fc_sbox = wx.StaticBox(parent=self.panel, label="Center Frequency")
+        fc_vbox = wx.StaticBoxSizer(fc_sbox, wx.VERTICAL) # Holds all frequency controls as unit
+
+        # First row of frequency controls (center frequency)
+        freq_hbox = wx.BoxSizer(wx.HORIZONTAL)
+        freq_hbox.Add((10,0), 0, 0)
+        myform['freq'] = form.float_field(
+            parent=self.panel, sizer=freq_hbox, label=None, weight=1,
+            callback=myform.check_input_and_call(self.evt_set_freq, self.evt_set_status_msg) )
+        freq_hbox.Add((10,0), 0, 0)
+        myform['freq_slider'] = form.quantized_slider_field(
+            parent=self.panel, sizer=freq_hbox, label="Min-Max", weight=4,
+            range = (self.min_freq, self.max_freq, self.freq_step),
+            callback=self.evt_set_freq)
+        freq_hbox.Add((10,0), 0, 0)
+
+        fc_vbox.Add((10,0), 0, 0)
+        fc_vbox.Add(freq_hbox, 0, wx.EXPAND)
+
+        # Second row of frequency controls (results)
+        tr_hbox = wx.BoxSizer(wx.HORIZONTAL)
+        tr_hbox.Add((10,0), 0, 0)
+        myform['analog'] = form.static_float_field(
+            parent=self.panel, sizer=tr_hbox, label="Daughterboard: (Hz)", weight=1)
+        tr_hbox.Add((10,0), 0, 0)
+        myform['DDC'] = form.static_float_field(
+            parent=self.panel, sizer=tr_hbox, label="USRP2 DDC (Hz)", weight=1)
+        tr_hbox.Add((10,0), 0, 0)
+        fc_vbox.Add(tr_hbox, 0, wx.EXPAND)
+
+        # Add frequency controls to top window sizer
+        self.vbox.Add((0,10), 0, 0)
+        self.vbox.Add(fc_vbox, 0, wx.EXPAND)
+
+        # Amplitude row
+        amp_sbox = wx.StaticBox(parent=self.panel, label="Amplitude")
+        amp_hbox = wx.StaticBoxSizer(amp_sbox, wx.HORIZONTAL)
+        amp_hbox.Add((10,0), 0, 0)
+        myform['amp'] = form.float_field(
+            parent=self.panel, sizer=amp_hbox, label="Linear\n(0.0-1.0)", weight=1,
+            callback=myform.check_input_and_call(self.evt_set_amplitude, self.evt_set_status_msg) )
+        amp_hbox.Add((10,0), 0, 0)
+        myform['amp_slider'] = form.quantized_slider_field(
+            parent=self.panel, sizer=amp_hbox, label="dB Full Scale\n(-100-0)", weight=4,
+            range=(-100.0, 0.0, 1), callback=self.evt_set_amplitude)
+        amp_hbox.Add((10,0), 0, 0)
+        self.vbox.Add((0,10), 0, 0)
+        self.vbox.Add(amp_hbox, 0, wx.EXPAND)
+
+        # Sample rate row
+        sam_sbox = wx.StaticBox(parent=self.panel, label="Sample Rate")
+        sam_hbox = wx.StaticBoxSizer(sam_sbox, wx.HORIZONTAL)
+        sam_hbox.Add((10,0), 0, 0)
+        myform['interp'] = form.int_field(
+            parent=self.panel, sizer=sam_hbox, label="Interpolation", weight=1,
+            callback=self.evt_set_interp)
+        sam_hbox.Add((10,0), 0, 0)
+        myform['eth'] = form.static_float_field(
+            parent=self.panel, sizer=sam_hbox, label="Sample Rate (sps)", weight=1)
+        sam_hbox.Add((10,0), 0, 0)
+        myform['gbe'] = form.static_float_field(
+            parent=self.panel, sizer=sam_hbox, label="GbE Rate (bits/sec)", weight=1)
+        sam_hbox.Add((10,0), 0, 0)
+        self.vbox.Add((0,10), 0, 0)
+        self.vbox.Add(sam_hbox, 0, wx.EXPAND)
+
+        # USRP2 row
+        u2_sbox = wx.StaticBox(parent=self.panel, label="USRP2 Hardware")
+        u2_hbox = wx.StaticBoxSizer(u2_sbox, wx.HORIZONTAL)
+        u2_hbox.Add((10,0), 0, 0)
+        myform['ifc'] = form.static_text_field(parent=self.panel, sizer=u2_hbox, 
+                                               label="Interface", weight=2)
+        u2_hbox.Add((10,0), 0, 0)
+        myform['mac'] = form.static_text_field(parent=self.panel, sizer=u2_hbox, 
+                                               label="MAC Address", weight=2)
+        u2_hbox.Add((10,0), 0, 0)
+        myform['dbid'] = form.static_text_field(parent=self.panel, sizer=u2_hbox, 
+                                                label="Daughterboard ID", weight=1)
+        self.vbox.Add((0,10), 0, 0)
+        self.vbox.Add(u2_hbox, 0, wx.EXPAND)
+        self.vbox.Add((0,20), 0, 0)
+
+if __name__ == "__main__":
+    try:
+        # Get command line parameters
+        (options, args) = usrp2_siggen.get_options()
+
+        # Create the top block using these
+        tb = usrp2_siggen.top_block(options, args)
+
+        # Create the GUI application
+        app = gui.app(top_block=tb,                    # Constructed top block
+                      gui=app_gui,                     # User interface class
+                      options=options,                 # Command line options
+                      args=args,                       # Command line args
+                      title="USRP2 Signal Generator",  # Top window title
+                      nstatus=1,                       # Number of status lines
+                      start=True,                      # Whether to start flowgraph
+                      realtime=True)                   # Whether to set realtime priority
+
+        # And run it
+        app.MainLoop()
+
+    except RuntimeError, e:
+        print e
+        sys.exit(1)
diff --git a/gr-video-sdl/Makefile.in b/gr-video-sdl/Makefile.in
new file mode 100644 (file)
index 0000000..3be1ef2
--- /dev/null
@@ -0,0 +1,1030 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2006 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gr-video-sdl
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+SUBDIRS = src
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-video-sdl/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-video-sdl/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-recursive
+all-am: Makefile
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive dist-hook distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-video-sdl/src/Makefile.in b/gr-video-sdl/src/Makefile.in
new file mode 100644 (file)
index 0000000..7a24953
--- /dev/null
@@ -0,0 +1,1437 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004,2005,2006,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# Makefile.swig.gen for video_sdl.i
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(grinclude_HEADERS) $(noinst_PYTHON) \
+       $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(srcdir)/Makefile.swig.gen $(srcdir)/run_tests.in \
+       $(top_srcdir)/Makefile.common $(top_srcdir)/Makefile.swig \
+       $(video_sdl_python_PYTHON) $(video_sdl_swiginclude_HEADERS)
+subdir = gr-video-sdl/src
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES = run_tests
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(video_sdl_pylibdir)" \
+       "$(DESTDIR)$(video_sdl_pythondir)" "$(DESTDIR)$(grincludedir)" \
+       "$(DESTDIR)$(video_sdl_swigincludedir)"
+video_sdl_pylibLTLIBRARIES_INSTALL = $(INSTALL)
+LTLIBRARIES = $(video_sdl_pylib_LTLIBRARIES)
+am__DEPENDENCIES_1 =
+am__DEPENDENCIES_2 = $(am__DEPENDENCIES_1) $(am__DEPENDENCIES_1)
+_video_sdl_la_DEPENDENCIES = $(am__DEPENDENCIES_1) \
+       $(am__DEPENDENCIES_2)
+am__objects_1 = _video_sdl_la-video_sdl_sink_uc.lo \
+       _video_sdl_la-video_sdl_sink_s.lo
+am__video_sdl_la_OBJECTS = _video_sdl_la-video_sdl.lo $(am__objects_1)
+_video_sdl_la_OBJECTS = $(am__video_sdl_la_OBJECTS)
+_video_sdl_la_LINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) \
+       $(LIBTOOLFLAGS) --mode=link $(CXXLD) $(_video_sdl_la_CXXFLAGS) \
+       $(CXXFLAGS) $(_video_sdl_la_LDFLAGS) $(LDFLAGS) -o $@
+DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
+depcomp = $(SHELL) $(top_srcdir)/depcomp
+am__depfiles_maybe = depfiles
+CXXCOMPILE = $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+LTCXXCOMPILE = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+CXXLD = $(CXX)
+CXXLINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CXXLD) $(AM_CXXFLAGS) $(CXXFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+SOURCES = $(_video_sdl_la_SOURCES)
+DIST_SOURCES = $(_video_sdl_la_SOURCES)
+video_sdl_pythonPYTHON_INSTALL = $(INSTALL_DATA)
+py_compile = $(top_srcdir)/py-compile
+grincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+video_sdl_swigincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+HEADERS = $(grinclude_HEADERS) $(video_sdl_swiginclude_HEADERS)
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = $(DEPDIR)/video_sdl-generate-*
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp \
+       *.loT $(DEPDIR)/*.S*
+EXTRA_DIST = run_tests.in
+TESTS = run_tests
+DISTCLEANFILES = run_tests
+noinst_PYTHON = \
+       qa_video_sdl.py
+
+AM_CPPFLAGS = $(STD_DEFINES_AND_INCLUDES) $(PYTHON_CPPFLAGS) $(SDL_CFLAGS) \
+       $(WITH_INCLUDES)
+
+grinclude_HEADERS = \
+       video_sdl_sink_uc.h             \
+       video_sdl_sink_s.h
+
+
+#################################
+# SWIG interface and library
+TOP_SWIG_IFILES = \
+       video_sdl.i
+
+
+# Install so that they end up available as:
+#   import gnuradio.video_sdl
+# This ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+video_sdl_pythondir_category = \
+       gnuradio
+
+
+# additional sources for the SWIG-generated library
+video_sdl_la_swig_sources = \
+       video_sdl_sink_uc.cc            \
+       video_sdl_sink_s.cc
+
+
+# additional libraries for linking with the SWIG-generated library
+video_sdl_la_swig_libadd = \
+       $(SDL_LIBS)                     \
+       $(GNURADIO_CORE_LA)
+
+SWIG_PYTHON_FLAGS = \
+       -fvirtual       \
+       -python         \
+       -modern         \
+       -keyword        \
+       -w511           \
+       -outdir .
+
+STD_SWIG_PYTHON_ARGS = \
+       $(SWIG_PYTHON_FLAGS)                    \
+       $(STD_DEFINES_AND_INCLUDES)             \
+       $(WITH_SWIG_INCLUDES)                   \
+       $(WITH_INCLUDES)
+
+STD_SWIG_LA_LD_FLAGS = \
+       $(PYTHON_LDFLAGS)       \
+       -module                 \
+       -avoid-version          \
+       $(NO_UNDEFINED)
+
+STD_SWIG_LA_LIB_ADD = \
+       -lstdc++
+
+STD_SWIG_CXX_FLAGS = @swig_CXXFLAGS@
+SUFFIXES = .i
+swig_built_sources = video_sdl.py video_sdl.cc
+video_sdl_pythondir = $(pythondir)/$(video_sdl_pythondir_category)
+video_sdl_pylibdir = $(pyexecdir)/$(video_sdl_pylibdir_category)
+video_sdl_swigincludedir = $(swigincludedir)
+video_sdl_swiginclude_HEADERS = \
+       video_sdl.i                     \
+       $(video_sdl_swiginclude_headers)
+
+video_sdl_pylib_LTLIBRARIES = \
+       _video_sdl.la
+
+_video_sdl_la_SOURCES = \
+       video_sdl.cc                    \
+       $(video_sdl_la_swig_sources)
+
+_video_sdl_la_LIBADD = \
+       $(STD_SWIG_LA_LIB_ADD)          \
+       $(video_sdl_la_swig_libadd)
+
+_video_sdl_la_LDFLAGS = \
+       $(STD_SWIG_LA_LD_FLAGS)         \
+       $(video_sdl_la_swig_ldflags)
+
+_video_sdl_la_CXXFLAGS = \
+       $(STD_SWIG_CXX_FLAGS)           \
+       $(video_sdl_la_swig_cxxflags)
+
+video_sdl_python_PYTHON = \
+       video_sdl.py                    \
+       $(video_sdl_python)
+
+
+# add some of the variables generated inside the Makefile.swig.gen
+BUILT_SOURCES = $(swig_built_sources)
+
+# Do not distribute the output of SWIG
+no_dist_files = $(swig_built_sources)
+all: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) all-am
+
+.SUFFIXES:
+.SUFFIXES: .i .cc .lo .o .obj
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(top_srcdir)/Makefile.swig $(srcdir)/Makefile.swig.gen $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-video-sdl/src/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-video-sdl/src/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+run_tests: $(top_builddir)/config.status $(srcdir)/run_tests.in
+       cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@
+install-video_sdl_pylibLTLIBRARIES: $(video_sdl_pylib_LTLIBRARIES)
+       @$(NORMAL_INSTALL)
+       test -z "$(video_sdl_pylibdir)" || $(MKDIR_P) "$(DESTDIR)$(video_sdl_pylibdir)"
+       @list='$(video_sdl_pylib_LTLIBRARIES)'; for p in $$list; do \
+         if test -f $$p; then \
+           f=$(am__strip_dir) \
+           echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(video_sdl_pylibLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) '$$p' '$(DESTDIR)$(video_sdl_pylibdir)/$$f'"; \
+           $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(video_sdl_pylibLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) "$$p" "$(DESTDIR)$(video_sdl_pylibdir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-video_sdl_pylibLTLIBRARIES:
+       @$(NORMAL_UNINSTALL)
+       @list='$(video_sdl_pylib_LTLIBRARIES)'; for p in $$list; do \
+         p=$(am__strip_dir) \
+         echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f '$(DESTDIR)$(video_sdl_pylibdir)/$$p'"; \
+         $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f "$(DESTDIR)$(video_sdl_pylibdir)/$$p"; \
+       done
+
+clean-video_sdl_pylibLTLIBRARIES:
+       -test -z "$(video_sdl_pylib_LTLIBRARIES)" || rm -f $(video_sdl_pylib_LTLIBRARIES)
+       @list='$(video_sdl_pylib_LTLIBRARIES)'; for p in $$list; do \
+         dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
+         test "$$dir" != "$$p" || dir=.; \
+         echo "rm -f \"$${dir}/so_locations\""; \
+         rm -f "$${dir}/so_locations"; \
+       done
+_video_sdl.la: $(_video_sdl_la_OBJECTS) $(_video_sdl_la_DEPENDENCIES) 
+       $(_video_sdl_la_LINK) -rpath $(video_sdl_pylibdir) $(_video_sdl_la_OBJECTS) $(_video_sdl_la_LIBADD) $(LIBS)
+
+mostlyclean-compile:
+       -rm -f *.$(OBJEXT)
+
+distclean-compile:
+       -rm -f *.tab.c
+
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_video_sdl_la-video_sdl.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_video_sdl_la-video_sdl_sink_s.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_video_sdl_la-video_sdl_sink_uc.Plo@am__quote@
+
+.cc.o:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ $<
+
+.cc.obj:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ `$(CYGPATH_W) '$<'`
+
+.cc.lo:
+@am__fastdepCXX_TRUE@  $(LTCXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LTCXXCOMPILE) -c -o $@ $<
+
+_video_sdl_la-video_sdl.lo: video_sdl.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_video_sdl_la_CXXFLAGS) $(CXXFLAGS) -MT _video_sdl_la-video_sdl.lo -MD -MP -MF $(DEPDIR)/_video_sdl_la-video_sdl.Tpo -c -o _video_sdl_la-video_sdl.lo `test -f 'video_sdl.cc' || echo '$(srcdir)/'`video_sdl.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_video_sdl_la-video_sdl.Tpo $(DEPDIR)/_video_sdl_la-video_sdl.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='video_sdl.cc' object='_video_sdl_la-video_sdl.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_video_sdl_la_CXXFLAGS) $(CXXFLAGS) -c -o _video_sdl_la-video_sdl.lo `test -f 'video_sdl.cc' || echo '$(srcdir)/'`video_sdl.cc
+
+_video_sdl_la-video_sdl_sink_uc.lo: video_sdl_sink_uc.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_video_sdl_la_CXXFLAGS) $(CXXFLAGS) -MT _video_sdl_la-video_sdl_sink_uc.lo -MD -MP -MF $(DEPDIR)/_video_sdl_la-video_sdl_sink_uc.Tpo -c -o _video_sdl_la-video_sdl_sink_uc.lo `test -f 'video_sdl_sink_uc.cc' || echo '$(srcdir)/'`video_sdl_sink_uc.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_video_sdl_la-video_sdl_sink_uc.Tpo $(DEPDIR)/_video_sdl_la-video_sdl_sink_uc.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='video_sdl_sink_uc.cc' object='_video_sdl_la-video_sdl_sink_uc.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_video_sdl_la_CXXFLAGS) $(CXXFLAGS) -c -o _video_sdl_la-video_sdl_sink_uc.lo `test -f 'video_sdl_sink_uc.cc' || echo '$(srcdir)/'`video_sdl_sink_uc.cc
+
+_video_sdl_la-video_sdl_sink_s.lo: video_sdl_sink_s.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_video_sdl_la_CXXFLAGS) $(CXXFLAGS) -MT _video_sdl_la-video_sdl_sink_s.lo -MD -MP -MF $(DEPDIR)/_video_sdl_la-video_sdl_sink_s.Tpo -c -o _video_sdl_la-video_sdl_sink_s.lo `test -f 'video_sdl_sink_s.cc' || echo '$(srcdir)/'`video_sdl_sink_s.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_video_sdl_la-video_sdl_sink_s.Tpo $(DEPDIR)/_video_sdl_la-video_sdl_sink_s.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='video_sdl_sink_s.cc' object='_video_sdl_la-video_sdl_sink_s.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_video_sdl_la_CXXFLAGS) $(CXXFLAGS) -c -o _video_sdl_la-video_sdl_sink_s.lo `test -f 'video_sdl_sink_s.cc' || echo '$(srcdir)/'`video_sdl_sink_s.cc
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-video_sdl_pythonPYTHON: $(video_sdl_python_PYTHON)
+       @$(NORMAL_INSTALL)
+       test -z "$(video_sdl_pythondir)" || $(MKDIR_P) "$(DESTDIR)$(video_sdl_pythondir)"
+       @list='$(video_sdl_python_PYTHON)'; dlist=''; for p in $$list; do\
+         if test -f "$$p"; then b=; else b="$(srcdir)/"; fi; \
+         if test -f $$b$$p; then \
+           f=$(am__strip_dir) \
+           dlist="$$dlist $$f"; \
+           echo " $(video_sdl_pythonPYTHON_INSTALL) '$$b$$p' '$(DESTDIR)$(video_sdl_pythondir)/$$f'"; \
+           $(video_sdl_pythonPYTHON_INSTALL) "$$b$$p" "$(DESTDIR)$(video_sdl_pythondir)/$$f"; \
+         else :; fi; \
+       done; \
+       if test -n "$$dlist"; then \
+         if test -z "$(DESTDIR)"; then \
+           PYTHON=$(PYTHON) $(py_compile) --basedir "$(video_sdl_pythondir)" $$dlist; \
+         else \
+           PYTHON=$(PYTHON) $(py_compile) --destdir "$(DESTDIR)" --basedir "$(video_sdl_pythondir)" $$dlist; \
+         fi; \
+       else :; fi
+
+uninstall-video_sdl_pythonPYTHON:
+       @$(NORMAL_UNINSTALL)
+       @list='$(video_sdl_python_PYTHON)'; dlist=''; for p in $$list; do\
+         f=$(am__strip_dir) \
+         rm -f "$(DESTDIR)$(video_sdl_pythondir)/$$f"; \
+         rm -f "$(DESTDIR)$(video_sdl_pythondir)/$${f}c"; \
+         rm -f "$(DESTDIR)$(video_sdl_pythondir)/$${f}o"; \
+       done
+install-grincludeHEADERS: $(grinclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(grincludedir)" || $(MKDIR_P) "$(DESTDIR)$(grincludedir)"
+       @list='$(grinclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(grincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(grincludedir)/$$f'"; \
+         $(grincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(grincludedir)/$$f"; \
+       done
+
+uninstall-grincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(grinclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(grincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(grincludedir)/$$f"; \
+       done
+install-video_sdl_swigincludeHEADERS: $(video_sdl_swiginclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(video_sdl_swigincludedir)" || $(MKDIR_P) "$(DESTDIR)$(video_sdl_swigincludedir)"
+       @list='$(video_sdl_swiginclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(video_sdl_swigincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(video_sdl_swigincludedir)/$$f'"; \
+         $(video_sdl_swigincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(video_sdl_swigincludedir)/$$f"; \
+       done
+
+uninstall-video_sdl_swigincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(video_sdl_swiginclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(video_sdl_swigincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(video_sdl_swigincludedir)/$$f"; \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+check-TESTS: $(TESTS)
+       @failed=0; all=0; xfail=0; xpass=0; skip=0; \
+       srcdir=$(srcdir); export srcdir; \
+       list=' $(TESTS) '; \
+       if test -n "$$list"; then \
+         for tst in $$list; do \
+           if test -f ./$$tst; then dir=./; \
+           elif test -f $$tst; then dir=; \
+           else dir="$(srcdir)/"; fi; \
+           if $(TESTS_ENVIRONMENT) $${dir}$$tst; then \
+             all=`expr $$all + 1`; \
+             case " $(XFAIL_TESTS) " in \
+             *[\ \     ]$$tst[\ \      ]*) \
+               xpass=`expr $$xpass + 1`; \
+               failed=`expr $$failed + 1`; \
+               echo "XPASS: $$tst"; \
+             ;; \
+             *) \
+               echo "PASS: $$tst"; \
+             ;; \
+             esac; \
+           elif test $$? -ne 77; then \
+             all=`expr $$all + 1`; \
+             case " $(XFAIL_TESTS) " in \
+             *[\ \     ]$$tst[\ \      ]*) \
+               xfail=`expr $$xfail + 1`; \
+               echo "XFAIL: $$tst"; \
+             ;; \
+             *) \
+               failed=`expr $$failed + 1`; \
+               echo "FAIL: $$tst"; \
+             ;; \
+             esac; \
+           else \
+             skip=`expr $$skip + 1`; \
+             echo "SKIP: $$tst"; \
+           fi; \
+         done; \
+         if test "$$all" -eq 1; then \
+           tests="test"; \
+           All=""; \
+         else \
+           tests="tests"; \
+           All="All "; \
+         fi; \
+         if test "$$failed" -eq 0; then \
+           if test "$$xfail" -eq 0; then \
+             banner="$$All$$all $$tests passed"; \
+           else \
+             if test "$$xfail" -eq 1; then failures=failure; else failures=failures; fi; \
+             banner="$$All$$all $$tests behaved as expected ($$xfail expected $$failures)"; \
+           fi; \
+         else \
+           if test "$$xpass" -eq 0; then \
+             banner="$$failed of $$all $$tests failed"; \
+           else \
+             if test "$$xpass" -eq 1; then passes=pass; else passes=passes; fi; \
+             banner="$$failed of $$all $$tests did not behave as expected ($$xpass unexpected $$passes)"; \
+           fi; \
+         fi; \
+         dashes="$$banner"; \
+         skipped=""; \
+         if test "$$skip" -ne 0; then \
+           if test "$$skip" -eq 1; then \
+             skipped="($$skip test was not run)"; \
+           else \
+             skipped="($$skip tests were not run)"; \
+           fi; \
+           test `echo "$$skipped" | wc -c` -le `echo "$$banner" | wc -c` || \
+             dashes="$$skipped"; \
+         fi; \
+         report=""; \
+         if test "$$failed" -ne 0 && test -n "$(PACKAGE_BUGREPORT)"; then \
+           report="Please report to $(PACKAGE_BUGREPORT)"; \
+           test `echo "$$report" | wc -c` -le `echo "$$banner" | wc -c` || \
+             dashes="$$report"; \
+         fi; \
+         dashes=`echo "$$dashes" | sed s/./=/g`; \
+         echo "$$dashes"; \
+         echo "$$banner"; \
+         test -z "$$skipped" || echo "$$skipped"; \
+         test -z "$$report" || echo "$$report"; \
+         echo "$$dashes"; \
+         test "$$failed" -eq 0; \
+       else :; fi
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+       $(MAKE) $(AM_MAKEFLAGS) check-TESTS
+check: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) check-am
+all-am: Makefile $(LTLIBRARIES) $(HEADERS)
+installdirs:
+       for dir in "$(DESTDIR)$(video_sdl_pylibdir)" "$(DESTDIR)$(video_sdl_pythondir)" "$(DESTDIR)$(grincludedir)" "$(DESTDIR)$(video_sdl_swigincludedir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+       -test -z "$(DISTCLEANFILES)" || rm -f $(DISTCLEANFILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+       -test -z "$(BUILT_SOURCES)" || rm -f $(BUILT_SOURCES)
+clean: clean-am
+
+clean-am: clean-generic clean-libtool clean-video_sdl_pylibLTLIBRARIES \
+       mostlyclean-am
+
+distclean: distclean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+distclean-am: clean-am distclean-compile distclean-generic \
+       distclean-tags
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-grincludeHEADERS \
+       install-video_sdl_pylibLTLIBRARIES \
+       install-video_sdl_pythonPYTHON \
+       install-video_sdl_swigincludeHEADERS
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-compile mostlyclean-generic \
+       mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-grincludeHEADERS \
+       uninstall-video_sdl_pylibLTLIBRARIES \
+       uninstall-video_sdl_pythonPYTHON \
+       uninstall-video_sdl_swigincludeHEADERS
+
+.MAKE: install-am install-strip
+
+.PHONY: CTAGS GTAGS all all-am check check-TESTS check-am clean \
+       clean-generic clean-libtool clean-video_sdl_pylibLTLIBRARIES \
+       ctags dist-hook distclean distclean-compile distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-grincludeHEADERS install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-ps install-ps-am \
+       install-strip install-video_sdl_pylibLTLIBRARIES \
+       install-video_sdl_pythonPYTHON \
+       install-video_sdl_swigincludeHEADERS installcheck \
+       installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-compile \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       tags uninstall uninstall-am uninstall-grincludeHEADERS \
+       uninstall-video_sdl_pylibLTLIBRARIES \
+       uninstall-video_sdl_pythonPYTHON \
+       uninstall-video_sdl_swigincludeHEADERS
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+
+generate-makefile-swig $(srcdir)/Makefile.swig.gen: $(top_srcdir)/Makefile.swig.gen.t
+       @do_recreate=0; \
+       if test -f $(srcdir)/Makefile.swig.gen; then \
+               if $(RM) $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                       if touch $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                               do_recreate=1; \
+                       fi; \
+               fi; \
+       else \
+               if touch $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                       do_recreate=1; \
+               fi; \
+       fi; \
+       if test "$$do_recreate" == "1"; then \
+               echo "Regenerating $(srcdir)/Makefile.swig.gen"; \
+               for TFILE in $(TOP_SWIG_IFILES); do \
+                       TNAME=`python -c "import os.path as op; (dN, fN) = op.split ('$$TFILE'); (fbN, fE) = op.splitext (fN); print fbN;"`; \
+                       $(SED) -e 's|@NAME@|'$$TNAME'|g;' < $(top_srcdir)/Makefile.swig.gen.t >> $(srcdir)/Makefile.swig.gen; \
+                       echo "" >> $(srcdir)/Makefile.swig.gen; \
+               done; \
+       else \
+               echo "Cannot recreate $(srcdir)/Makefile.swig.gen because the directory or file is write-protected."; \
+               exit -1; \
+       fi;
+
+video_sdl_pythondir_category ?= gnuradio/video_sdl
+video_sdl_pylibdir_category ?= $(video_sdl_pythondir_category)
+
+video_sdl.h video_sdl.py video_sdl.cc: video_sdl.i
+       trap 'rm -rf $(DEPDIR)/video_sdl-generate-*' 1 2 13 15; \
+       if mkdir $(DEPDIR)/video_sdl-generate-lock 2>/dev/null; then \
+               rm -f $(DEPDIR)/video_sdl-generate-stamp; \
+               $(MAKE) $(AM_MAKEFLAGS) $(DEPDIR)/video_sdl-generate-stamp WHAT=$<; \
+               rmdir $(DEPDIR)/video_sdl-generate-lock; \
+       else \
+               while test -d $(DEPDIR)/video_sdl-generate-lock; do \
+                       sleep 1; \
+               done; \
+               test -f $(DEPDIR)/video_sdl-generate-stamp; \
+               exit $$?; \
+       fi;
+
+$(DEPDIR)/video_sdl-generate-stamp:
+       if $(SWIG) $(STD_SWIG_PYTHON_ARGS) $(video_sdl_swig_args) \
+               -MD -MF $(DEPDIR)/video_sdl.Std \
+               -module video_sdl -o video_sdl.cc $(WHAT); then \
+           if test $(host_os) = mingw32; then \
+               $(RM) $(DEPDIR)/video_sdl.Sd; \
+               $(SED) 's,\\\\,/,g' < $(DEPDIR)/video_sdl.Std \
+                       > $(DEPDIR)/video_sdl.Sd; \
+               $(RM) $(DEPDIR)/video_sdl.Std; \
+               $(MV) $(DEPDIR)/video_sdl.Sd $(DEPDIR)/video_sdl.Std; \
+           fi; \
+       else \
+           $(RM) $(DEPDIR)/video_sdl.S*; exit 1; \
+       fi;
+       $(RM) $(DEPDIR)/video_sdl.d
+       cp $(DEPDIR)/video_sdl.Std $(DEPDIR)/video_sdl.d
+       echo "" >> $(DEPDIR)/video_sdl.d
+       $(SED) -e '1d;s, \\,,g;s, ,,g' < $(DEPDIR)/video_sdl.Std | \
+               awk '{ printf "%s:\n\n", $$0 }' >> $(DEPDIR)/video_sdl.d
+       $(RM) $(DEPDIR)/video_sdl.Std
+       touch $(DEPDIR)/video_sdl-generate-stamp
+
+# KLUDGE: Force runtime include of a SWIG dependency file.  This is
+# not guaranteed to be portable, but will probably work.  If it works,
+# we have accurate dependencies for our swig stuff, which is good.
+
+@am__include@ @am__quote@./$(DEPDIR)/video_sdl.d@am__quote@
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-wxgui/Makefile.in b/gr-wxgui/Makefile.in
new file mode 100644 (file)
index 0000000..f4d2190
--- /dev/null
@@ -0,0 +1,1092 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004,2006,2008 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = README $(dist_etc_DATA) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(srcdir)/gr-wxgui.pc.in \
+       $(top_srcdir)/Makefile.common
+subdir = gr-wxgui
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES = gr-wxgui.pc
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(etcdir)" "$(DESTDIR)$(pkgconfigdir)"
+dist_etcDATA_INSTALL = $(INSTALL_DATA)
+pkgconfigDATA_INSTALL = $(INSTALL_DATA)
+DATA = $(dist_etc_DATA) $(pkgconfig_DATA)
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+EXTRA_DIST = \
+    gr-wxgui.pc.in \
+    README \
+    README.gl
+
+SUBDIRS = src
+etcdir = $(gr_sysconfdir)
+dist_etc_DATA = gr-wxgui.conf
+pkgconfigdir = $(libdir)/pkgconfig
+pkgconfig_DATA = gr-wxgui.pc
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-wxgui/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-wxgui/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+gr-wxgui.pc: $(top_builddir)/config.status $(srcdir)/gr-wxgui.pc.in
+       cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-dist_etcDATA: $(dist_etc_DATA)
+       @$(NORMAL_INSTALL)
+       test -z "$(etcdir)" || $(MKDIR_P) "$(DESTDIR)$(etcdir)"
+       @list='$(dist_etc_DATA)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(dist_etcDATA_INSTALL) '$$d$$p' '$(DESTDIR)$(etcdir)/$$f'"; \
+         $(dist_etcDATA_INSTALL) "$$d$$p" "$(DESTDIR)$(etcdir)/$$f"; \
+       done
+
+uninstall-dist_etcDATA:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_etc_DATA)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(etcdir)/$$f'"; \
+         rm -f "$(DESTDIR)$(etcdir)/$$f"; \
+       done
+install-pkgconfigDATA: $(pkgconfig_DATA)
+       @$(NORMAL_INSTALL)
+       test -z "$(pkgconfigdir)" || $(MKDIR_P) "$(DESTDIR)$(pkgconfigdir)"
+       @list='$(pkgconfig_DATA)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(pkgconfigDATA_INSTALL) '$$d$$p' '$(DESTDIR)$(pkgconfigdir)/$$f'"; \
+         $(pkgconfigDATA_INSTALL) "$$d$$p" "$(DESTDIR)$(pkgconfigdir)/$$f"; \
+       done
+
+uninstall-pkgconfigDATA:
+       @$(NORMAL_UNINSTALL)
+       @list='$(pkgconfig_DATA)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(pkgconfigdir)/$$f'"; \
+         rm -f "$(DESTDIR)$(pkgconfigdir)/$$f"; \
+       done
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-recursive
+all-am: Makefile $(DATA)
+installdirs: installdirs-recursive
+installdirs-am:
+       for dir in "$(DESTDIR)$(etcdir)" "$(DESTDIR)$(pkgconfigdir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am: install-dist_etcDATA install-pkgconfigDATA
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am: uninstall-dist_etcDATA uninstall-pkgconfigDATA
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive dist-hook distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dist_etcDATA install-dvi \
+       install-dvi-am install-exec install-exec-am install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-pkgconfigDATA install-ps \
+       install-ps-am install-strip installcheck installcheck-am \
+       installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am uninstall-dist_etcDATA \
+       uninstall-pkgconfigDATA
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-wxgui/src/Makefile.in b/gr-wxgui/src/Makefile.in
new file mode 100644 (file)
index 0000000..4d8c111
--- /dev/null
@@ -0,0 +1,1030 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gr-wxgui/src
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+SUBDIRS = python
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-wxgui/src/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-wxgui/src/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-recursive
+all-am: Makefile
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive dist-hook distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-wxgui/src/python/Makefile.in b/gr-wxgui/src/python/Makefile.in
new file mode 100644 (file)
index 0000000..228353f
--- /dev/null
@@ -0,0 +1,1145 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004,2005,2008 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(formspython_PYTHON) $(ourpython_PYTHON) \
+       $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = gr-wxgui/src/python
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(formspythondir)" \
+       "$(DESTDIR)$(ourpythondir)"
+formspythonPYTHON_INSTALL = $(INSTALL_DATA)
+ourpythonPYTHON_INSTALL = $(INSTALL_DATA)
+py_compile = $(top_srcdir)/py-compile
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+SUBDIRS = plotter
+
+# Install this stuff so that it ends up as the gnuradio.wxgui module
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio/wxgui
+ourpythondir = $(grpythondir)/wxgui
+ourlibdir = $(grpyexecdir)/wxgui
+ourpython_PYTHON = \
+       __init__.py                     \
+       common.py                       \
+       constants.py                    \
+       constsink_gl.py                 \
+       const_window.py                 \
+       form.py                         \
+       fftsink2.py                     \
+       fftsink_nongl.py                \
+       fftsink_gl.py                   \
+       fft_window.py                   \
+       gui.py                          \
+       histosink_gl.py                 \
+       histo_window.py                 \
+       numbersink2.py                  \
+       number_window.py                \
+       plot.py                         \
+       powermate.py                    \
+       pubsub.py                       \
+       scopesink2.py                   \
+       scopesink_nongl.py              \
+       scopesink_gl.py                 \
+       scope_window.py                 \
+       waterfallsink2.py               \
+       waterfallsink_nongl.py          \
+       waterfallsink_gl.py             \
+       waterfall_window.py             \
+       slider.py                       \
+       stdgui2.py
+
+formspythondir = $(grpythondir)/wxgui/forms
+formspython_PYTHON = \
+       forms/__init__.py \
+       forms/forms.py \
+       forms/converters.py
+
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-wxgui/src/python/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-wxgui/src/python/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-formspythonPYTHON: $(formspython_PYTHON)
+       @$(NORMAL_INSTALL)
+       test -z "$(formspythondir)" || $(MKDIR_P) "$(DESTDIR)$(formspythondir)"
+       @list='$(formspython_PYTHON)'; dlist=''; for p in $$list; do\
+         if test -f "$$p"; then b=; else b="$(srcdir)/"; fi; \
+         if test -f $$b$$p; then \
+           f=$(am__strip_dir) \
+           dlist="$$dlist $$f"; \
+           echo " $(formspythonPYTHON_INSTALL) '$$b$$p' '$(DESTDIR)$(formspythondir)/$$f'"; \
+           $(formspythonPYTHON_INSTALL) "$$b$$p" "$(DESTDIR)$(formspythondir)/$$f"; \
+         else :; fi; \
+       done; \
+       if test -n "$$dlist"; then \
+         if test -z "$(DESTDIR)"; then \
+           PYTHON=$(PYTHON) $(py_compile) --basedir "$(formspythondir)" $$dlist; \
+         else \
+           PYTHON=$(PYTHON) $(py_compile) --destdir "$(DESTDIR)" --basedir "$(formspythondir)" $$dlist; \
+         fi; \
+       else :; fi
+
+uninstall-formspythonPYTHON:
+       @$(NORMAL_UNINSTALL)
+       @list='$(formspython_PYTHON)'; dlist=''; for p in $$list; do\
+         f=$(am__strip_dir) \
+         rm -f "$(DESTDIR)$(formspythondir)/$$f"; \
+         rm -f "$(DESTDIR)$(formspythondir)/$${f}c"; \
+         rm -f "$(DESTDIR)$(formspythondir)/$${f}o"; \
+       done
+install-ourpythonPYTHON: $(ourpython_PYTHON)
+       @$(NORMAL_INSTALL)
+       test -z "$(ourpythondir)" || $(MKDIR_P) "$(DESTDIR)$(ourpythondir)"
+       @list='$(ourpython_PYTHON)'; dlist=''; for p in $$list; do\
+         if test -f "$$p"; then b=; else b="$(srcdir)/"; fi; \
+         if test -f $$b$$p; then \
+           f=$(am__strip_dir) \
+           dlist="$$dlist $$f"; \
+           echo " $(ourpythonPYTHON_INSTALL) '$$b$$p' '$(DESTDIR)$(ourpythondir)/$$f'"; \
+           $(ourpythonPYTHON_INSTALL) "$$b$$p" "$(DESTDIR)$(ourpythondir)/$$f"; \
+         else :; fi; \
+       done; \
+       if test -n "$$dlist"; then \
+         if test -z "$(DESTDIR)"; then \
+           PYTHON=$(PYTHON) $(py_compile) --basedir "$(ourpythondir)" $$dlist; \
+         else \
+           PYTHON=$(PYTHON) $(py_compile) --destdir "$(DESTDIR)" --basedir "$(ourpythondir)" $$dlist; \
+         fi; \
+       else :; fi
+
+uninstall-ourpythonPYTHON:
+       @$(NORMAL_UNINSTALL)
+       @list='$(ourpython_PYTHON)'; dlist=''; for p in $$list; do\
+         f=$(am__strip_dir) \
+         rm -f "$(DESTDIR)$(ourpythondir)/$$f"; \
+         rm -f "$(DESTDIR)$(ourpythondir)/$${f}c"; \
+         rm -f "$(DESTDIR)$(ourpythondir)/$${f}o"; \
+       done
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-recursive
+all-am: Makefile
+installdirs: installdirs-recursive
+installdirs-am:
+       for dir in "$(DESTDIR)$(formspythondir)" "$(DESTDIR)$(ourpythondir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am: install-formspythonPYTHON install-ourpythonPYTHON
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am: uninstall-formspythonPYTHON uninstall-ourpythonPYTHON
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive dist-hook distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-formspythonPYTHON install-html \
+       install-html-am install-info install-info-am install-man \
+       install-ourpythonPYTHON install-pdf install-pdf-am install-ps \
+       install-ps-am install-strip installcheck installcheck-am \
+       installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am uninstall-formspythonPYTHON \
+       uninstall-ourpythonPYTHON
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gr-wxgui/src/python/plotter/Makefile.in b/gr-wxgui/src/python/plotter/Makefile.in
new file mode 100644 (file)
index 0000000..50db872
--- /dev/null
@@ -0,0 +1,928 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004,2005,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(ourpython_PYTHON) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(top_srcdir)/Makefile.common
+subdir = gr-wxgui/src/python/plotter
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(ourpythondir)"
+ourpythonPYTHON_INSTALL = $(INSTALL_DATA)
+py_compile = $(top_srcdir)/py-compile
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+
+# Install this stuff so that it ends up as the gnuradio.wxgui module
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio/wxgui
+ourpythondir = $(grpythondir)/wxgui/plotter
+ourlibdir = $(grpyexecdir)/wxgui/plotter
+ourpython_PYTHON = \
+       __init__.py                     \
+       bar_plotter.py          \
+       channel_plotter.py              \
+       common.py                       \
+       gltext.py                       \
+       grid_plotter_base.py    \
+       plotter_base.py                 \
+       waterfall_plotter.py
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gr-wxgui/src/python/plotter/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gr-wxgui/src/python/plotter/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-ourpythonPYTHON: $(ourpython_PYTHON)
+       @$(NORMAL_INSTALL)
+       test -z "$(ourpythondir)" || $(MKDIR_P) "$(DESTDIR)$(ourpythondir)"
+       @list='$(ourpython_PYTHON)'; dlist=''; for p in $$list; do\
+         if test -f "$$p"; then b=; else b="$(srcdir)/"; fi; \
+         if test -f $$b$$p; then \
+           f=$(am__strip_dir) \
+           dlist="$$dlist $$f"; \
+           echo " $(ourpythonPYTHON_INSTALL) '$$b$$p' '$(DESTDIR)$(ourpythondir)/$$f'"; \
+           $(ourpythonPYTHON_INSTALL) "$$b$$p" "$(DESTDIR)$(ourpythondir)/$$f"; \
+         else :; fi; \
+       done; \
+       if test -n "$$dlist"; then \
+         if test -z "$(DESTDIR)"; then \
+           PYTHON=$(PYTHON) $(py_compile) --basedir "$(ourpythondir)" $$dlist; \
+         else \
+           PYTHON=$(PYTHON) $(py_compile) --destdir "$(DESTDIR)" --basedir "$(ourpythondir)" $$dlist; \
+         fi; \
+       else :; fi
+
+uninstall-ourpythonPYTHON:
+       @$(NORMAL_UNINSTALL)
+       @list='$(ourpython_PYTHON)'; dlist=''; for p in $$list; do\
+         f=$(am__strip_dir) \
+         rm -f "$(DESTDIR)$(ourpythondir)/$$f"; \
+         rm -f "$(DESTDIR)$(ourpythondir)/$${f}c"; \
+         rm -f "$(DESTDIR)$(ourpythondir)/$${f}o"; \
+       done
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile
+installdirs:
+       for dir in "$(DESTDIR)$(ourpythondir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-ourpythonPYTHON
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-ourpythonPYTHON
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am install-dvi \
+       install-dvi-am install-exec install-exec-am install-html \
+       install-html-am install-info install-info-am install-man \
+       install-ourpythonPYTHON install-pdf install-pdf-am install-ps \
+       install-ps-am install-strip installcheck installcheck-am \
+       installdirs maintainer-clean maintainer-clean-generic \
+       mostlyclean mostlyclean-generic mostlyclean-libtool pdf pdf-am \
+       ps ps-am uninstall uninstall-am uninstall-ourpythonPYTHON
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/grc/Makefile.in b/grc/Makefile.in
new file mode 100644 (file)
index 0000000..00692fd
--- /dev/null
@@ -0,0 +1,1147 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2008, 2009 Free Software Foundation, Inc.
+#
+# This file is part of GNU Radio
+#
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+#
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+#
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+#
+
+#
+# Copyright 2008 Free Software Foundation, Inc.
+#
+# This file is part of GNU Radio
+#
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+#
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+#
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+#
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(dist_etc_DATA) $(ourpython_PYTHON) \
+       $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common $(top_srcdir)/grc/Makefile.inc
+@XDG_UTILS_TRUE@am__append_1 = freedesktop
+subdir = grc
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(ourpythondir)" "$(DESTDIR)$(etcdir)"
+ourpythonPYTHON_INSTALL = $(INSTALL_DATA)
+py_compile = $(top_srcdir)/py-compile
+dist_etcDATA_INSTALL = $(INSTALL_DATA)
+DATA = $(dist_etc_DATA)
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = base blocks examples grc_gnuradio gui python scripts \
+       freedesktop
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+grc_src_prefix = $(pythondir)/gnuradio/grc
+grc_blocksdir = $(pkgdatadir)/grc/blocks
+SUBDIRS = base blocks examples grc_gnuradio gui python scripts \
+       $(am__append_1)
+ourpythondir = $(grc_src_prefix)
+ourpython_PYTHON = __init__.py
+etcdir = $(gr_sysconfdir)
+dist_etc_DATA = grc.conf
+EXTRA_DIST = \
+       $(srcdir)/__init__.py.in \
+       $(srcdir)/grc.conf.in
+
+BUILT_SOURCES = \
+       __init__.py \
+       grc.conf
+
+all: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/grc/Makefile.inc $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  grc/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  grc/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-ourpythonPYTHON: $(ourpython_PYTHON)
+       @$(NORMAL_INSTALL)
+       test -z "$(ourpythondir)" || $(MKDIR_P) "$(DESTDIR)$(ourpythondir)"
+       @list='$(ourpython_PYTHON)'; dlist=''; for p in $$list; do\
+         if test -f "$$p"; then b=; else b="$(srcdir)/"; fi; \
+         if test -f $$b$$p; then \
+           f=$(am__strip_dir) \
+           dlist="$$dlist $$f"; \
+           echo " $(ourpythonPYTHON_INSTALL) '$$b$$p' '$(DESTDIR)$(ourpythondir)/$$f'"; \
+           $(ourpythonPYTHON_INSTALL) "$$b$$p" "$(DESTDIR)$(ourpythondir)/$$f"; \
+         else :; fi; \
+       done; \
+       if test -n "$$dlist"; then \
+         if test -z "$(DESTDIR)"; then \
+           PYTHON=$(PYTHON) $(py_compile) --basedir "$(ourpythondir)" $$dlist; \
+         else \
+           PYTHON=$(PYTHON) $(py_compile) --destdir "$(DESTDIR)" --basedir "$(ourpythondir)" $$dlist; \
+         fi; \
+       else :; fi
+
+uninstall-ourpythonPYTHON:
+       @$(NORMAL_UNINSTALL)
+       @list='$(ourpython_PYTHON)'; dlist=''; for p in $$list; do\
+         f=$(am__strip_dir) \
+         rm -f "$(DESTDIR)$(ourpythondir)/$$f"; \
+         rm -f "$(DESTDIR)$(ourpythondir)/$${f}c"; \
+         rm -f "$(DESTDIR)$(ourpythondir)/$${f}o"; \
+       done
+install-dist_etcDATA: $(dist_etc_DATA)
+       @$(NORMAL_INSTALL)
+       test -z "$(etcdir)" || $(MKDIR_P) "$(DESTDIR)$(etcdir)"
+       @list='$(dist_etc_DATA)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(dist_etcDATA_INSTALL) '$$d$$p' '$(DESTDIR)$(etcdir)/$$f'"; \
+         $(dist_etcDATA_INSTALL) "$$d$$p" "$(DESTDIR)$(etcdir)/$$f"; \
+       done
+
+uninstall-dist_etcDATA:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_etc_DATA)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(etcdir)/$$f'"; \
+         rm -f "$(DESTDIR)$(etcdir)/$$f"; \
+       done
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) check-recursive
+all-am: Makefile $(DATA)
+installdirs: installdirs-recursive
+installdirs-am:
+       for dir in "$(DESTDIR)$(ourpythondir)" "$(DESTDIR)$(etcdir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+       -test -z "$(BUILT_SOURCES)" || rm -f $(BUILT_SOURCES)
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am: install-dist_etcDATA install-ourpythonPYTHON
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am: uninstall-dist_etcDATA uninstall-ourpythonPYTHON
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive dist-hook distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dist_etcDATA install-dvi \
+       install-dvi-am install-exec install-exec-am install-html \
+       install-html-am install-info install-info-am install-man \
+       install-ourpythonPYTHON install-pdf install-pdf-am install-ps \
+       install-ps-am install-strip installcheck installcheck-am \
+       installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am uninstall-dist_etcDATA \
+       uninstall-ourpythonPYTHON
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+
+__init__.py: $(srcdir)/__init__.py.in Makefile
+       sed \
+               -e 's|@VERSION[@]|$(VERSION)|g' \
+       $< > $@
+
+grc.conf: $(srcdir)/grc.conf.in Makefile
+       sed \
+               -e 's|@pythonw[@]|$(PYTHONW)|g' \
+               -e 's|@blocksdir[@]|$(grc_blocksdir)|g' \
+               -e 's|@docdir[@]|$(gr_docdir)|g' \
+       $< > $@
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/grc/Makefile.inc b/grc/Makefile.inc
new file mode 100644 (file)
index 0000000..96ee11b
--- /dev/null
@@ -0,0 +1,24 @@
+#
+# Copyright 2008 Free Software Foundation, Inc.
+#
+# This file is part of GNU Radio
+#
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+#
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+#
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+#
+
+include $(top_srcdir)/Makefile.common
+grc_src_prefix = $(pythondir)/gnuradio/grc
+grc_blocksdir = $(pkgdatadir)/grc/blocks
diff --git a/grc/__init__.py.in b/grc/__init__.py.in
new file mode 100644 (file)
index 0000000..4c146f9
--- /dev/null
@@ -0,0 +1,21 @@
+"""
+Copyright 2009 Free Software Foundation, Inc.
+This file is part of GNU Radio
+
+GNU Radio Companion is free software; you can redistribute it and/or
+modify it under the terms of the GNU General Public License
+as published by the Free Software Foundation; either version 2
+of the License, or (at your option) any later version.
+
+GNU Radio Companion is distributed in the hope that it will be useful,
+but WITHOUT ANY WARRANTY; without even the implied warranty of
+MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+GNU General Public License for more details.
+
+You should have received a copy of the GNU General Public License
+along with this program; if not, write to the Free Software
+Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA  02110-1301, USA
+"""
+
+#package and version constants
+VERSION = '@VERSION@'
diff --git a/grc/base/Makefile.in b/grc/base/Makefile.in
new file mode 100644 (file)
index 0000000..9fd7fb5
--- /dev/null
@@ -0,0 +1,978 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2008, 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+#
+# Copyright 2008 Free Software Foundation, Inc.
+#
+# This file is part of GNU Radio
+#
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+#
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+#
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+#
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(dist_ourdata_DATA) $(ourpython_PYTHON) \
+       $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common $(top_srcdir)/grc/Makefile.inc
+subdir = grc/base
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(ourpythondir)" \
+       "$(DESTDIR)$(ourdatadir)"
+ourpythonPYTHON_INSTALL = $(INSTALL_DATA)
+py_compile = $(top_srcdir)/py-compile
+dist_ourdataDATA_INSTALL = $(INSTALL_DATA)
+DATA = $(dist_ourdata_DATA)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+grc_src_prefix = $(pythondir)/gnuradio/grc
+grc_blocksdir = $(pkgdatadir)/grc/blocks
+ourpythondir = $(grc_src_prefix)/base
+ourpython_PYTHON = \
+       odict.py \
+       ParseXML.py \
+       Block.py \
+       Connection.py \
+       Constants.py \
+       Element.py \
+       FlowGraph.py \
+       Param.py \
+       Platform.py \
+       Port.py \
+       __init__.py
+
+ourdatadir = $(grc_src_prefix)/base
+dist_ourdata_DATA = \
+       block_tree.dtd \
+       flow_graph.dtd
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/grc/Makefile.inc $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  grc/base/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  grc/base/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-ourpythonPYTHON: $(ourpython_PYTHON)
+       @$(NORMAL_INSTALL)
+       test -z "$(ourpythondir)" || $(MKDIR_P) "$(DESTDIR)$(ourpythondir)"
+       @list='$(ourpython_PYTHON)'; dlist=''; for p in $$list; do\
+         if test -f "$$p"; then b=; else b="$(srcdir)/"; fi; \
+         if test -f $$b$$p; then \
+           f=$(am__strip_dir) \
+           dlist="$$dlist $$f"; \
+           echo " $(ourpythonPYTHON_INSTALL) '$$b$$p' '$(DESTDIR)$(ourpythondir)/$$f'"; \
+           $(ourpythonPYTHON_INSTALL) "$$b$$p" "$(DESTDIR)$(ourpythondir)/$$f"; \
+         else :; fi; \
+       done; \
+       if test -n "$$dlist"; then \
+         if test -z "$(DESTDIR)"; then \
+           PYTHON=$(PYTHON) $(py_compile) --basedir "$(ourpythondir)" $$dlist; \
+         else \
+           PYTHON=$(PYTHON) $(py_compile) --destdir "$(DESTDIR)" --basedir "$(ourpythondir)" $$dlist; \
+         fi; \
+       else :; fi
+
+uninstall-ourpythonPYTHON:
+       @$(NORMAL_UNINSTALL)
+       @list='$(ourpython_PYTHON)'; dlist=''; for p in $$list; do\
+         f=$(am__strip_dir) \
+         rm -f "$(DESTDIR)$(ourpythondir)/$$f"; \
+         rm -f "$(DESTDIR)$(ourpythondir)/$${f}c"; \
+         rm -f "$(DESTDIR)$(ourpythondir)/$${f}o"; \
+       done
+install-dist_ourdataDATA: $(dist_ourdata_DATA)
+       @$(NORMAL_INSTALL)
+       test -z "$(ourdatadir)" || $(MKDIR_P) "$(DESTDIR)$(ourdatadir)"
+       @list='$(dist_ourdata_DATA)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(dist_ourdataDATA_INSTALL) '$$d$$p' '$(DESTDIR)$(ourdatadir)/$$f'"; \
+         $(dist_ourdataDATA_INSTALL) "$$d$$p" "$(DESTDIR)$(ourdatadir)/$$f"; \
+       done
+
+uninstall-dist_ourdataDATA:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_ourdata_DATA)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(ourdatadir)/$$f'"; \
+         rm -f "$(DESTDIR)$(ourdatadir)/$$f"; \
+       done
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile $(DATA)
+installdirs:
+       for dir in "$(DESTDIR)$(ourpythondir)" "$(DESTDIR)$(ourdatadir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-dist_ourdataDATA install-ourpythonPYTHON
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-dist_ourdataDATA uninstall-ourpythonPYTHON
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am \
+       install-dist_ourdataDATA install-dvi install-dvi-am \
+       install-exec install-exec-am install-html install-html-am \
+       install-info install-info-am install-man \
+       install-ourpythonPYTHON install-pdf install-pdf-am install-ps \
+       install-ps-am install-strip installcheck installcheck-am \
+       installdirs maintainer-clean maintainer-clean-generic \
+       mostlyclean mostlyclean-generic mostlyclean-libtool pdf pdf-am \
+       ps ps-am uninstall uninstall-am uninstall-dist_ourdataDATA \
+       uninstall-ourpythonPYTHON
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/grc/blocks/Makefile.in b/grc/blocks/Makefile.in
new file mode 100644 (file)
index 0000000..cec7248
--- /dev/null
@@ -0,0 +1,1130 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2008, 2009 Free Software Foundation, Inc.
+#
+# This file is part of GNU Radio
+#
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+#
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+#
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+#
+
+#
+# Copyright 2008 Free Software Foundation, Inc.
+#
+# This file is part of GNU Radio
+#
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+#
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+#
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+#
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(dist_ourdata_DATA) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(top_srcdir)/Makefile.common \
+       $(top_srcdir)/grc/Makefile.inc
+subdir = grc/blocks
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(ourdatadir)"
+dist_ourdataDATA_INSTALL = $(INSTALL_DATA)
+DATA = $(dist_ourdata_DATA)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+grc_src_prefix = $(pythondir)/gnuradio/grc
+grc_blocksdir = $(pkgdatadir)/grc/blocks
+ourdatadir = $(grc_blocksdir)
+dist_ourdata_DATA = \
+       block_tree.xml \
+       audio_sink.xml \
+       audio_source.xml \
+       band_pass_filter.xml \
+       band_reject_filter.xml \
+       blks2_am_demod_cf.xml \
+       blks2_analysis_filterbank.xml \
+       blks2_dxpsk_demod.xml \
+       blks2_dxpsk_mod.xml \
+       blks2_error_rate.xml \
+       blks2_fm_deemph.xml \
+       blks2_fm_demod_cf.xml \
+       blks2_fm_preemph.xml \
+       blks2_gmsk_demod.xml \
+       blks2_gmsk_mod.xml \
+       blks2_logpwrfft_x.xml \
+       blks2_nbfm_rx.xml \
+       blks2_nbfm_tx.xml \
+       blks2_ofdm_demod.xml \
+       blks2_ofdm_mod.xml \
+       blks2_packet_decoder.xml \
+       blks2_packet_encoder.xml \
+       blks2_qamx_demod.xml \
+       blks2_qamx_mod.xml \
+       blks2_rational_resampler_xxx.xml \
+       blks2_selector.xml \
+       blks2_standard_squelch.xml \
+       blks2_stream_to_vector_decimator.xml \
+       blks2_synthesis_filterbank.xml \
+       blks2_tcp_sink.xml \
+       blks2_tcp_source.xml \
+       blks2_valve.xml \
+       blks2_variable_sink_x.xml \
+       blks2_wfm_rcv.xml \
+       blks2_wfm_rcv_pll.xml \
+       blks2_wfm_tx.xml \
+       const_source_x.xml \
+       gr_add_const_vxx.xml \
+       gr_add_xx.xml \
+       gr_agc2_xx.xml \
+       gr_agc_xx.xml \
+       gr_and_xx.xml \
+       gr_argmax_xx.xml \
+       gr_binary_slicer_fb.xml \
+       gr_channel_model.xml \
+       gr_char_to_float.xml \
+       gr_chunks_to_symbols.xml \
+       gr_clock_recovery_mm_xx.xml \
+       gr_cma_equalizer_cc.xml \
+       gr_complex_to_arg.xml \
+       gr_complex_to_float.xml \
+       gr_complex_to_imag.xml \
+       gr_complex_to_interleaved_short.xml \
+       gr_complex_to_mag.xml \
+       gr_complex_to_mag_squared.xml \
+       gr_complex_to_real.xml \
+       gr_conjugate_cc.xml \
+       gr_constellation_decoder_cb.xml \
+       gr_correlate_access_code_bb.xml \
+       gr_costas_loop_cc.xml \
+       gr_cpfsk_bc.xml \
+       gr_dd_mpsk_sync_cc.xml \
+       gr_decode_ccsds_27_fb.xml \
+       gr_deinterleave.xml \
+       gr_delay.xml \
+       gr_descrambler_bb.xml \
+       gr_diff_decoder_bb.xml \
+       gr_diff_encoder_bb.xml \
+       gr_diff_phasor_cc.xml \
+       gr_divide_xx.xml \
+       gr_dpll_bb.xml \
+       gr_encode_ccsds_27_bb.xml \
+       gr_feedforward_agc_cc.xml \
+       gr_fft_filter_xxx.xml \
+       gr_fft_vxx.xml \
+       gr_file_sink.xml \
+       gr_file_source.xml \
+       gr_filter_delay_fc.xml \
+       gr_fir_filter_xxx.xml \
+       gr_float_to_char.xml \
+       gr_float_to_complex.xml \
+       gr_float_to_short.xml \
+       gr_float_to_uchar.xml \
+       gr_fractional_interpolator_xx.xml \
+       gr_freq_xlating_fir_filter_xxx.xml \
+       gr_frequency_modulator_fc.xml \
+       gr_glfsr_source_x.xml \
+       gr_goertzel_fc.xml \
+       gr_head.xml \
+       gr_hilbert_fc.xml \
+       gr_iir_filter_ffd.xml \
+       gr_integrate_xx.xml \
+       gr_interleave.xml \
+       gr_interleaved_short_to_complex.xml \
+       gr_interp_fir_filter_xxx.xml \
+       gr_iqcomp_cc.xml \
+       gr_keep_one_in_n.xml \
+       gr_kludge_copy.xml \
+       gr_map_bb.xml \
+       gr_max_xx.xml \
+       gr_moving_average_xx.xml \
+       gr_mpsk_receiver_cc.xml \
+       gr_mpsk_sync_cc.xml \
+       gr_multiply_const_vxx.xml \
+       gr_multiply_xx.xml \
+       gr_mute_xx.xml \
+       gr_nlog10_ff.xml \
+       gr_noise_source_x.xml \
+       gr_nop.xml \
+       gr_not_xx.xml \
+       gr_null_sink.xml \
+       gr_null_source.xml \
+       gr_or_xx.xml \
+       gr_packed_to_unpacked_xx.xml \
+       gr_peak_detector2_fb.xml \
+       gr_peak_detector_xb.xml \
+       gr_phase_modulator_fc.xml \
+       gr_pll_carriertracking_cc.xml \
+       gr_pll_freqdet_cf.xml \
+       gr_pll_refout_cc.xml \
+       gr_pn_correlator_cc.xml \
+       gr_probe_avg_mag_sqrd_x.xml \
+       gr_probe_density_b.xml \
+       gr_probe_mpsk_snr_c.xml \
+       gr_pwr_squelch_xx.xml \
+       gr_quadrature_demod_cf.xml \
+       gr_rational_resampler_base_xxx.xml \
+       gr_repeat.xml \
+       gr_rms_xx.xml \
+       gr_sample_and_hold_xx.xml \
+       gr_scrambler_bb.xml \
+       gr_short_to_float.xml \
+       gr_sig_source_x.xml \
+       gr_simple_correlator.xml \
+       gr_simple_framer.xml \
+       gr_simple_squelch_cc.xml \
+       gr_single_pole_iir_filter_xx.xml \
+       gr_skiphead.xml \
+       gr_stream_to_streams.xml \
+       gr_stream_to_vector.xml \
+       gr_streams_to_stream.xml \
+       gr_streams_to_vector.xml \
+       gr_sub_xx.xml \
+       gr_threshold_ff.xml \
+       gr_throttle.xml \
+       gr_uchar_to_float.xml \
+       gr_udp_sink.xml \
+       gr_udp_source.xml \
+       gr_unpack_k_bits_bb.xml \
+       gr_unpacked_to_packed_xx.xml \
+       gr_vco_f.xml \
+       gr_vector_sink_x.xml \
+       gr_vector_source_x.xml \
+       gr_vector_to_stream.xml \
+       gr_vector_to_streams.xml \
+       gr_wavfile_sink.xml \
+       gr_wavfile_source.xml \
+       gr_xor_xx.xml \
+       high_pass_filter.xml \
+       import.xml \
+       low_pass_filter.xml \
+       note.xml \
+       notebook.xml \
+       options.xml \
+       pad_sink.xml \
+       pad_source.xml \
+       parameter.xml \
+       probe_function.xml \
+       random_source_x.xml \
+       root_raised_cosine_filter.xml \
+       trellis_encoder_xx.xml \
+       trellis_metrics_x.xml \
+       trellis_permutation.xml \
+       trellis_siso_combined_f.xml \
+       trellis_siso_f.xml \
+       trellis_viterbi_combined_xx.xml \
+       trellis_viterbi_x.xml \
+       usrp2_probe.xml \
+       usrp2_sink_xxxx.xml \
+       usrp2_source_xxxx.xml \
+       usrp_dual_sink_x.xml \
+       usrp_dual_source_x.xml \
+       usrp_probe.xml \
+       usrp_simple_sink_x.xml \
+       usrp_simple_source_x.xml \
+       variable.xml \
+       variable_check_box.xml \
+       variable_chooser.xml \
+       variable_config.xml \
+       variable_slider.xml \
+       variable_static_text.xml \
+       variable_text_box.xml \
+       wxgui_constellationsink2.xml \
+       wxgui_fftsink2.xml \
+       wxgui_histosink2.xml \
+       wxgui_numbersink2.xml \
+       wxgui_scopesink2.xml \
+       wxgui_waterfallsink2.xml \
+       xmlrpc_client.xml \
+       xmlrpc_server.xml
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/grc/Makefile.inc $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  grc/blocks/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  grc/blocks/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-dist_ourdataDATA: $(dist_ourdata_DATA)
+       @$(NORMAL_INSTALL)
+       test -z "$(ourdatadir)" || $(MKDIR_P) "$(DESTDIR)$(ourdatadir)"
+       @list='$(dist_ourdata_DATA)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(dist_ourdataDATA_INSTALL) '$$d$$p' '$(DESTDIR)$(ourdatadir)/$$f'"; \
+         $(dist_ourdataDATA_INSTALL) "$$d$$p" "$(DESTDIR)$(ourdatadir)/$$f"; \
+       done
+
+uninstall-dist_ourdataDATA:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_ourdata_DATA)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(ourdatadir)/$$f'"; \
+         rm -f "$(DESTDIR)$(ourdatadir)/$$f"; \
+       done
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile $(DATA)
+installdirs:
+       for dir in "$(DESTDIR)$(ourdatadir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-dist_ourdataDATA
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-dist_ourdataDATA
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am \
+       install-dist_ourdataDATA install-dvi install-dvi-am \
+       install-exec install-exec-am install-html install-html-am \
+       install-info install-info-am install-man install-pdf \
+       install-pdf-am install-ps install-ps-am install-strip \
+       installcheck installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am uninstall uninstall-am \
+       uninstall-dist_ourdataDATA
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/grc/blocks/gr_dd_mpsk_sync_cc.xml b/grc/blocks/gr_dd_mpsk_sync_cc.xml
new file mode 100644 (file)
index 0000000..aed0e8d
--- /dev/null
@@ -0,0 +1,65 @@
+<?xml version="1.0"?>
+<!--
+###################################################
+##DD MPSK Sync
+###################################################
+ -->
+<block>
+       <name>DD MPSK Sync</name>
+       <key>gr_dd_mpsk_sync_cc</key>
+       <import>from gnuradio import gr</import>
+       <make>gr.dd_mpsk_sync_cc($alpha, $beta, $max_freq, $min_freq, $ref_phase, $omega, $gain_omega, $mu, $gain_mu)</make>
+       <param>
+               <name>Alpha</name>
+               <key>alpha</key>
+               <type>real</type>
+       </param>
+       <param>
+               <name>Beta</name>
+               <key>beta</key>
+               <type>real</type>
+       </param>
+       <param>
+               <name>Max Freq</name>
+               <key>max_freq</key>
+               <type>real</type>
+       </param>
+       <param>
+               <name>Min Freq</name>
+               <key>min_freq</key>
+               <type>real</type>
+       </param>
+       <param>
+               <name>Reference Phase</name>
+               <key>ref_phase</key>
+               <type>real</type>
+       </param>
+       <param>
+               <name>Omega</name>
+               <key>omega</key>
+               <type>real</type>
+       </param>
+       <param>
+               <name>Gain Omega</name>
+               <key>gain_omega</key>
+               <type>real</type>
+       </param>
+       <param>
+               <name>Mu</name>
+               <key>mu</key>
+               <type>real</type>
+       </param>
+       <param>
+               <name>Gain Mu</name>
+               <key>gain_mu</key>
+               <type>real</type>
+       </param>
+       <sink>
+               <name>in</name>
+               <type>complex</type>
+       </sink>
+       <source>
+               <name>out</name>
+               <type>complex</type>
+       </source>
+</block>
diff --git a/grc/examples/Makefile.am b/grc/examples/Makefile.am
new file mode 100644 (file)
index 0000000..969485c
--- /dev/null
@@ -0,0 +1,55 @@
+#
+# Copyright 2009 Free Software Foundation, Inc.
+#
+# This file is part of GNU Radio
+#
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+#
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+#
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+#
+
+include $(top_srcdir)/Makefile.common
+
+grc_examples_prefix = $(exampledir)/grc
+
+audiodatadir = $(grc_examples_prefix)/audio
+dist_audiodata_DATA = \
+       audio/dial_tone.grc
+
+simpledatadir = $(grc_examples_prefix)/simple
+dist_simpledata_DATA = \
+       simple/ber_simulation.grc \
+       simple/dpsk_loopback.grc \
+       simple/variable_config.grc \
+       simple/var_sink_taps.grc
+
+trellisdatadir = $(grc_examples_prefix)/trellis
+dist_trellisdata_DATA = \
+       trellis/readme.txt \
+       trellis/interference_cancellation.grc
+
+usrpdatadir = $(grc_examples_prefix)/usrp
+dist_usrpdata_DATA = \
+       usrp/usrp2_const_wave.grc \
+       usrp/usrp2_dpsk_mod.grc \
+       usrp/usrp2_fft.grc \
+       usrp/usrp_two_tone_loopback.grc \
+       usrp/usrp_wbfm_receive.grc
+
+xmlrpcdatadir = $(grc_examples_prefix)/xmlrpc
+dist_xmlrpcdata_DATA = \
+       xmlrpc/readme.txt \
+       xmlrpc/xmlrpc_client.grc \
+       xmlrpc/xmlrpc_client_script.py\
+       xmlrpc/xmlrpc_server.grc
diff --git a/grc/examples/Makefile.in b/grc/examples/Makefile.in
new file mode 100644 (file)
index 0000000..7edaf77
--- /dev/null
@@ -0,0 +1,1021 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2009 Free Software Foundation, Inc.
+#
+# This file is part of GNU Radio
+#
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+#
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+#
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+#
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(dist_audiodata_DATA) $(dist_simpledata_DATA) \
+       $(dist_trellisdata_DATA) $(dist_usrpdata_DATA) \
+       $(dist_xmlrpcdata_DATA) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(top_srcdir)/Makefile.common
+subdir = grc/examples
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(audiodatadir)" \
+       "$(DESTDIR)$(simpledatadir)" "$(DESTDIR)$(trellisdatadir)" \
+       "$(DESTDIR)$(usrpdatadir)" "$(DESTDIR)$(xmlrpcdatadir)"
+dist_audiodataDATA_INSTALL = $(INSTALL_DATA)
+dist_simpledataDATA_INSTALL = $(INSTALL_DATA)
+dist_trellisdataDATA_INSTALL = $(INSTALL_DATA)
+dist_usrpdataDATA_INSTALL = $(INSTALL_DATA)
+dist_xmlrpcdataDATA_INSTALL = $(INSTALL_DATA)
+DATA = $(dist_audiodata_DATA) $(dist_simpledata_DATA) \
+       $(dist_trellisdata_DATA) $(dist_usrpdata_DATA) \
+       $(dist_xmlrpcdata_DATA)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+grc_examples_prefix = $(exampledir)/grc
+audiodatadir = $(grc_examples_prefix)/audio
+dist_audiodata_DATA = \
+       audio/dial_tone.grc
+
+simpledatadir = $(grc_examples_prefix)/simple
+dist_simpledata_DATA = \
+       simple/ber_simulation.grc \
+       simple/dpsk_loopback.grc \
+       simple/variable_config.grc \
+       simple/var_sink_taps.grc
+
+trellisdatadir = $(grc_examples_prefix)/trellis
+dist_trellisdata_DATA = \
+       trellis/readme.txt \
+       trellis/interference_cancellation.grc
+
+usrpdatadir = $(grc_examples_prefix)/usrp
+dist_usrpdata_DATA = \
+       usrp/usrp2_const_wave.grc \
+       usrp/usrp2_dpsk_mod.grc \
+       usrp/usrp2_fft.grc \
+       usrp/usrp_two_tone_loopback.grc \
+       usrp/usrp_wbfm_receive.grc
+
+xmlrpcdatadir = $(grc_examples_prefix)/xmlrpc
+dist_xmlrpcdata_DATA = \
+       xmlrpc/readme.txt \
+       xmlrpc/xmlrpc_client.grc \
+       xmlrpc/xmlrpc_client_script.py\
+       xmlrpc/xmlrpc_server.grc
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  grc/examples/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  grc/examples/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-dist_audiodataDATA: $(dist_audiodata_DATA)
+       @$(NORMAL_INSTALL)
+       test -z "$(audiodatadir)" || $(MKDIR_P) "$(DESTDIR)$(audiodatadir)"
+       @list='$(dist_audiodata_DATA)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(dist_audiodataDATA_INSTALL) '$$d$$p' '$(DESTDIR)$(audiodatadir)/$$f'"; \
+         $(dist_audiodataDATA_INSTALL) "$$d$$p" "$(DESTDIR)$(audiodatadir)/$$f"; \
+       done
+
+uninstall-dist_audiodataDATA:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_audiodata_DATA)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(audiodatadir)/$$f'"; \
+         rm -f "$(DESTDIR)$(audiodatadir)/$$f"; \
+       done
+install-dist_simpledataDATA: $(dist_simpledata_DATA)
+       @$(NORMAL_INSTALL)
+       test -z "$(simpledatadir)" || $(MKDIR_P) "$(DESTDIR)$(simpledatadir)"
+       @list='$(dist_simpledata_DATA)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(dist_simpledataDATA_INSTALL) '$$d$$p' '$(DESTDIR)$(simpledatadir)/$$f'"; \
+         $(dist_simpledataDATA_INSTALL) "$$d$$p" "$(DESTDIR)$(simpledatadir)/$$f"; \
+       done
+
+uninstall-dist_simpledataDATA:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_simpledata_DATA)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(simpledatadir)/$$f'"; \
+         rm -f "$(DESTDIR)$(simpledatadir)/$$f"; \
+       done
+install-dist_trellisdataDATA: $(dist_trellisdata_DATA)
+       @$(NORMAL_INSTALL)
+       test -z "$(trellisdatadir)" || $(MKDIR_P) "$(DESTDIR)$(trellisdatadir)"
+       @list='$(dist_trellisdata_DATA)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(dist_trellisdataDATA_INSTALL) '$$d$$p' '$(DESTDIR)$(trellisdatadir)/$$f'"; \
+         $(dist_trellisdataDATA_INSTALL) "$$d$$p" "$(DESTDIR)$(trellisdatadir)/$$f"; \
+       done
+
+uninstall-dist_trellisdataDATA:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_trellisdata_DATA)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(trellisdatadir)/$$f'"; \
+         rm -f "$(DESTDIR)$(trellisdatadir)/$$f"; \
+       done
+install-dist_usrpdataDATA: $(dist_usrpdata_DATA)
+       @$(NORMAL_INSTALL)
+       test -z "$(usrpdatadir)" || $(MKDIR_P) "$(DESTDIR)$(usrpdatadir)"
+       @list='$(dist_usrpdata_DATA)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(dist_usrpdataDATA_INSTALL) '$$d$$p' '$(DESTDIR)$(usrpdatadir)/$$f'"; \
+         $(dist_usrpdataDATA_INSTALL) "$$d$$p" "$(DESTDIR)$(usrpdatadir)/$$f"; \
+       done
+
+uninstall-dist_usrpdataDATA:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_usrpdata_DATA)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(usrpdatadir)/$$f'"; \
+         rm -f "$(DESTDIR)$(usrpdatadir)/$$f"; \
+       done
+install-dist_xmlrpcdataDATA: $(dist_xmlrpcdata_DATA)
+       @$(NORMAL_INSTALL)
+       test -z "$(xmlrpcdatadir)" || $(MKDIR_P) "$(DESTDIR)$(xmlrpcdatadir)"
+       @list='$(dist_xmlrpcdata_DATA)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(dist_xmlrpcdataDATA_INSTALL) '$$d$$p' '$(DESTDIR)$(xmlrpcdatadir)/$$f'"; \
+         $(dist_xmlrpcdataDATA_INSTALL) "$$d$$p" "$(DESTDIR)$(xmlrpcdatadir)/$$f"; \
+       done
+
+uninstall-dist_xmlrpcdataDATA:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_xmlrpcdata_DATA)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(xmlrpcdatadir)/$$f'"; \
+         rm -f "$(DESTDIR)$(xmlrpcdatadir)/$$f"; \
+       done
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile $(DATA)
+installdirs:
+       for dir in "$(DESTDIR)$(audiodatadir)" "$(DESTDIR)$(simpledatadir)" "$(DESTDIR)$(trellisdatadir)" "$(DESTDIR)$(usrpdatadir)" "$(DESTDIR)$(xmlrpcdatadir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-dist_audiodataDATA \
+       install-dist_simpledataDATA install-dist_trellisdataDATA \
+       install-dist_usrpdataDATA install-dist_xmlrpcdataDATA
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-dist_audiodataDATA \
+       uninstall-dist_simpledataDATA uninstall-dist_trellisdataDATA \
+       uninstall-dist_usrpdataDATA uninstall-dist_xmlrpcdataDATA
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am \
+       install-dist_audiodataDATA install-dist_simpledataDATA \
+       install-dist_trellisdataDATA install-dist_usrpdataDATA \
+       install-dist_xmlrpcdataDATA install-dvi install-dvi-am \
+       install-exec install-exec-am install-html install-html-am \
+       install-info install-info-am install-man install-pdf \
+       install-pdf-am install-ps install-ps-am install-strip \
+       installcheck installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am uninstall uninstall-am \
+       uninstall-dist_audiodataDATA uninstall-dist_simpledataDATA \
+       uninstall-dist_trellisdataDATA uninstall-dist_usrpdataDATA \
+       uninstall-dist_xmlrpcdataDATA
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/grc/examples/audio/dial_tone.grc b/grc/examples/audio/dial_tone.grc
new file mode 100644 (file)
index 0000000..ac8cbef
--- /dev/null
@@ -0,0 +1,375 @@
+<?xml version='1.0' encoding='ASCII'?>
+<flow_graph>
+  <timestamp>Thu Jul 24 14:27:48 2008</timestamp>
+  <block>
+    <key>options</key>
+    <param>
+      <key>id</key>
+      <value>dial_tone</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>title</key>
+      <value>Dial Tone</value>
+    </param>
+    <param>
+      <key>author</key>
+      <value>Example</value>
+    </param>
+    <param>
+      <key>description</key>
+      <value>example flow graph</value>
+    </param>
+    <param>
+      <key>window_size</key>
+      <value>1280, 1024</value>
+    </param>
+    <param>
+      <key>generate_options</key>
+      <value>wx_gui</value>
+    </param>
+    <param>
+      <key>category</key>
+      <value>Custom</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(10, 10)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>gr_add_xx</key>
+    <param>
+      <key>id</key>
+      <value>gr_add_xx</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>float</value>
+    </param>
+    <param>
+      <key>num_inputs</key>
+      <value>3</value>
+    </param>
+    <param>
+      <key>vlen</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(513, 277)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>audio_sink</key>
+    <param>
+      <key>id</key>
+      <value>audio_sink</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>samp_rate</key>
+      <value>32000</value>
+    </param>
+    <param>
+      <key>device_name</key>
+      <value/>
+    </param>
+    <param>
+      <key>ok_to_block</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>num_inputs</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(699, 112)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>gr_noise_source_x</key>
+    <param>
+      <key>id</key>
+      <value>gr_noise_source_x</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>float</value>
+    </param>
+    <param>
+      <key>noise_type</key>
+      <value>gr.GR_GAUSSIAN</value>
+    </param>
+    <param>
+      <key>amp</key>
+      <value>noise</value>
+    </param>
+    <param>
+      <key>seed</key>
+      <value>42</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(238, 380)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>gr_sig_source_x</key>
+    <param>
+      <key>id</key>
+      <value>gr_sig_source_x</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>float</value>
+    </param>
+    <param>
+      <key>samp_rate</key>
+      <value>samp_rate</value>
+    </param>
+    <param>
+      <key>waveform</key>
+      <value>gr.GR_COS_WAVE</value>
+    </param>
+    <param>
+      <key>freq</key>
+      <value>440</value>
+    </param>
+    <param>
+      <key>amp</key>
+      <value>ampl</value>
+    </param>
+    <param>
+      <key>offset</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(240, 208)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>gr_sig_source_x</key>
+    <param>
+      <key>id</key>
+      <value>gr_sig_source_x0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>float</value>
+    </param>
+    <param>
+      <key>samp_rate</key>
+      <value>samp_rate</value>
+    </param>
+    <param>
+      <key>waveform</key>
+      <value>gr.GR_COS_WAVE</value>
+    </param>
+    <param>
+      <key>freq</key>
+      <value>350</value>
+    </param>
+    <param>
+      <key>amp</key>
+      <value>ampl</value>
+    </param>
+    <param>
+      <key>offset</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(240, 38)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>variable_slider</key>
+    <param>
+      <key>id</key>
+      <value>ampl</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>label</key>
+      <value>Volume</value>
+    </param>
+    <param>
+      <key>value</key>
+      <value>.4</value>
+    </param>
+    <param>
+      <key>min</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>max</key>
+      <value>.5</value>
+    </param>
+    <param>
+      <key>num_steps</key>
+      <value>100</value>
+    </param>
+    <param>
+      <key>slider_type</key>
+      <value>horizontal</value>
+    </param>
+    <param>
+      <key>grid_pos</key>
+      <value>0, 0, 1, 2</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(634, 413)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>variable_slider</key>
+    <param>
+      <key>id</key>
+      <value>noise</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>label</key>
+      <value>Noise</value>
+    </param>
+    <param>
+      <key>value</key>
+      <value>.005</value>
+    </param>
+    <param>
+      <key>min</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>max</key>
+      <value>.2</value>
+    </param>
+    <param>
+      <key>num_steps</key>
+      <value>100</value>
+    </param>
+    <param>
+      <key>slider_type</key>
+      <value>horizontal</value>
+    </param>
+    <param>
+      <key>grid_pos</key>
+      <value>1, 0, 1, 2</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(443, 412)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>variable</key>
+    <param>
+      <key>id</key>
+      <value>samp_rate</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>value</key>
+      <value>32000</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(11, 171)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <connection>
+    <source_block_id>gr_sig_source_x0</source_block_id>
+    <sink_block_id>gr_add_xx</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_sig_source_x</source_block_id>
+    <sink_block_id>gr_add_xx</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>1</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_noise_source_x</source_block_id>
+    <sink_block_id>gr_add_xx</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>2</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_add_xx</source_block_id>
+    <sink_block_id>audio_sink</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+</flow_graph>
diff --git a/grc/examples/simple/ber_simulation.grc b/grc/examples/simple/ber_simulation.grc
new file mode 100644 (file)
index 0000000..618add2
--- /dev/null
@@ -0,0 +1,564 @@
+<?xml version='1.0' encoding='ASCII'?>
+<flow_graph>
+  <timestamp>Thu Mar 19 11:08:59 2009</timestamp>
+  <block>
+    <key>options</key>
+    <param>
+      <key>id</key>
+      <value>ber_sim</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>title</key>
+      <value>BER Simulation</value>
+    </param>
+    <param>
+      <key>author</key>
+      <value>Example</value>
+    </param>
+    <param>
+      <key>description</key>
+      <value>Adjust the noise and constellation... see what happens!</value>
+    </param>
+    <param>
+      <key>window_size</key>
+      <value>1280, 1024</value>
+    </param>
+    <param>
+      <key>generate_options</key>
+      <value>wx_gui</value>
+    </param>
+    <param>
+      <key>category</key>
+      <value>Custom</value>
+    </param>
+    <param>
+      <key>realtime_scheduling</key>
+      <value></value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(16, 10)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>gr_noise_source_x</key>
+    <param>
+      <key>id</key>
+      <value>gr_noise_source_x</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>complex</value>
+    </param>
+    <param>
+      <key>noise_type</key>
+      <value>gr.GR_GAUSSIAN</value>
+    </param>
+    <param>
+      <key>amp</key>
+      <value>noise</value>
+    </param>
+    <param>
+      <key>seed</key>
+      <value>42</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(235, 379)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>gr_chunks_to_symbols_xx</key>
+    <param>
+      <key>id</key>
+      <value>gr_chunks_to_symbols_xx</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>in_type</key>
+      <value>byte</value>
+    </param>
+    <param>
+      <key>out_type</key>
+      <value>complex</value>
+    </param>
+    <param>
+      <key>symbol_table</key>
+      <value>const</value>
+    </param>
+    <param>
+      <key>dimension</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(360, 237)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>wxgui_numbersink2</key>
+    <param>
+      <key>id</key>
+      <value>wxgui_numbersink2</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>float</value>
+    </param>
+    <param>
+      <key>title</key>
+      <value>BER</value>
+    </param>
+    <param>
+      <key>units</key>
+      <value>%</value>
+    </param>
+    <param>
+      <key>samp_rate</key>
+      <value>samp_rate</value>
+    </param>
+    <param>
+      <key>base_value</key>
+      <value>0.0</value>
+    </param>
+    <param>
+      <key>min_value</key>
+      <value>0.0</value>
+    </param>
+    <param>
+      <key>max_value</key>
+      <value>1.0</value>
+    </param>
+    <param>
+      <key>factor</key>
+      <value>100</value>
+    </param>
+    <param>
+      <key>decimal_places</key>
+      <value>4</value>
+    </param>
+    <param>
+      <key>ref_level</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>number_rate</key>
+      <value>15</value>
+    </param>
+    <param>
+      <key>peak_hold</key>
+      <value>False</value>
+    </param>
+    <param>
+      <key>average</key>
+      <value>False</value>
+    </param>
+    <param>
+      <key>avg_alpha</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>show_gauge</key>
+      <value>False</value>
+    </param>
+    <param>
+      <key>grid_pos</key>
+      <value>1, 0, 1, 1</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(1062, 11)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>gr_throttle</key>
+    <param>
+      <key>id</key>
+      <value>gr_throttle</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>byte</value>
+    </param>
+    <param>
+      <key>samples_per_second</key>
+      <value>samp_rate</value>
+    </param>
+    <param>
+      <key>vlen</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(397, 27)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>blks2_error_rate</key>
+    <param>
+      <key>id</key>
+      <value>blks2_error_rate</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>'BER'</value>
+    </param>
+    <param>
+      <key>win_size</key>
+      <value>1000000</value>
+    </param>
+    <param>
+      <key>bits_per_symbol</key>
+      <value>int(math.log(len(const))/math.log(2))</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(670, 41)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>gr_constellation_decoder_cb</key>
+    <param>
+      <key>id</key>
+      <value>gr_constellation_decoder_cb</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>sym_position</key>
+      <value>const</value>
+    </param>
+    <param>
+      <key>sym_value_out</key>
+      <value>range(len(const))</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(708, 224)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>random_source_x</key>
+    <param>
+      <key>id</key>
+      <value>random_source_x</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>byte</value>
+    </param>
+    <param>
+      <key>min</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>max</key>
+      <value>len(const)</value>
+    </param>
+    <param>
+      <key>num_samps</key>
+      <value>1000000</value>
+    </param>
+    <param>
+      <key>repeat</key>
+      <value>False</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(15, 244)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>variable</key>
+    <param>
+      <key>id</key>
+      <value>noise</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>value</key>
+      <value>.25</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(18, 386)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>variable</key>
+    <param>
+      <key>id</key>
+      <value>const</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>value</key>
+      <value>1+1j, 1-1j, -1-1j, -1+1j</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(16, 461)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>variable</key>
+    <param>
+      <key>id</key>
+      <value>samp_rate</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>value</key>
+      <value>50e3</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(20, 168)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>import</key>
+    <param>
+      <key>id</key>
+      <value>import</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>import</key>
+      <value>import math</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(138, 168)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>gr_add_xx</key>
+    <param>
+      <key>id</key>
+      <value>gr_add_xx</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>complex</value>
+    </param>
+    <param>
+      <key>num_inputs</key>
+      <value>2</value>
+    </param>
+    <param>
+      <key>vlen</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(652, 395)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>wxgui_scopesink2</key>
+    <param>
+      <key>id</key>
+      <value>wxgui_scopesink2_0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>complex</value>
+    </param>
+    <param>
+      <key>title</key>
+      <value>"Constellation: "+str(const)</value>
+    </param>
+    <param>
+      <key>samp_rate</key>
+      <value>samp_rate</value>
+    </param>
+    <param>
+      <key>v_scale</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>t_scale</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>ac_couple</key>
+      <value>False</value>
+    </param>
+    <param>
+      <key>xy_mode</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>num_inputs</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>grid_pos</key>
+      <value>2, 0, 1, 1</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(828, 368)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <connection>
+    <source_block_id>blks2_error_rate</source_block_id>
+    <sink_block_id>wxgui_numbersink2</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_throttle</source_block_id>
+    <sink_block_id>blks2_error_rate</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_constellation_decoder_cb</source_block_id>
+    <sink_block_id>blks2_error_rate</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>1</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_add_xx</source_block_id>
+    <sink_block_id>gr_constellation_decoder_cb</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_chunks_to_symbols_xx</source_block_id>
+    <sink_block_id>gr_add_xx</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_noise_source_x</source_block_id>
+    <sink_block_id>gr_add_xx</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>1</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>random_source_x</source_block_id>
+    <sink_block_id>gr_throttle</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>random_source_x</source_block_id>
+    <sink_block_id>gr_chunks_to_symbols_xx</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_add_xx</source_block_id>
+    <sink_block_id>wxgui_scopesink2_0</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+</flow_graph>
diff --git a/grc/examples/simple/dpsk_loopback.grc b/grc/examples/simple/dpsk_loopback.grc
new file mode 100644 (file)
index 0000000..6a507c9
--- /dev/null
@@ -0,0 +1,450 @@
+<?xml version='1.0' encoding='ASCII'?>
+<flow_graph>
+  <timestamp>Tue Mar 17 12:53:37 2009</timestamp>
+  <block>
+    <key>options</key>
+    <param>
+      <key>id</key>
+      <value>dpsk_loopback</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>title</key>
+      <value>DPSK Loopback</value>
+    </param>
+    <param>
+      <key>author</key>
+      <value>Example</value>
+    </param>
+    <param>
+      <key>description</key>
+      <value>gnuradio flow graph</value>
+    </param>
+    <param>
+      <key>window_size</key>
+      <value>1280, 1024</value>
+    </param>
+    <param>
+      <key>generate_options</key>
+      <value>wx_gui</value>
+    </param>
+    <param>
+      <key>category</key>
+      <value>Custom</value>
+    </param>
+    <param>
+      <key>realtime_scheduling</key>
+      <value></value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(10, 10)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>blks2_dxpsk_mod</key>
+    <param>
+      <key>id</key>
+      <value>blks2_dxpsk_mod_0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>dbpsk</value>
+    </param>
+    <param>
+      <key>samples_per_symbol</key>
+      <value>2</value>
+    </param>
+    <param>
+      <key>excess_bw</key>
+      <value>0.35</value>
+    </param>
+    <param>
+      <key>gray_code</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(426, 295)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>variable</key>
+    <param>
+      <key>id</key>
+      <value>samp_rate</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>value</key>
+      <value>10000</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(10, 170)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>variable_slider</key>
+    <param>
+      <key>id</key>
+      <value>freq</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>label</key>
+      <value>Frequency (Hz)</value>
+    </param>
+    <param>
+      <key>value</key>
+      <value>500</value>
+    </param>
+    <param>
+      <key>min</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>max</key>
+      <value>samp_rate/2</value>
+    </param>
+    <param>
+      <key>num_steps</key>
+      <value>100</value>
+    </param>
+    <param>
+      <key>slider_length</key>
+      <value>200</value>
+    </param>
+    <param>
+      <key>slider_type</key>
+      <value>horizontal</value>
+    </param>
+    <param>
+      <key>grid_pos</key>
+      <value></value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(12, 257)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>blks2_packet_encoder</key>
+    <param>
+      <key>id</key>
+      <value>blks2_packet_encoder_0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>float</value>
+    </param>
+    <param>
+      <key>samples_per_symbol</key>
+      <value>2</value>
+    </param>
+    <param>
+      <key>bits_per_symbol</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>access_code</key>
+      <value></value>
+    </param>
+    <param>
+      <key>pad_for_usrp</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>payload_length</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(216, 260)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>gr_throttle</key>
+    <param>
+      <key>id</key>
+      <value>gr_throttle_0_0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>float</value>
+    </param>
+    <param>
+      <key>samples_per_second</key>
+      <value>samp_rate</value>
+    </param>
+    <param>
+      <key>vlen</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(225, 174)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>gr_sig_source_x</key>
+    <param>
+      <key>id</key>
+      <value>gr_sig_source_x_0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>float</value>
+    </param>
+    <param>
+      <key>samp_rate</key>
+      <value>samp_rate</value>
+    </param>
+    <param>
+      <key>waveform</key>
+      <value>gr.GR_COS_WAVE</value>
+    </param>
+    <param>
+      <key>freq</key>
+      <value>freq</value>
+    </param>
+    <param>
+      <key>amp</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>offset</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(203, 8)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>blks2_packet_decoder</key>
+    <param>
+      <key>id</key>
+      <value>blks2_packet_decoder_0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>float</value>
+    </param>
+    <param>
+      <key>access_code</key>
+      <value></value>
+    </param>
+    <param>
+      <key>threshold</key>
+      <value>-1</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(551, 65)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>wxgui_scopesink2</key>
+    <param>
+      <key>id</key>
+      <value>wxgui_scopesink2_0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>float</value>
+    </param>
+    <param>
+      <key>title</key>
+      <value>Scope Plot</value>
+    </param>
+    <param>
+      <key>samp_rate</key>
+      <value>samp_rate</value>
+    </param>
+    <param>
+      <key>frame_decim</key>
+      <value>15</value>
+    </param>
+    <param>
+      <key>v_scale</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>t_scale</key>
+      <value>1./freq</value>
+    </param>
+    <param>
+      <key>marker</key>
+      <value>set_format_line</value>
+    </param>
+    <param>
+      <key>num_inputs</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>grid_pos</key>
+      <value></value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(769, 12)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>blks2_dxpsk_demod</key>
+    <param>
+      <key>id</key>
+      <value>blks2_dxpsk_demod_0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>dbpsk</value>
+    </param>
+    <param>
+      <key>samples_per_symbol</key>
+      <value>2</value>
+    </param>
+    <param>
+      <key>excess_bw</key>
+      <value>0.35</value>
+    </param>
+    <param>
+      <key>costas_alpha</key>
+      <value>0.175</value>
+    </param>
+    <param>
+      <key>gain_mu</key>
+      <value>0.175</value>
+    </param>
+    <param>
+      <key>mu</key>
+      <value>0.5</value>
+    </param>
+    <param>
+      <key>omega_relative_limit</key>
+      <value>0.005</value>
+    </param>
+    <param>
+      <key>gray_code</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(691, 219)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <connection>
+    <source_block_id>blks2_dxpsk_mod_0</source_block_id>
+    <sink_block_id>blks2_dxpsk_demod_0</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>blks2_dxpsk_demod_0</source_block_id>
+    <sink_block_id>blks2_packet_decoder_0</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>blks2_packet_encoder_0</source_block_id>
+    <sink_block_id>blks2_dxpsk_mod_0</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_sig_source_x_0</source_block_id>
+    <sink_block_id>gr_throttle_0_0</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_throttle_0_0</source_block_id>
+    <sink_block_id>blks2_packet_encoder_0</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>blks2_packet_decoder_0</source_block_id>
+    <sink_block_id>wxgui_scopesink2_0</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+</flow_graph>
diff --git a/grc/examples/simple/var_sink_taps.grc b/grc/examples/simple/var_sink_taps.grc
new file mode 100644 (file)
index 0000000..0720785
--- /dev/null
@@ -0,0 +1,488 @@
+<?xml version='1.0' encoding='ASCII'?>
+<flow_graph>
+  <timestamp>Tue May 19 16:45:51 2009</timestamp>
+  <block>
+    <key>options</key>
+    <param>
+      <key>id</key>
+      <value>var_sink_taps</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>title</key>
+      <value>Variable Sink + Taps</value>
+    </param>
+    <param>
+      <key>author</key>
+      <value>Example</value>
+    </param>
+    <param>
+      <key>description</key>
+      <value>gnuradio flow graph</value>
+    </param>
+    <param>
+      <key>window_size</key>
+      <value>1280, 1024</value>
+    </param>
+    <param>
+      <key>generate_options</key>
+      <value>wx_gui</value>
+    </param>
+    <param>
+      <key>category</key>
+      <value>Custom</value>
+    </param>
+    <param>
+      <key>realtime_scheduling</key>
+      <value></value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(10, 10)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>gr_noise_source_x</key>
+    <param>
+      <key>id</key>
+      <value>gr_noise_source_x_0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>complex</value>
+    </param>
+    <param>
+      <key>noise_type</key>
+      <value>gr.GR_GAUSSIAN</value>
+    </param>
+    <param>
+      <key>amp</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>seed</key>
+      <value>42</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(619, 36)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>gr_fir_filter_xxx</key>
+    <param>
+      <key>id</key>
+      <value>gr_fir_filter_xxx_0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>ccc</value>
+    </param>
+    <param>
+      <key>decim</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>taps</key>
+      <value>dest_taps</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(831, 47)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>gr_throttle</key>
+    <param>
+      <key>id</key>
+      <value>gr_throttle_0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>complex</value>
+    </param>
+    <param>
+      <key>samples_per_second</key>
+      <value>samp_rate</value>
+    </param>
+    <param>
+      <key>vlen</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(999, 198)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>270</value>
+    </param>
+  </block>
+  <block>
+    <key>wxgui_fftsink2</key>
+    <param>
+      <key>id</key>
+      <value>wxgui_fftsink2_0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>complex</value>
+    </param>
+    <param>
+      <key>title</key>
+      <value>FFT Plot</value>
+    </param>
+    <param>
+      <key>samp_rate</key>
+      <value>samp_rate</value>
+    </param>
+    <param>
+      <key>baseband_freq</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>y_per_div</key>
+      <value>10</value>
+    </param>
+    <param>
+      <key>y_divs</key>
+      <value>10</value>
+    </param>
+    <param>
+      <key>ref_level</key>
+      <value>50</value>
+    </param>
+    <param>
+      <key>fft_size</key>
+      <value>1024</value>
+    </param>
+    <param>
+      <key>fft_rate</key>
+      <value>30</value>
+    </param>
+    <param>
+      <key>peak_hold</key>
+      <value>False</value>
+    </param>
+    <param>
+      <key>average</key>
+      <value>False</value>
+    </param>
+    <param>
+      <key>avg_alpha</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>grid_pos</key>
+      <value></value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(629, 184)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>180</value>
+    </param>
+  </block>
+  <block>
+    <key>import</key>
+    <param>
+      <key>id</key>
+      <value>import_0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>import</key>
+      <value>from gnuradio.gr import firdes</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(330, 120)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>variable</key>
+    <param>
+      <key>id</key>
+      <value>dest_taps</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>value</key>
+      <value>[0]</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(836, 223)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>variable</key>
+    <param>
+      <key>id</key>
+      <value>samp_rate</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>value</key>
+      <value>32000</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(831, 130)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>variable</key>
+    <param>
+      <key>id</key>
+      <value>source_taps</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>value</key>
+      <value>firdes.low_pass(1, samp_rate, 4000, 2000)</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(397, 191)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>variable</key>
+    <param>
+      <key>id</key>
+      <value>taps_rate</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>value</key>
+      <value>10</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(268, 189)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>gr_vector_source_x</key>
+    <param>
+      <key>id</key>
+      <value>gr_vector_source_x_0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>complex</value>
+    </param>
+    <param>
+      <key>vector</key>
+      <value>source_taps</value>
+    </param>
+    <param>
+      <key>repeat</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>vlen</key>
+      <value>len(source_taps)</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(205, 33)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>note</key>
+    <param>
+      <key>id</key>
+      <value>note_0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>note</key>
+      <value>Pass the FIR taps via a variable sink.</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(14, 141)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>gr_throttle</key>
+    <param>
+      <key>id</key>
+      <value>gr_throttle</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>complex</value>
+    </param>
+    <param>
+      <key>samples_per_second</key>
+      <value>taps_rate</value>
+    </param>
+    <param>
+      <key>vlen</key>
+      <value>len(source_taps)</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(440, 41)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>blks2_variable_sink_x</key>
+    <param>
+      <key>id</key>
+      <value>blks2_variable_sink_x_0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>complex</value>
+    </param>
+    <param>
+      <key>variable</key>
+      <value>dest_taps</value>
+    </param>
+    <param>
+      <key>decim</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>vlen</key>
+      <value>len(source_taps)</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(305, 283)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>180</value>
+    </param>
+  </block>
+  <connection>
+    <source_block_id>gr_noise_source_x_0</source_block_id>
+    <sink_block_id>gr_fir_filter_xxx_0</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_fir_filter_xxx_0</source_block_id>
+    <sink_block_id>gr_throttle_0</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_throttle_0</source_block_id>
+    <sink_block_id>wxgui_fftsink2_0</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_vector_source_x_0</source_block_id>
+    <sink_block_id>gr_throttle</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_throttle</source_block_id>
+    <sink_block_id>blks2_variable_sink_x_0</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+</flow_graph>
diff --git a/grc/examples/simple/variable_config.grc b/grc/examples/simple/variable_config.grc
new file mode 100644 (file)
index 0000000..95c287c
--- /dev/null
@@ -0,0 +1,329 @@
+<?xml version='1.0' encoding='ASCII'?>
+<flow_graph>
+  <timestamp>Thu Jun 25 10:56:04 2009</timestamp>
+  <block>
+    <key>options</key>
+    <param>
+      <key>id</key>
+      <value>variable_config_demo</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>title</key>
+      <value>Variable Config Block Demonstration</value>
+    </param>
+    <param>
+      <key>author</key>
+      <value>Example</value>
+    </param>
+    <param>
+      <key>description</key>
+      <value>Save/Load freq from a config file.</value>
+    </param>
+    <param>
+      <key>window_size</key>
+      <value>1280, 1024</value>
+    </param>
+    <param>
+      <key>generate_options</key>
+      <value>wx_gui</value>
+    </param>
+    <param>
+      <key>category</key>
+      <value>Custom</value>
+    </param>
+    <param>
+      <key>autostart</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>realtime_scheduling</key>
+      <value></value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(10, 10)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>variable</key>
+    <param>
+      <key>id</key>
+      <value>samp_rate</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>value</key>
+      <value>32000</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(10, 170)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>variable_config</key>
+    <param>
+      <key>id</key>
+      <value>freq_init</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>value</key>
+      <value>1000</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>real</value>
+    </param>
+    <param>
+      <key>config_file</key>
+      <value>config.conf</value>
+    </param>
+    <param>
+      <key>section</key>
+      <value>main</value>
+    </param>
+    <param>
+      <key>option</key>
+      <value>freq</value>
+    </param>
+    <param>
+      <key>writeback</key>
+      <value>freq</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(255, 17)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>variable_slider</key>
+    <param>
+      <key>id</key>
+      <value>freq</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>label</key>
+      <value>Frequency (Hz)</value>
+    </param>
+    <param>
+      <key>value</key>
+      <value>freq_init</value>
+    </param>
+    <param>
+      <key>min</key>
+      <value>-samp_rate/2</value>
+    </param>
+    <param>
+      <key>max</key>
+      <value>samp_rate/2</value>
+    </param>
+    <param>
+      <key>num_steps</key>
+      <value>100</value>
+    </param>
+    <param>
+      <key>style</key>
+      <value>wx.SL_HORIZONTAL</value>
+    </param>
+    <param>
+      <key>converver</key>
+      <value>float_converter</value>
+    </param>
+    <param>
+      <key>grid_pos</key>
+      <value></value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(429, 24)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>gr_throttle</key>
+    <param>
+      <key>id</key>
+      <value>gr_throttle_0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>complex</value>
+    </param>
+    <param>
+      <key>samples_per_second</key>
+      <value>samp_rate</value>
+    </param>
+    <param>
+      <key>vlen</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(392, 233)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>gr_sig_source_x</key>
+    <param>
+      <key>id</key>
+      <value>gr_sig_source_x_0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>complex</value>
+    </param>
+    <param>
+      <key>samp_rate</key>
+      <value>samp_rate</value>
+    </param>
+    <param>
+      <key>waveform</key>
+      <value>gr.GR_COS_WAVE</value>
+    </param>
+    <param>
+      <key>freq</key>
+      <value>freq</value>
+    </param>
+    <param>
+      <key>amp</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>offset</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(148, 233)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>wxgui_fftsink2</key>
+    <param>
+      <key>id</key>
+      <value>wxgui_fftsink2_0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>complex</value>
+    </param>
+    <param>
+      <key>title</key>
+      <value>FFT Plot</value>
+    </param>
+    <param>
+      <key>samp_rate</key>
+      <value>samp_rate</value>
+    </param>
+    <param>
+      <key>baseband_freq</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>y_per_div</key>
+      <value>10</value>
+    </param>
+    <param>
+      <key>y_divs</key>
+      <value>10</value>
+    </param>
+    <param>
+      <key>ref_level</key>
+      <value>50</value>
+    </param>
+    <param>
+      <key>fft_size</key>
+      <value>1024</value>
+    </param>
+    <param>
+      <key>fft_rate</key>
+      <value>30</value>
+    </param>
+    <param>
+      <key>peak_hold</key>
+      <value>False</value>
+    </param>
+    <param>
+      <key>average</key>
+      <value>False</value>
+    </param>
+    <param>
+      <key>avg_alpha</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>grid_pos</key>
+      <value></value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(671, 233)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <connection>
+    <source_block_id>gr_sig_source_x_0</source_block_id>
+    <sink_block_id>gr_throttle_0</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_throttle_0</source_block_id>
+    <sink_block_id>wxgui_fftsink2_0</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+</flow_graph>
diff --git a/grc/examples/trellis/interference_cancellation.grc b/grc/examples/trellis/interference_cancellation.grc
new file mode 100644 (file)
index 0000000..e93babd
--- /dev/null
@@ -0,0 +1,2072 @@
+<?xml version='1.0' encoding='ASCII'?>
+<flow_graph>
+  <timestamp>Thu Mar 19 11:22:40 2009</timestamp>
+  <block>
+    <key>options</key>
+    <param>
+      <key>id</key>
+      <value>int_cancellation</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>title</key>
+      <value>Superposition Coding</value>
+    </param>
+    <param>
+      <key>author</key>
+      <value>AA</value>
+    </param>
+    <param>
+      <key>description</key>
+      <value>gnuradio flow graph</value>
+    </param>
+    <param>
+      <key>window_size</key>
+      <value>2048, 2048</value>
+    </param>
+    <param>
+      <key>generate_options</key>
+      <value>wx_gui</value>
+    </param>
+    <param>
+      <key>category</key>
+      <value>Custom</value>
+    </param>
+    <param>
+      <key>realtime_scheduling</key>
+      <value></value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(10, 10)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>variable_slider</key>
+    <param>
+      <key>id</key>
+      <value>alpha</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>label</key>
+      <value>P1/P</value>
+    </param>
+    <param>
+      <key>value</key>
+      <value>0.6</value>
+    </param>
+    <param>
+      <key>min</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>max</key>
+      <value>1.0</value>
+    </param>
+    <param>
+      <key>num_steps</key>
+      <value>100</value>
+    </param>
+    <param>
+      <key>slider_length</key>
+      <value>200</value>
+    </param>
+    <param>
+      <key>slider_type</key>
+      <value>horizontal</value>
+    </param>
+    <param>
+      <key>grid_pos</key>
+      <value></value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(243, 11)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>variable_slider</key>
+    <param>
+      <key>id</key>
+      <value>snr_db</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>label</key>
+      <value>P/sigma^2 (dB)</value>
+    </param>
+    <param>
+      <key>value</key>
+      <value>16</value>
+    </param>
+    <param>
+      <key>min</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>max</key>
+      <value>20</value>
+    </param>
+    <param>
+      <key>num_steps</key>
+      <value>100</value>
+    </param>
+    <param>
+      <key>slider_length</key>
+      <value>200</value>
+    </param>
+    <param>
+      <key>slider_type</key>
+      <value>horizontal</value>
+    </param>
+    <param>
+      <key>grid_pos</key>
+      <value></value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(447, 14)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>variable</key>
+    <param>
+      <key>id</key>
+      <value>noisevar</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>value</key>
+      <value>10**(-snr_db/10)</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(637, 13)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>random_source_x</key>
+    <param>
+      <key>id</key>
+      <value>random_source_x_0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>short</value>
+    </param>
+    <param>
+      <key>min</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>max</key>
+      <value>2</value>
+    </param>
+    <param>
+      <key>num_samps</key>
+      <value>1000</value>
+    </param>
+    <param>
+      <key>repeat</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(21, 170)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>gr_chunks_to_symbols_xx</key>
+    <param>
+      <key>id</key>
+      <value>gr_chunks_to_symbols_xx_0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>in_type</key>
+      <value>short</value>
+    </param>
+    <param>
+      <key>out_type</key>
+      <value>complex</value>
+    </param>
+    <param>
+      <key>symbol_table</key>
+      <value>1,1j,-1j,-1</value>
+    </param>
+    <param>
+      <key>dimension</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(665, 187)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>gr_multiply_const_vxx</key>
+    <param>
+      <key>id</key>
+      <value>gr_multiply_const_vxx_0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>complex</value>
+    </param>
+    <param>
+      <key>const</key>
+      <value>alpha**0.5</value>
+    </param>
+    <param>
+      <key>vlen</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(988, 196)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>random_source_x</key>
+    <param>
+      <key>id</key>
+      <value>random_source_x_1</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>short</value>
+    </param>
+    <param>
+      <key>min</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>max</key>
+      <value>2</value>
+    </param>
+    <param>
+      <key>num_samps</key>
+      <value>1000</value>
+    </param>
+    <param>
+      <key>repeat</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(25, 291)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>gr_chunks_to_symbols_xx</key>
+    <param>
+      <key>id</key>
+      <value>gr_chunks_to_symbols_xx_1</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>in_type</key>
+      <value>short</value>
+    </param>
+    <param>
+      <key>out_type</key>
+      <value>complex</value>
+    </param>
+    <param>
+      <key>symbol_table</key>
+      <value>1,1j,-1j,-1</value>
+    </param>
+    <param>
+      <key>dimension</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(660, 311)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>gr_multiply_const_vxx</key>
+    <param>
+      <key>id</key>
+      <value>gr_multiply_const_vxx_1</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>complex</value>
+    </param>
+    <param>
+      <key>const</key>
+      <value>(1-alpha)**0.5</value>
+    </param>
+    <param>
+      <key>vlen</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(994, 319)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>gr_add_xx</key>
+    <param>
+      <key>id</key>
+      <value>gr_add_xx_0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>complex</value>
+    </param>
+    <param>
+      <key>num_inputs</key>
+      <value>2</value>
+    </param>
+    <param>
+      <key>vlen</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(1224, 244)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>gr_noise_source_x</key>
+    <param>
+      <key>id</key>
+      <value>gr_noise_source_x_0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>complex</value>
+    </param>
+    <param>
+      <key>noise_type</key>
+      <value>gr.GR_GAUSSIAN</value>
+    </param>
+    <param>
+      <key>amp</key>
+      <value>noisevar</value>
+    </param>
+    <param>
+      <key>seed</key>
+      <value>42</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(1146, 369)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>gr_sub_xx</key>
+    <param>
+      <key>id</key>
+      <value>gr_sub_xx_0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>short</value>
+    </param>
+    <param>
+      <key>vlen</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>num_inputs</key>
+      <value>2</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(536, 529)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>gr_multiply_xx</key>
+    <param>
+      <key>id</key>
+      <value>gr_multiply_xx_0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>short</value>
+    </param>
+    <param>
+      <key>num_inputs</key>
+      <value>2</value>
+    </param>
+    <param>
+      <key>vlen</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(771, 525)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>gr_short_to_float</key>
+    <param>
+      <key>id</key>
+      <value>gr_short_to_float_0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(994, 545)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>gr_sub_xx</key>
+    <param>
+      <key>id</key>
+      <value>gr_sub_xx_3</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>short</value>
+    </param>
+    <param>
+      <key>vlen</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>num_inputs</key>
+      <value>2</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(535, 792)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>gr_multiply_xx</key>
+    <param>
+      <key>id</key>
+      <value>gr_multiply_xx_1</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>short</value>
+    </param>
+    <param>
+      <key>num_inputs</key>
+      <value>2</value>
+    </param>
+    <param>
+      <key>vlen</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(785, 779)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>gr_short_to_float</key>
+    <param>
+      <key>id</key>
+      <value>gr_short_to_float_2</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(1005, 798)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>gr_chunks_to_symbols_xx</key>
+    <param>
+      <key>id</key>
+      <value>gr_chunks_to_symbols_xx_2</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>in_type</key>
+      <value>short</value>
+    </param>
+    <param>
+      <key>out_type</key>
+      <value>complex</value>
+    </param>
+    <param>
+      <key>symbol_table</key>
+      <value>1,1j,-1j,-1</value>
+    </param>
+    <param>
+      <key>dimension</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(405, 998)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>gr_multiply_const_vxx</key>
+    <param>
+      <key>id</key>
+      <value>gr_multiply_const_vxx_2</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>complex</value>
+    </param>
+    <param>
+      <key>const</key>
+      <value>alpha**0.5</value>
+    </param>
+    <param>
+      <key>vlen</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(710, 1008)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>gr_sub_xx</key>
+    <param>
+      <key>id</key>
+      <value>gr_sub_xx_2</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>complex</value>
+    </param>
+    <param>
+      <key>vlen</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>num_inputs</key>
+      <value>2</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(944, 978)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>gr_sub_xx</key>
+    <param>
+      <key>id</key>
+      <value>gr_sub_xx_1</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>short</value>
+    </param>
+    <param>
+      <key>vlen</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>num_inputs</key>
+      <value>2</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(540, 1141)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>gr_multiply_xx</key>
+    <param>
+      <key>id</key>
+      <value>gr_multiply_xx_2</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>short</value>
+    </param>
+    <param>
+      <key>num_inputs</key>
+      <value>2</value>
+    </param>
+    <param>
+      <key>vlen</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(796, 1136)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>gr_short_to_float</key>
+    <param>
+      <key>id</key>
+      <value>gr_short_to_float_1</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(1009, 1156)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>gr_chunks_to_symbols_xx</key>
+    <param>
+      <key>id</key>
+      <value>gr_chunks_to_symbols_xx_2_0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>in_type</key>
+      <value>short</value>
+    </param>
+    <param>
+      <key>out_type</key>
+      <value>complex</value>
+    </param>
+    <param>
+      <key>symbol_table</key>
+      <value>1,1j,-1j,-1</value>
+    </param>
+    <param>
+      <key>dimension</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(420, 1368)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>gr_multiply_const_vxx</key>
+    <param>
+      <key>id</key>
+      <value>gr_multiply_const_vxx_2_0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>complex</value>
+    </param>
+    <param>
+      <key>const</key>
+      <value>(1-alpha)**0.5</value>
+    </param>
+    <param>
+      <key>vlen</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(733, 1374)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>gr_sub_xx</key>
+    <param>
+      <key>id</key>
+      <value>gr_sub_xx_2_0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>complex</value>
+    </param>
+    <param>
+      <key>vlen</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>num_inputs</key>
+      <value>2</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(975, 1342)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>gr_sub_xx</key>
+    <param>
+      <key>id</key>
+      <value>gr_sub_xx_1_0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>short</value>
+    </param>
+    <param>
+      <key>vlen</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>num_inputs</key>
+      <value>2</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(559, 1536)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>gr_multiply_xx</key>
+    <param>
+      <key>id</key>
+      <value>gr_multiply_xx_2_0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>short</value>
+    </param>
+    <param>
+      <key>num_inputs</key>
+      <value>2</value>
+    </param>
+    <param>
+      <key>vlen</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(771, 1530)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>gr_short_to_float</key>
+    <param>
+      <key>id</key>
+      <value>gr_short_to_float_1_0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(1010, 1551)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>wxgui_numbersink2</key>
+    <param>
+      <key>id</key>
+      <value>wxgui_numbersink2_2</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>float</value>
+    </param>
+    <param>
+      <key>title</key>
+      <value>BER 2 (raw)</value>
+    </param>
+    <param>
+      <key>units</key>
+      <value>BER</value>
+    </param>
+    <param>
+      <key>samp_rate</key>
+      <value>R</value>
+    </param>
+    <param>
+      <key>base_value</key>
+      <value>0.0</value>
+    </param>
+    <param>
+      <key>min_value</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>max_value</key>
+      <value>1.0</value>
+    </param>
+    <param>
+      <key>factor</key>
+      <value>1.0</value>
+    </param>
+    <param>
+      <key>decimal_places</key>
+      <value>6</value>
+    </param>
+    <param>
+      <key>ref_level</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>number_rate</key>
+      <value>15</value>
+    </param>
+    <param>
+      <key>peak_hold</key>
+      <value>False</value>
+    </param>
+    <param>
+      <key>average</key>
+      <value>False</value>
+    </param>
+    <param>
+      <key>avg_alpha</key>
+      <value>0.001</value>
+    </param>
+    <param>
+      <key>show_gauge</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>grid_pos</key>
+      <value>0,1,1,1</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(1260, 659)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>wxgui_numbersink2</key>
+    <param>
+      <key>id</key>
+      <value>wxgui_numbersink2_3</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>float</value>
+    </param>
+    <param>
+      <key>title</key>
+      <value>BER 2 (after cancelling user 1)</value>
+    </param>
+    <param>
+      <key>units</key>
+      <value>BER</value>
+    </param>
+    <param>
+      <key>samp_rate</key>
+      <value>R</value>
+    </param>
+    <param>
+      <key>base_value</key>
+      <value>0.0</value>
+    </param>
+    <param>
+      <key>min_value</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>max_value</key>
+      <value>1.0</value>
+    </param>
+    <param>
+      <key>factor</key>
+      <value>1.0</value>
+    </param>
+    <param>
+      <key>decimal_places</key>
+      <value>6</value>
+    </param>
+    <param>
+      <key>ref_level</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>number_rate</key>
+      <value>15</value>
+    </param>
+    <param>
+      <key>peak_hold</key>
+      <value>False</value>
+    </param>
+    <param>
+      <key>average</key>
+      <value>False</value>
+    </param>
+    <param>
+      <key>avg_alpha</key>
+      <value>0.001</value>
+    </param>
+    <param>
+      <key>show_gauge</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>grid_pos</key>
+      <value>1,1,1,1</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(1262, 1020)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>wxgui_numbersink2</key>
+    <param>
+      <key>id</key>
+      <value>wxgui_numbersink2_3_0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>float</value>
+    </param>
+    <param>
+      <key>title</key>
+      <value>BER 1 (after cancelling user 2)</value>
+    </param>
+    <param>
+      <key>units</key>
+      <value>BER</value>
+    </param>
+    <param>
+      <key>samp_rate</key>
+      <value>R</value>
+    </param>
+    <param>
+      <key>base_value</key>
+      <value>0.0</value>
+    </param>
+    <param>
+      <key>min_value</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>max_value</key>
+      <value>1.0</value>
+    </param>
+    <param>
+      <key>factor</key>
+      <value>1.0</value>
+    </param>
+    <param>
+      <key>decimal_places</key>
+      <value>6</value>
+    </param>
+    <param>
+      <key>ref_level</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>number_rate</key>
+      <value>15</value>
+    </param>
+    <param>
+      <key>peak_hold</key>
+      <value>False</value>
+    </param>
+    <param>
+      <key>average</key>
+      <value>False</value>
+    </param>
+    <param>
+      <key>avg_alpha</key>
+      <value>0.001</value>
+    </param>
+    <param>
+      <key>show_gauge</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>grid_pos</key>
+      <value>1,0,1,1</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(1269, 1417)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>variable</key>
+    <param>
+      <key>id</key>
+      <value>R</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>value</key>
+      <value>10e3</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(748, 12)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>trellis_encoder_xx</key>
+    <param>
+      <key>id</key>
+      <value>trellis_encoder_xx_0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>ss</value>
+    </param>
+    <param>
+      <key>fsm_args</key>
+      <value>prefix+"gr-trellis/src/examples/fsm_files/awgn1o2_16.fsm"</value>
+    </param>
+    <param>
+      <key>init_state</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(334, 190)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>trellis_encoder_xx</key>
+    <param>
+      <key>id</key>
+      <value>trellis_encoder_xx_1</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>ss</value>
+    </param>
+    <param>
+      <key>fsm_args</key>
+      <value>prefix+"gr-trellis/src/examples/fsm_files/awgn1o2_16.fsm"</value>
+    </param>
+    <param>
+      <key>init_state</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(336, 311)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>trellis_viterbi_combined_xx</key>
+    <param>
+      <key>id</key>
+      <value>trellis_viterbi_combined_xx_1</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>c</value>
+    </param>
+    <param>
+      <key>out_type</key>
+      <value>s</value>
+    </param>
+    <param>
+      <key>fsm_args</key>
+      <value>prefix+"gr-trellis/src/examples/fsm_files/awgn1o2_16.fsm"</value>
+    </param>
+    <param>
+      <key>block_size</key>
+      <value>1000</value>
+    </param>
+    <param>
+      <key>init_state</key>
+      <value>-1</value>
+    </param>
+    <param>
+      <key>final_state</key>
+      <value>-1</value>
+    </param>
+    <param>
+      <key>dim</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>table</key>
+      <value>alpha**0.5*1,alpha**0.5*1j,alpha**0.5*(-1j),alpha**0.5*(-1)</value>
+    </param>
+    <param>
+      <key>metric_type</key>
+      <value>trellis.TRELLIS_EUCLIDEAN</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(79, 501)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>trellis_viterbi_combined_xx</key>
+    <param>
+      <key>id</key>
+      <value>trellis_viterbi_combined_xx_2</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>c</value>
+    </param>
+    <param>
+      <key>out_type</key>
+      <value>s</value>
+    </param>
+    <param>
+      <key>fsm_args</key>
+      <value>prefix+"gr-trellis/src/examples/fsm_files/awgn1o2_16.fsm"</value>
+    </param>
+    <param>
+      <key>block_size</key>
+      <value>1000</value>
+    </param>
+    <param>
+      <key>init_state</key>
+      <value>-1</value>
+    </param>
+    <param>
+      <key>final_state</key>
+      <value>-1</value>
+    </param>
+    <param>
+      <key>dim</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>table</key>
+      <value>(1-alpha)**0.5*1,(1-alpha)**0.5*1j,(1-alpha)**0.5*(-1j),(1-alpha)**0.5*(-1)</value>
+    </param>
+    <param>
+      <key>metric_type</key>
+      <value>trellis.TRELLIS_EUCLIDEAN</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(82, 766)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>trellis_encoder_xx</key>
+    <param>
+      <key>id</key>
+      <value>trellis_encoder_xx_2</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>ss</value>
+    </param>
+    <param>
+      <key>fsm_args</key>
+      <value>prefix+"gr-trellis/src/examples/fsm_files/awgn1o2_16.fsm"</value>
+    </param>
+    <param>
+      <key>init_state</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(89, 998)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>trellis_viterbi_combined_xx</key>
+    <param>
+      <key>id</key>
+      <value>trellis_viterbi_combined_xx_0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>c</value>
+    </param>
+    <param>
+      <key>out_type</key>
+      <value>s</value>
+    </param>
+    <param>
+      <key>fsm_args</key>
+      <value>prefix+"gr-trellis/src/examples/fsm_files/awgn1o2_16.fsm"</value>
+    </param>
+    <param>
+      <key>block_size</key>
+      <value>1000</value>
+    </param>
+    <param>
+      <key>init_state</key>
+      <value>-1</value>
+    </param>
+    <param>
+      <key>final_state</key>
+      <value>-1</value>
+    </param>
+    <param>
+      <key>dim</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>table</key>
+      <value>(1-alpha)**0.5*1,(1-alpha)**0.5*1j,(1-alpha)**0.5*(-1j),(1-alpha)**0.5*(-1)</value>
+    </param>
+    <param>
+      <key>metric_type</key>
+      <value>trellis.TRELLIS_EUCLIDEAN</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(83, 1111)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>trellis_encoder_xx</key>
+    <param>
+      <key>id</key>
+      <value>trellis_encoder_xx_2_0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>ss</value>
+    </param>
+    <param>
+      <key>fsm_args</key>
+      <value>prefix+"gr-trellis/src/examples/fsm_files/awgn1o2_16.fsm"</value>
+    </param>
+    <param>
+      <key>init_state</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(105, 1367)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>trellis_viterbi_combined_xx</key>
+    <param>
+      <key>id</key>
+      <value>trellis_viterbi_combined_xx_0_0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>c</value>
+    </param>
+    <param>
+      <key>out_type</key>
+      <value>s</value>
+    </param>
+    <param>
+      <key>fsm_args</key>
+      <value>prefix+"gr-trellis/src/examples/fsm_files/awgn1o2_16.fsm"</value>
+    </param>
+    <param>
+      <key>block_size</key>
+      <value>1000</value>
+    </param>
+    <param>
+      <key>init_state</key>
+      <value>-1</value>
+    </param>
+    <param>
+      <key>final_state</key>
+      <value>-1</value>
+    </param>
+    <param>
+      <key>dim</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>table</key>
+      <value>alpha**0.5*1,alpha**0.5*1j,alpha**0.5*(-1j),alpha**0.5*(-1)</value>
+    </param>
+    <param>
+      <key>metric_type</key>
+      <value>trellis.TRELLIS_EUCLIDEAN</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(75, 1495)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>variable</key>
+    <param>
+      <key>id</key>
+      <value>prefix</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>value</key>
+      <value>"../../../"</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(871, 14)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>gr_add_xx</key>
+    <param>
+      <key>id</key>
+      <value>gr_add_xx_1</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>complex</value>
+    </param>
+    <param>
+      <key>num_inputs</key>
+      <value>2</value>
+    </param>
+    <param>
+      <key>vlen</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(1400, 262)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>wxgui_numbersink2</key>
+    <param>
+      <key>id</key>
+      <value>wxgui_numbersink2_0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>float</value>
+    </param>
+    <param>
+      <key>title</key>
+      <value>BER 1 (raw)</value>
+    </param>
+    <param>
+      <key>units</key>
+      <value>BER</value>
+    </param>
+    <param>
+      <key>samp_rate</key>
+      <value>R</value>
+    </param>
+    <param>
+      <key>base_value</key>
+      <value>0.0</value>
+    </param>
+    <param>
+      <key>min_value</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>max_value</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>factor</key>
+      <value>1.0</value>
+    </param>
+    <param>
+      <key>decimal_places</key>
+      <value>6</value>
+    </param>
+    <param>
+      <key>ref_level</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>number_rate</key>
+      <value>15</value>
+    </param>
+    <param>
+      <key>peak_hold</key>
+      <value>False</value>
+    </param>
+    <param>
+      <key>average</key>
+      <value>False</value>
+    </param>
+    <param>
+      <key>avg_alpha</key>
+      <value>0.001</value>
+    </param>
+    <param>
+      <key>show_gauge</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>grid_pos</key>
+      <value>0,0,1,1</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(1267, 410)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>wxgui_scopesink2</key>
+    <param>
+      <key>id</key>
+      <value>wxgui_scopesink2_0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>complex</value>
+    </param>
+    <param>
+      <key>title</key>
+      <value>Scope Plot</value>
+    </param>
+    <param>
+      <key>samp_rate</key>
+      <value>R</value>
+    </param>
+    <param>
+      <key>v_scale</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>t_scale</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>ac_couple</key>
+      <value>False</value>
+    </param>
+    <param>
+      <key>xy_mode</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>num_inputs</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>grid_pos</key>
+      <value></value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(1533, 149)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <connection>
+    <source_block_id>random_source_x_1</source_block_id>
+    <sink_block_id>trellis_encoder_xx_1</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>trellis_encoder_xx_0</source_block_id>
+    <sink_block_id>gr_chunks_to_symbols_xx_0</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>trellis_encoder_xx_1</source_block_id>
+    <sink_block_id>gr_chunks_to_symbols_xx_1</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_chunks_to_symbols_xx_0</source_block_id>
+    <sink_block_id>gr_multiply_const_vxx_0</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_multiply_const_vxx_0</source_block_id>
+    <sink_block_id>gr_add_xx_0</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_add_xx_0</source_block_id>
+    <sink_block_id>gr_add_xx_1</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_noise_source_x_0</source_block_id>
+    <sink_block_id>gr_add_xx_1</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>1</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_chunks_to_symbols_xx_1</source_block_id>
+    <sink_block_id>gr_multiply_const_vxx_1</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_multiply_const_vxx_1</source_block_id>
+    <sink_block_id>gr_add_xx_0</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>1</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_sub_xx_0</source_block_id>
+    <sink_block_id>gr_multiply_xx_0</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_sub_xx_0</source_block_id>
+    <sink_block_id>gr_multiply_xx_0</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>1</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_multiply_xx_0</source_block_id>
+    <sink_block_id>gr_short_to_float_0</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_short_to_float_0</source_block_id>
+    <sink_block_id>wxgui_numbersink2_0</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_multiply_xx_2</source_block_id>
+    <sink_block_id>gr_short_to_float_1</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>random_source_x_0</source_block_id>
+    <sink_block_id>trellis_encoder_xx_0</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_add_xx_1</source_block_id>
+    <sink_block_id>trellis_viterbi_combined_xx_1</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>random_source_x_0</source_block_id>
+    <sink_block_id>gr_sub_xx_0</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>trellis_viterbi_combined_xx_1</source_block_id>
+    <sink_block_id>gr_sub_xx_0</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>1</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>trellis_viterbi_combined_xx_0</source_block_id>
+    <sink_block_id>gr_sub_xx_1</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>1</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>random_source_x_1</source_block_id>
+    <sink_block_id>gr_sub_xx_1</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_sub_xx_1</source_block_id>
+    <sink_block_id>gr_multiply_xx_2</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_sub_xx_1</source_block_id>
+    <sink_block_id>gr_multiply_xx_2</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>1</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_sub_xx_2</source_block_id>
+    <sink_block_id>trellis_viterbi_combined_xx_0</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_add_xx_1</source_block_id>
+    <sink_block_id>gr_sub_xx_2</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>trellis_encoder_xx_2</source_block_id>
+    <sink_block_id>gr_chunks_to_symbols_xx_2</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_chunks_to_symbols_xx_2</source_block_id>
+    <sink_block_id>gr_multiply_const_vxx_2</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_multiply_const_vxx_2</source_block_id>
+    <sink_block_id>gr_sub_xx_2</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>1</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>trellis_viterbi_combined_xx_1</source_block_id>
+    <sink_block_id>trellis_encoder_xx_2</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_multiply_xx_1</source_block_id>
+    <sink_block_id>gr_short_to_float_2</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_short_to_float_2</source_block_id>
+    <sink_block_id>wxgui_numbersink2_2</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>trellis_viterbi_combined_xx_2</source_block_id>
+    <sink_block_id>gr_sub_xx_3</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>1</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_sub_xx_3</source_block_id>
+    <sink_block_id>gr_multiply_xx_1</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_sub_xx_3</source_block_id>
+    <sink_block_id>gr_multiply_xx_1</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>1</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_add_xx_1</source_block_id>
+    <sink_block_id>trellis_viterbi_combined_xx_2</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>random_source_x_1</source_block_id>
+    <sink_block_id>gr_sub_xx_3</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_short_to_float_1</source_block_id>
+    <sink_block_id>wxgui_numbersink2_3</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>trellis_encoder_xx_2_0</source_block_id>
+    <sink_block_id>gr_chunks_to_symbols_xx_2_0</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_chunks_to_symbols_xx_2_0</source_block_id>
+    <sink_block_id>gr_multiply_const_vxx_2_0</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>trellis_viterbi_combined_xx_2</source_block_id>
+    <sink_block_id>trellis_encoder_xx_2_0</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_multiply_xx_2_0</source_block_id>
+    <sink_block_id>gr_short_to_float_1_0</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>trellis_viterbi_combined_xx_0_0</source_block_id>
+    <sink_block_id>gr_sub_xx_1_0</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>1</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_sub_xx_1_0</source_block_id>
+    <sink_block_id>gr_multiply_xx_2_0</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_sub_xx_1_0</source_block_id>
+    <sink_block_id>gr_multiply_xx_2_0</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>1</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_sub_xx_2_0</source_block_id>
+    <sink_block_id>trellis_viterbi_combined_xx_0_0</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_short_to_float_1_0</source_block_id>
+    <sink_block_id>wxgui_numbersink2_3_0</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_add_xx_1</source_block_id>
+    <sink_block_id>gr_sub_xx_2_0</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>random_source_x_0</source_block_id>
+    <sink_block_id>gr_sub_xx_1_0</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_multiply_const_vxx_2_0</source_block_id>
+    <sink_block_id>gr_sub_xx_2_0</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>1</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_add_xx_1</source_block_id>
+    <sink_block_id>wxgui_scopesink2_0</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+</flow_graph>
diff --git a/grc/examples/trellis/readme.txt b/grc/examples/trellis/readme.txt
new file mode 100644 (file)
index 0000000..d620fd6
--- /dev/null
@@ -0,0 +1,16 @@
+This is an example of using gr-trellis in grc.
+
+Two users are transmitting simultaneously using convolutionally encoded QPSK, each with power P1=alpha*P and P2=(1-alpha)*P.
+The combined signal is observed in noise and four different receivers are considered:
+1) A viterbi decoder decoding user 1 assuming user 2 is noise
+2) A viterbi decoder decoding user 2 assuming user 1 is noise
+3) A viterbi decoder decoding user 1 first 
+   and then reencoding this signal, subtracting it from the observation 
+   and then running a Viterbi decoder decoding user 2
+4) A viterbi decoder decoding user 2 first 
+   and then reencoding this signal, subtracting it from the observation 
+   and then running a Viterbi decoder decoding user 1
+
+You can change the signal to noise ratio P/sigma^2 and the allocation of power to the two users, alpha.
+
+Enjoy.
diff --git a/grc/examples/usrp/usrp2_const_wave.grc b/grc/examples/usrp/usrp2_const_wave.grc
new file mode 100644 (file)
index 0000000..fdbd7c1
--- /dev/null
@@ -0,0 +1,252 @@
+<?xml version='1.0' encoding='ASCII'?>
+<flow_graph>
+  <timestamp>Wed Apr 15 11:22:56 2009</timestamp>
+  <block>
+    <key>const_source_x</key>
+    <param>
+      <key>id</key>
+      <value>const_source_x_0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>complex</value>
+    </param>
+    <param>
+      <key>const</key>
+      <value>ampl</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(284, 154)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>usrp2_sink_xxxx</key>
+    <param>
+      <key>id</key>
+      <value>usrp2_sink_xxxx_0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>complex</value>
+    </param>
+    <param>
+      <key>interface</key>
+      <value></value>
+    </param>
+    <param>
+      <key>mac_addr</key>
+      <value></value>
+    </param>
+    <param>
+      <key>interpolation</key>
+      <value>interp</value>
+    </param>
+    <param>
+      <key>frequency</key>
+      <value>tun_freq</value>
+    </param>
+    <param>
+      <key>gain</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(493, 106)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>variable</key>
+    <param>
+      <key>id</key>
+      <value>interp</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>value</key>
+      <value>16</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(16, 255)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>variable_slider</key>
+    <param>
+      <key>id</key>
+      <value>tun_freq</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>label</key>
+      <value>USRP2 Freq (Hz)</value>
+    </param>
+    <param>
+      <key>value</key>
+      <value>2.45e9</value>
+    </param>
+    <param>
+      <key>min</key>
+      <value>2.4e9</value>
+    </param>
+    <param>
+      <key>max</key>
+      <value>2.5e9</value>
+    </param>
+    <param>
+      <key>num_steps</key>
+      <value>100</value>
+    </param>
+    <param>
+      <key>slider_length</key>
+      <value>200</value>
+    </param>
+    <param>
+      <key>slider_type</key>
+      <value>horizontal</value>
+    </param>
+    <param>
+      <key>grid_pos</key>
+      <value></value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(30, 376)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>variable_slider</key>
+    <param>
+      <key>id</key>
+      <value>ampl</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>label</key>
+      <value>Amplitude</value>
+    </param>
+    <param>
+      <key>value</key>
+      <value>.1</value>
+    </param>
+    <param>
+      <key>min</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>max</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>num_steps</key>
+      <value>100</value>
+    </param>
+    <param>
+      <key>slider_length</key>
+      <value>200</value>
+    </param>
+    <param>
+      <key>slider_type</key>
+      <value>horizontal</value>
+    </param>
+    <param>
+      <key>grid_pos</key>
+      <value></value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(204, 376)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>options</key>
+    <param>
+      <key>id</key>
+      <value>usrp2_const_wave</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>title</key>
+      <value>USRP2 Constant Wave</value>
+    </param>
+    <param>
+      <key>author</key>
+      <value>Example</value>
+    </param>
+    <param>
+      <key>description</key>
+      <value>Tune USRP2</value>
+    </param>
+    <param>
+      <key>window_size</key>
+      <value>1280, 1024</value>
+    </param>
+    <param>
+      <key>generate_options</key>
+      <value>wx_gui</value>
+    </param>
+    <param>
+      <key>category</key>
+      <value>Custom</value>
+    </param>
+    <param>
+      <key>realtime_scheduling</key>
+      <value></value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(10, 10)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <connection>
+    <source_block_id>const_source_x_0</source_block_id>
+    <sink_block_id>usrp2_sink_xxxx_0</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+</flow_graph>
diff --git a/grc/examples/usrp/usrp2_dpsk_mod.grc b/grc/examples/usrp/usrp2_dpsk_mod.grc
new file mode 100644 (file)
index 0000000..e9f9b41
--- /dev/null
@@ -0,0 +1,693 @@
+<?xml version='1.0' encoding='ASCII'?>
+<flow_graph>
+  <timestamp>Wed Apr 15 17:31:00 2009</timestamp>
+  <block>
+    <key>options</key>
+    <param>
+      <key>id</key>
+      <value>usrp2_dpsk_mod</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>title</key>
+      <value>USRP2 DPSK Modulation</value>
+    </param>
+    <param>
+      <key>author</key>
+      <value>Example</value>
+    </param>
+    <param>
+      <key>description</key>
+      <value>Generate a DPSK signal</value>
+    </param>
+    <param>
+      <key>window_size</key>
+      <value>1280, 1024</value>
+    </param>
+    <param>
+      <key>generate_options</key>
+      <value>wx_gui</value>
+    </param>
+    <param>
+      <key>category</key>
+      <value>Custom</value>
+    </param>
+    <param>
+      <key>realtime_scheduling</key>
+      <value></value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(10, 10)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>variable</key>
+    <param>
+      <key>id</key>
+      <value>samp_rate</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>value</key>
+      <value>100e6/interp</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(52, 166)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>usrp2_sink_xxxx</key>
+    <param>
+      <key>id</key>
+      <value>usrp2_sink_xxxx_0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>complex</value>
+    </param>
+    <param>
+      <key>interface</key>
+      <value></value>
+    </param>
+    <param>
+      <key>mac_addr</key>
+      <value></value>
+    </param>
+    <param>
+      <key>interpolation</key>
+      <value>interp</value>
+    </param>
+    <param>
+      <key>frequency</key>
+      <value>tun_freq</value>
+    </param>
+    <param>
+      <key>gain</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(1059, 56)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>gr_multiply_const_vxx</key>
+    <param>
+      <key>id</key>
+      <value>gr_multiply_const_vxx_0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>complex</value>
+    </param>
+    <param>
+      <key>const</key>
+      <value>ampl</value>
+    </param>
+    <param>
+      <key>vlen</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(679, 58)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>blks2_dxpsk_mod</key>
+    <param>
+      <key>id</key>
+      <value>blks2_dxpsk_mod_0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>dqpsk</value>
+    </param>
+    <param>
+      <key>samples_per_symbol</key>
+      <value>samps_per_sym</value>
+    </param>
+    <param>
+      <key>excess_bw</key>
+      <value>0.35</value>
+    </param>
+    <param>
+      <key>gray_code</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(468, 55)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>variable</key>
+    <param>
+      <key>id</key>
+      <value>interp</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>value</key>
+      <value>100</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(31, 256)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>variable</key>
+    <param>
+      <key>id</key>
+      <value>samps_per_sym</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>value</key>
+      <value>8</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(126, 250)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>random_source_x</key>
+    <param>
+      <key>id</key>
+      <value>random_source_x_0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>byte</value>
+    </param>
+    <param>
+      <key>min</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>max</key>
+      <value>256</value>
+    </param>
+    <param>
+      <key>num_samps</key>
+      <value>1000</value>
+    </param>
+    <param>
+      <key>repeat</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(255, 39)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>variable_slider</key>
+    <param>
+      <key>id</key>
+      <value>ampl</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>label</key>
+      <value>Amplitude</value>
+    </param>
+    <param>
+      <key>value</key>
+      <value>.1</value>
+    </param>
+    <param>
+      <key>min</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>max</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>num_steps</key>
+      <value>100</value>
+    </param>
+    <param>
+      <key>style</key>
+      <value>wx.SL_HORIZONTAL</value>
+    </param>
+    <param>
+      <key>converver</key>
+      <value>float_converter</value>
+    </param>
+    <param>
+      <key>grid_pos</key>
+      <value></value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(246, 372)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>variable_slider</key>
+    <param>
+      <key>id</key>
+      <value>rx_freq_off</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>label</key>
+      <value>RX Freq Offset (Hz)</value>
+    </param>
+    <param>
+      <key>value</key>
+      <value>-20e3</value>
+    </param>
+    <param>
+      <key>min</key>
+      <value>-50e3</value>
+    </param>
+    <param>
+      <key>max</key>
+      <value>+50e3</value>
+    </param>
+    <param>
+      <key>num_steps</key>
+      <value>100</value>
+    </param>
+    <param>
+      <key>style</key>
+      <value>wx.SL_HORIZONTAL</value>
+    </param>
+    <param>
+      <key>converver</key>
+      <value>float_converter</value>
+    </param>
+    <param>
+      <key>grid_pos</key>
+      <value></value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(247, 508)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>variable_slider</key>
+    <param>
+      <key>id</key>
+      <value>tun_freq</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>label</key>
+      <value>USRP2 Freq (Hz)</value>
+    </param>
+    <param>
+      <key>value</key>
+      <value>2.45e9</value>
+    </param>
+    <param>
+      <key>min</key>
+      <value>2.4e9</value>
+    </param>
+    <param>
+      <key>max</key>
+      <value>2.5e9</value>
+    </param>
+    <param>
+      <key>num_steps</key>
+      <value>100</value>
+    </param>
+    <param>
+      <key>style</key>
+      <value>wx.SL_HORIZONTAL</value>
+    </param>
+    <param>
+      <key>converver</key>
+      <value>float_converter</value>
+    </param>
+    <param>
+      <key>grid_pos</key>
+      <value></value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(49, 341)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>wxgui_constellationsink2</key>
+    <param>
+      <key>id</key>
+      <value>wxgui_constellationsink2_0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>title</key>
+      <value>Constellation Plot</value>
+    </param>
+    <param>
+      <key>samp_rate</key>
+      <value>samp_rate</value>
+    </param>
+    <param>
+      <key>frame_rate</key>
+      <value>5</value>
+    </param>
+    <param>
+      <key>const_size</key>
+      <value>2048</value>
+    </param>
+    <param>
+      <key>M</key>
+      <value>4</value>
+    </param>
+    <param>
+      <key>theta</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>alpha</key>
+      <value>0.005</value>
+    </param>
+    <param>
+      <key>fmax</key>
+      <value>0.06</value>
+    </param>
+    <param>
+      <key>mu</key>
+      <value>0.5</value>
+    </param>
+    <param>
+      <key>gain_mu</key>
+      <value>0.005</value>
+    </param>
+    <param>
+      <key>symbol_rate</key>
+      <value>samp_rate/samps_per_sym</value>
+    </param>
+    <param>
+      <key>omega_limit</key>
+      <value>0.005</value>
+    </param>
+    <param>
+      <key>grid_pos</key>
+      <value></value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(920, 202)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>wxgui_fftsink2</key>
+    <param>
+      <key>id</key>
+      <value>wxgui_fftsink2_0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>complex</value>
+    </param>
+    <param>
+      <key>title</key>
+      <value>FFT Plot</value>
+    </param>
+    <param>
+      <key>samp_rate</key>
+      <value>samp_rate</value>
+    </param>
+    <param>
+      <key>baseband_freq</key>
+      <value>tun_freq</value>
+    </param>
+    <param>
+      <key>y_per_div</key>
+      <value>10</value>
+    </param>
+    <param>
+      <key>ref_level</key>
+      <value>10</value>
+    </param>
+    <param>
+      <key>fft_size</key>
+      <value>1024</value>
+    </param>
+    <param>
+      <key>fft_rate</key>
+      <value>30</value>
+    </param>
+    <param>
+      <key>peak_hold</key>
+      <value>False</value>
+    </param>
+    <param>
+      <key>average</key>
+      <value>False</value>
+    </param>
+    <param>
+      <key>avg_alpha</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>grid_pos</key>
+      <value></value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(691, 155)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>wxgui_scopesink2</key>
+    <param>
+      <key>id</key>
+      <value>wxgui_scopesink2_0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>False</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>complex</value>
+    </param>
+    <param>
+      <key>title</key>
+      <value>Scope Plot</value>
+    </param>
+    <param>
+      <key>samp_rate</key>
+      <value>samp_rate</value>
+    </param>
+    <param>
+      <key>v_scale</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>t_scale</key>
+      <value>1e-6</value>
+    </param>
+    <param>
+      <key>ac_couple</key>
+      <value>False</value>
+    </param>
+    <param>
+      <key>xy_mode</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>num_inputs</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>grid_pos</key>
+      <value></value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(515, 272)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>usrp2_source_xxxx</key>
+    <param>
+      <key>id</key>
+      <value>usrp2_source_xxxx_0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>complex</value>
+    </param>
+    <param>
+      <key>interface</key>
+      <value>eth1</value>
+    </param>
+    <param>
+      <key>mac_addr</key>
+      <value></value>
+    </param>
+    <param>
+      <key>decimation</key>
+      <value>interp</value>
+    </param>
+    <param>
+      <key>frequency</key>
+      <value>tun_freq + rx_freq_off</value>
+    </param>
+    <param>
+      <key>gain</key>
+      <value>30</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(270, 200)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <connection>
+    <source_block_id>random_source_x_0</source_block_id>
+    <sink_block_id>blks2_dxpsk_mod_0</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>blks2_dxpsk_mod_0</source_block_id>
+    <sink_block_id>gr_multiply_const_vxx_0</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_multiply_const_vxx_0</source_block_id>
+    <sink_block_id>usrp2_sink_xxxx_0</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>usrp2_source_xxxx_0</source_block_id>
+    <sink_block_id>wxgui_constellationsink2_0</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>usrp2_source_xxxx_0</source_block_id>
+    <sink_block_id>wxgui_scopesink2_0</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>usrp2_source_xxxx_0</source_block_id>
+    <sink_block_id>wxgui_fftsink2_0</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+</flow_graph>
diff --git a/grc/examples/usrp/usrp2_fft.grc b/grc/examples/usrp/usrp2_fft.grc
new file mode 100644 (file)
index 0000000..134bd46
--- /dev/null
@@ -0,0 +1,264 @@
+<?xml version='1.0' encoding='ASCII'?>
+<flow_graph>
+  <timestamp>Wed Apr 15 17:30:20 2009</timestamp>
+  <block>
+    <key>options</key>
+    <param>
+      <key>id</key>
+      <value>usrp2_fft</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>title</key>
+      <value>USRP2 FFT Plotter</value>
+    </param>
+    <param>
+      <key>author</key>
+      <value>Example</value>
+    </param>
+    <param>
+      <key>description</key>
+      <value>FFT waveform plot</value>
+    </param>
+    <param>
+      <key>window_size</key>
+      <value>1280, 1024</value>
+    </param>
+    <param>
+      <key>generate_options</key>
+      <value>wx_gui</value>
+    </param>
+    <param>
+      <key>category</key>
+      <value>Custom</value>
+    </param>
+    <param>
+      <key>realtime_scheduling</key>
+      <value></value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(10, 10)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>variable</key>
+    <param>
+      <key>id</key>
+      <value>samp_rate</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>value</key>
+      <value>100e6/decim</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(10, 170)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>variable</key>
+    <param>
+      <key>id</key>
+      <value>decim</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>value</key>
+      <value>16</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(24, 267)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>variable_slider</key>
+    <param>
+      <key>id</key>
+      <value>tun_freq</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>label</key>
+      <value>USRP2 Freq (Hz)</value>
+    </param>
+    <param>
+      <key>value</key>
+      <value>2.45e9</value>
+    </param>
+    <param>
+      <key>min</key>
+      <value>2.4e9</value>
+    </param>
+    <param>
+      <key>max</key>
+      <value>2.5e9</value>
+    </param>
+    <param>
+      <key>num_steps</key>
+      <value>100</value>
+    </param>
+    <param>
+      <key>style</key>
+      <value>wx.SL_HORIZONTAL</value>
+    </param>
+    <param>
+      <key>converver</key>
+      <value>float_converter</value>
+    </param>
+    <param>
+      <key>grid_pos</key>
+      <value></value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(32, 365)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>wxgui_fftsink2</key>
+    <param>
+      <key>id</key>
+      <value>wxgui_fftsink2_0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>complex</value>
+    </param>
+    <param>
+      <key>title</key>
+      <value>FFT Plot</value>
+    </param>
+    <param>
+      <key>samp_rate</key>
+      <value>samp_rate</value>
+    </param>
+    <param>
+      <key>baseband_freq</key>
+      <value>tun_freq</value>
+    </param>
+    <param>
+      <key>y_per_div</key>
+      <value>10</value>
+    </param>
+    <param>
+      <key>ref_level</key>
+      <value>10</value>
+    </param>
+    <param>
+      <key>fft_size</key>
+      <value>1024</value>
+    </param>
+    <param>
+      <key>fft_rate</key>
+      <value>30</value>
+    </param>
+    <param>
+      <key>peak_hold</key>
+      <value>False</value>
+    </param>
+    <param>
+      <key>average</key>
+      <value>False</value>
+    </param>
+    <param>
+      <key>avg_alpha</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>grid_pos</key>
+      <value></value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(466, 100)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>usrp2_source_xxxx</key>
+    <param>
+      <key>id</key>
+      <value>usrp2_source_xxxx_0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>complex</value>
+    </param>
+    <param>
+      <key>interface</key>
+      <value></value>
+    </param>
+    <param>
+      <key>mac_addr</key>
+      <value></value>
+    </param>
+    <param>
+      <key>decimation</key>
+      <value>decim</value>
+    </param>
+    <param>
+      <key>frequency</key>
+      <value>tun_freq</value>
+    </param>
+    <param>
+      <key>gain</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(211, 200)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <connection>
+    <source_block_id>usrp2_source_xxxx_0</source_block_id>
+    <sink_block_id>wxgui_fftsink2_0</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+</flow_graph>
diff --git a/grc/examples/usrp/usrp_two_tone_loopback.grc b/grc/examples/usrp/usrp_two_tone_loopback.grc
new file mode 100644 (file)
index 0000000..3df9770
--- /dev/null
@@ -0,0 +1,749 @@
+<?xml version='1.0' encoding='ASCII'?>
+<flow_graph>
+  <timestamp>Fri Apr 17 18:23:35 2009</timestamp>
+  <block>
+    <key>variable</key>
+    <param>
+      <key>id</key>
+      <value>samp_rate</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>value</key>
+      <value>64e6/200</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(9, 166)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>variable</key>
+    <param>
+      <key>id</key>
+      <value>tun_freq</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>value</key>
+      <value>int(100e6)</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(116, 166)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>gr_sig_source_x</key>
+    <param>
+      <key>id</key>
+      <value>gr_sig_source_x</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>complex</value>
+    </param>
+    <param>
+      <key>samp_rate</key>
+      <value>samp_rate</value>
+    </param>
+    <param>
+      <key>waveform</key>
+      <value>gr.GR_COS_WAVE</value>
+    </param>
+    <param>
+      <key>freq</key>
+      <value>tone1</value>
+    </param>
+    <param>
+      <key>amp</key>
+      <value>tone_ampl</value>
+    </param>
+    <param>
+      <key>offset</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(258, 20)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>gr_sig_source_x</key>
+    <param>
+      <key>id</key>
+      <value>gr_sig_source_x0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>complex</value>
+    </param>
+    <param>
+      <key>samp_rate</key>
+      <value>samp_rate</value>
+    </param>
+    <param>
+      <key>waveform</key>
+      <value>gr.GR_COS_WAVE</value>
+    </param>
+    <param>
+      <key>freq</key>
+      <value>tone2</value>
+    </param>
+    <param>
+      <key>amp</key>
+      <value>tone_ampl</value>
+    </param>
+    <param>
+      <key>offset</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(255, 179)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>gr_add_xx</key>
+    <param>
+      <key>id</key>
+      <value>gr_add_xx</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>complex</value>
+    </param>
+    <param>
+      <key>num_inputs</key>
+      <value>3</value>
+    </param>
+    <param>
+      <key>vlen</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(528, 78)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>parameter</key>
+    <param>
+      <key>id</key>
+      <value>tx_side</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>label</key>
+      <value></value>
+    </param>
+    <param>
+      <key>value</key>
+      <value>A</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>string</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(688, 384)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>usrp_simple_sink_x</key>
+    <param>
+      <key>id</key>
+      <value>usrp_simple_sink_x</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>complex</value>
+    </param>
+    <param>
+      <key>format</key>
+      <value></value>
+    </param>
+    <param>
+      <key>which</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>interpolation</key>
+      <value>400</value>
+    </param>
+    <param>
+      <key>frequency</key>
+      <value>tun_freq</value>
+    </param>
+    <param>
+      <key>gain</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>side</key>
+      <value>tx_side</value>
+    </param>
+    <param>
+      <key>transmit</key>
+      <value></value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(835, 5)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>usrp_simple_source_x</key>
+    <param>
+      <key>id</key>
+      <value>usrp_simple_source_x</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>complex</value>
+    </param>
+    <param>
+      <key>format</key>
+      <value></value>
+    </param>
+    <param>
+      <key>which</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>decimation</key>
+      <value>200</value>
+    </param>
+    <param>
+      <key>frequency</key>
+      <value>tun_freq</value>
+    </param>
+    <param>
+      <key>gain</key>
+      <value>20</value>
+    </param>
+    <param>
+      <key>side</key>
+      <value>rx_side</value>
+    </param>
+    <param>
+      <key>rx_ant</key>
+      <value>rx_ant</value>
+    </param>
+    <param>
+      <key>hb_filters</key>
+      <value></value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(479, 224)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>parameter</key>
+    <param>
+      <key>id</key>
+      <value>rx_ant</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>label</key>
+      <value></value>
+    </param>
+    <param>
+      <key>value</key>
+      <value>RXA</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>string</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(802, 384)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>wxgui_fftsink2</key>
+    <param>
+      <key>id</key>
+      <value>wxgui_fftsink2</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>complex</value>
+    </param>
+    <param>
+      <key>title</key>
+      <value>FFT Plot</value>
+    </param>
+    <param>
+      <key>samp_rate</key>
+      <value>samp_rate</value>
+    </param>
+    <param>
+      <key>baseband_freq</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>y_per_div</key>
+      <value>10</value>
+    </param>
+    <param>
+      <key>ref_level</key>
+      <value>100</value>
+    </param>
+    <param>
+      <key>fft_size</key>
+      <value>512*2</value>
+    </param>
+    <param>
+      <key>fft_rate</key>
+      <value>15</value>
+    </param>
+    <param>
+      <key>peak_hold</key>
+      <value>False</value>
+    </param>
+    <param>
+      <key>average</key>
+      <value>False</value>
+    </param>
+    <param>
+      <key>avg_alpha</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>grid_pos</key>
+      <value>1, 2, 2, 4</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(746, 133)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>parameter</key>
+    <param>
+      <key>id</key>
+      <value>rx_side</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>label</key>
+      <value></value>
+    </param>
+    <param>
+      <key>value</key>
+      <value>A</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>string</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(568, 382)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>gr_noise_source_x</key>
+    <param>
+      <key>id</key>
+      <value>gr_noise_source_x</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>complex</value>
+    </param>
+    <param>
+      <key>noise_type</key>
+      <value>gr.GR_GAUSSIAN</value>
+    </param>
+    <param>
+      <key>amp</key>
+      <value>noise_ampl</value>
+    </param>
+    <param>
+      <key>seed</key>
+      <value>42</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(276, 312)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>variable_slider</key>
+    <param>
+      <key>id</key>
+      <value>noise_ampl</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>label</key>
+      <value>Noise Ampl</value>
+    </param>
+    <param>
+      <key>value</key>
+      <value>2000</value>
+    </param>
+    <param>
+      <key>min</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>max</key>
+      <value>5000</value>
+    </param>
+    <param>
+      <key>num_steps</key>
+      <value>100</value>
+    </param>
+    <param>
+      <key>style</key>
+      <value>wx.SL_VERTICAL</value>
+    </param>
+    <param>
+      <key>converver</key>
+      <value>float_converter</value>
+    </param>
+    <param>
+      <key>grid_pos</key>
+      <value>1, 1, 2, 1</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(20, 243)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>variable_slider</key>
+    <param>
+      <key>id</key>
+      <value>tone_ampl</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>label</key>
+      <value>Tone Ampl</value>
+    </param>
+    <param>
+      <key>value</key>
+      <value>5000</value>
+    </param>
+    <param>
+      <key>min</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>max</key>
+      <value>10e3</value>
+    </param>
+    <param>
+      <key>num_steps</key>
+      <value>100</value>
+    </param>
+    <param>
+      <key>style</key>
+      <value>wx.SL_VERTICAL</value>
+    </param>
+    <param>
+      <key>converver</key>
+      <value>float_converter</value>
+    </param>
+    <param>
+      <key>grid_pos</key>
+      <value>1, 0, 2, 1</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(28, 437)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>variable_slider</key>
+    <param>
+      <key>id</key>
+      <value>tone1</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>label</key>
+      <value>Tone 1</value>
+    </param>
+    <param>
+      <key>value</key>
+      <value>50e3</value>
+    </param>
+    <param>
+      <key>min</key>
+      <value>-samp_rate/2</value>
+    </param>
+    <param>
+      <key>max</key>
+      <value>samp_rate/2</value>
+    </param>
+    <param>
+      <key>num_steps</key>
+      <value>100</value>
+    </param>
+    <param>
+      <key>style</key>
+      <value>wx.SL_HORIZONTAL</value>
+    </param>
+    <param>
+      <key>converver</key>
+      <value>float_converter</value>
+    </param>
+    <param>
+      <key>grid_pos</key>
+      <value>0, 0, 1, 4</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(190, 436)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>variable_slider</key>
+    <param>
+      <key>id</key>
+      <value>tone2</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>label</key>
+      <value>Tone 2</value>
+    </param>
+    <param>
+      <key>value</key>
+      <value>75e3</value>
+    </param>
+    <param>
+      <key>min</key>
+      <value>-samp_rate/2</value>
+    </param>
+    <param>
+      <key>max</key>
+      <value>samp_rate/2</value>
+    </param>
+    <param>
+      <key>num_steps</key>
+      <value>100</value>
+    </param>
+    <param>
+      <key>style</key>
+      <value>wx.SL_HORIZONTAL</value>
+    </param>
+    <param>
+      <key>converver</key>
+      <value>float_converter</value>
+    </param>
+    <param>
+      <key>grid_pos</key>
+      <value>0, 4, 1, 4</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(367, 439)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>options</key>
+    <param>
+      <key>id</key>
+      <value>usrp_two_tone_loopback</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>title</key>
+      <value>USRP Loopback - 2 Tone</value>
+    </param>
+    <param>
+      <key>author</key>
+      <value>Example</value>
+    </param>
+    <param>
+      <key>description</key>
+      <value>Loopback test with basic rx and basic tx</value>
+    </param>
+    <param>
+      <key>window_size</key>
+      <value>1280, 1024</value>
+    </param>
+    <param>
+      <key>generate_options</key>
+      <value>wx_gui</value>
+    </param>
+    <param>
+      <key>category</key>
+      <value>Custom</value>
+    </param>
+    <param>
+      <key>realtime_scheduling</key>
+      <value></value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(10, 10)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <connection>
+    <source_block_id>gr_sig_source_x</source_block_id>
+    <sink_block_id>gr_add_xx</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_sig_source_x0</source_block_id>
+    <sink_block_id>gr_add_xx</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>1</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_noise_source_x</source_block_id>
+    <sink_block_id>gr_add_xx</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>2</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>usrp_simple_source_x</source_block_id>
+    <sink_block_id>wxgui_fftsink2</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_add_xx</source_block_id>
+    <sink_block_id>usrp_simple_sink_x</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+</flow_graph>
diff --git a/grc/examples/usrp/usrp_wbfm_receive.grc b/grc/examples/usrp/usrp_wbfm_receive.grc
new file mode 100644 (file)
index 0000000..8f53475
--- /dev/null
@@ -0,0 +1,466 @@
+<?xml version='1.0' encoding='ASCII'?>
+<flow_graph>
+  <timestamp>Fri Apr 17 19:06:07 2009</timestamp>
+  <block>
+    <key>options</key>
+    <param>
+      <key>id</key>
+      <value>usrp_wbfm_receive</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>title</key>
+      <value>USRP WBFM Receive</value>
+    </param>
+    <param>
+      <key>author</key>
+      <value>Example</value>
+    </param>
+    <param>
+      <key>description</key>
+      <value>WBFM Receive with Basic RX or TV RX</value>
+    </param>
+    <param>
+      <key>window_size</key>
+      <value>1280, 1024</value>
+    </param>
+    <param>
+      <key>generate_options</key>
+      <value>wx_gui</value>
+    </param>
+    <param>
+      <key>category</key>
+      <value>Custom</value>
+    </param>
+    <param>
+      <key>realtime_scheduling</key>
+      <value></value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(10, 10)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>variable</key>
+    <param>
+      <key>id</key>
+      <value>decim</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>value</key>
+      <value>200</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(14, 173)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>variable_slider</key>
+    <param>
+      <key>id</key>
+      <value>volume</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>label</key>
+      <value>Volume</value>
+    </param>
+    <param>
+      <key>value</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>min</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>max</key>
+      <value>10</value>
+    </param>
+    <param>
+      <key>num_steps</key>
+      <value>100</value>
+    </param>
+    <param>
+      <key>style</key>
+      <value>wx.SL_HORIZONTAL</value>
+    </param>
+    <param>
+      <key>converver</key>
+      <value>float_converter</value>
+    </param>
+    <param>
+      <key>grid_pos</key>
+      <value>1, 0, 1, 4</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(991, 40)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>usrp_simple_source_x</key>
+    <param>
+      <key>id</key>
+      <value>usrp_simple_source_x</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>complex</value>
+    </param>
+    <param>
+      <key>format</key>
+      <value></value>
+    </param>
+    <param>
+      <key>which</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>decimation</key>
+      <value>decim</value>
+    </param>
+    <param>
+      <key>frequency</key>
+      <value>(freq+fine)*1e6</value>
+    </param>
+    <param>
+      <key>gain</key>
+      <value>20</value>
+    </param>
+    <param>
+      <key>side</key>
+      <value>A</value>
+    </param>
+    <param>
+      <key>rx_ant</key>
+      <value>None</value>
+    </param>
+    <param>
+      <key>hb_filters</key>
+      <value></value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(277, 29)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>wxgui_fftsink2</key>
+    <param>
+      <key>id</key>
+      <value>wxgui_fftsink2</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>complex</value>
+    </param>
+    <param>
+      <key>title</key>
+      <value>FFT Plot</value>
+    </param>
+    <param>
+      <key>samp_rate</key>
+      <value>64e6/decim</value>
+    </param>
+    <param>
+      <key>baseband_freq</key>
+      <value>(freq+fine)*1e6</value>
+    </param>
+    <param>
+      <key>y_per_div</key>
+      <value>10</value>
+    </param>
+    <param>
+      <key>ref_level</key>
+      <value>50</value>
+    </param>
+    <param>
+      <key>fft_size</key>
+      <value>512</value>
+    </param>
+    <param>
+      <key>fft_rate</key>
+      <value>15</value>
+    </param>
+    <param>
+      <key>peak_hold</key>
+      <value>False</value>
+    </param>
+    <param>
+      <key>average</key>
+      <value>False</value>
+    </param>
+    <param>
+      <key>avg_alpha</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>grid_pos</key>
+      <value>2, 0, 2, 4</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(512, 191)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>variable_slider</key>
+    <param>
+      <key>id</key>
+      <value>freq</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>label</key>
+      <value>Frequency (MHz)</value>
+    </param>
+    <param>
+      <key>value</key>
+      <value>100</value>
+    </param>
+    <param>
+      <key>min</key>
+      <value>87.5</value>
+    </param>
+    <param>
+      <key>max</key>
+      <value>108.0</value>
+    </param>
+    <param>
+      <key>num_steps</key>
+      <value>1000</value>
+    </param>
+    <param>
+      <key>style</key>
+      <value>wx.SL_HORIZONTAL</value>
+    </param>
+    <param>
+      <key>converver</key>
+      <value>float_converter</value>
+    </param>
+    <param>
+      <key>grid_pos</key>
+      <value>0, 0, 1, 2</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(104, 243)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>variable_slider</key>
+    <param>
+      <key>id</key>
+      <value>fine</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>label</key>
+      <value>Fine Freq (MHz)</value>
+    </param>
+    <param>
+      <key>value</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>min</key>
+      <value>-.1</value>
+    </param>
+    <param>
+      <key>max</key>
+      <value>.1</value>
+    </param>
+    <param>
+      <key>num_steps</key>
+      <value>100</value>
+    </param>
+    <param>
+      <key>style</key>
+      <value>wx.SL_HORIZONTAL</value>
+    </param>
+    <param>
+      <key>converver</key>
+      <value>float_converter</value>
+    </param>
+    <param>
+      <key>grid_pos</key>
+      <value>0, 2, 1, 2</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(275, 246)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>blks2_wfm_rcv</key>
+    <param>
+      <key>id</key>
+      <value>blks2_wfm_rcv</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>quad_rate</key>
+      <value>64e6/decim</value>
+    </param>
+    <param>
+      <key>audio_decimation</key>
+      <value>10</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(510, 37)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>gr_multiply_const_vxx</key>
+    <param>
+      <key>id</key>
+      <value>gr_multiply_const_vxx</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>float</value>
+    </param>
+    <param>
+      <key>const</key>
+      <value>volume</value>
+    </param>
+    <param>
+      <key>vlen</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(764, 55)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>audio_sink</key>
+    <param>
+      <key>id</key>
+      <value>audio_sink</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>samp_rate</key>
+      <value>32000</value>
+    </param>
+    <param>
+      <key>device_name</key>
+      <value></value>
+    </param>
+    <param>
+      <key>ok_to_block</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>num_inputs</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(703, 241)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>180</value>
+    </param>
+  </block>
+  <connection>
+    <source_block_id>usrp_simple_source_x</source_block_id>
+    <sink_block_id>blks2_wfm_rcv</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>usrp_simple_source_x</source_block_id>
+    <sink_block_id>wxgui_fftsink2</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>blks2_wfm_rcv</source_block_id>
+    <sink_block_id>gr_multiply_const_vxx</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_multiply_const_vxx</source_block_id>
+    <sink_block_id>audio_sink</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+</flow_graph>
diff --git a/grc/examples/xmlrpc/readme.txt b/grc/examples/xmlrpc/readme.txt
new file mode 100644 (file)
index 0000000..c1f87c1
--- /dev/null
@@ -0,0 +1,18 @@
+##################################################
+# XMLRPC example
+##################################################
+
+XMLRPC allows software to make remote function calls over http. 
+In the case of GRC, one can use XMLRPC to modify variables in a running flow graph.
+See http://www.xmlrpc.com/
+
+--- Server Example ---
+Place an "XMLRPC Server" block inside of any flow graph. 
+The server will provide set functions for every variable in the flow graph.
+If a variable is called "freq", the server will provide a function set_freq(new_freq).
+Run the server example and experiment with the example client script.
+
+-- Client Example --
+The "XMLRPC Client" block will give a variable control over one remove function.
+In the example client, there is one client block and gui control per variable.
+This technique can be used to remotely control a flow graph, perhaps running on a non-gui machine.
diff --git a/grc/examples/xmlrpc/xmlrpc_client.grc b/grc/examples/xmlrpc/xmlrpc_client.grc
new file mode 100644 (file)
index 0000000..3bb4e7e
--- /dev/null
@@ -0,0 +1,312 @@
+<?xml version='1.0' encoding='ASCII'?>
+<flow_graph>
+  <timestamp>Thu Jul 24 14:27:44 2008</timestamp>
+  <block>
+    <key>options</key>
+    <param>
+      <key>id</key>
+      <value>client_block</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>title</key>
+      <value>XMLRPC Client</value>
+    </param>
+    <param>
+      <key>author</key>
+      <value>Example</value>
+    </param>
+    <param>
+      <key>description</key>
+      <value>example flow graph</value>
+    </param>
+    <param>
+      <key>window_size</key>
+      <value>1280, 1024</value>
+    </param>
+    <param>
+      <key>generate_options</key>
+      <value>wx_gui</value>
+    </param>
+    <param>
+      <key>category</key>
+      <value>Custom</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(10, 10)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>xmlrpc_client</key>
+    <param>
+      <key>id</key>
+      <value>xmlrpc_client0</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>addr</key>
+      <value>localhost</value>
+    </param>
+    <param>
+      <key>port</key>
+      <value>1234</value>
+    </param>
+    <param>
+      <key>callback</key>
+      <value>set_ampl</value>
+    </param>
+    <param>
+      <key>variable</key>
+      <value>ampl</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(409, 35)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>xmlrpc_client</key>
+    <param>
+      <key>id</key>
+      <value>xmlrpc_client</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>addr</key>
+      <value>localhost</value>
+    </param>
+    <param>
+      <key>port</key>
+      <value>1234</value>
+    </param>
+    <param>
+      <key>callback</key>
+      <value>set_freq</value>
+    </param>
+    <param>
+      <key>variable</key>
+      <value>freq</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(222, 34)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>variable_slider</key>
+    <param>
+      <key>id</key>
+      <value>freq</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>label</key>
+      <value>Frequency (Hz)</value>
+    </param>
+    <param>
+      <key>value</key>
+      <value>1000</value>
+    </param>
+    <param>
+      <key>min</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>max</key>
+      <value>5000</value>
+    </param>
+    <param>
+      <key>num_steps</key>
+      <value>100</value>
+    </param>
+    <param>
+      <key>slider_type</key>
+      <value>horizontal</value>
+    </param>
+    <param>
+      <key>grid_pos</key>
+      <value>0, 0, 1, 2</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(207, 162)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>variable_slider</key>
+    <param>
+      <key>id</key>
+      <value>ampl</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>label</key>
+      <value>Amplitude</value>
+    </param>
+    <param>
+      <key>value</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>min</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>max</key>
+      <value>2</value>
+    </param>
+    <param>
+      <key>num_steps</key>
+      <value>100</value>
+    </param>
+    <param>
+      <key>slider_type</key>
+      <value>horizontal</value>
+    </param>
+    <param>
+      <key>grid_pos</key>
+      <value>1, 0, 1, 2</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(397, 167)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>variable_chooser</key>
+    <param>
+      <key>id</key>
+      <value>offset</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>label</key>
+      <value>Offset</value>
+    </param>
+    <param>
+      <key>value_index</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>choices</key>
+      <value>[-1, 0, 1]</value>
+    </param>
+    <param>
+      <key>labels</key>
+      <value>["neg", "zero", "pos"]</value>
+    </param>
+    <param>
+      <key>chooser_type</key>
+      <value>radio_buttons_horizontal</value>
+    </param>
+    <param>
+      <key>grid_pos</key>
+      <value>2, 0, 1, 2</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(596, 177)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>xmlrpc_client</key>
+    <param>
+      <key>id</key>
+      <value>xmlrpc_client1</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>addr</key>
+      <value>localhost</value>
+    </param>
+    <param>
+      <key>port</key>
+      <value>1234</value>
+    </param>
+    <param>
+      <key>callback</key>
+      <value>set_offset</value>
+    </param>
+    <param>
+      <key>variable</key>
+      <value>offset*ampl</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(608, 39)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>variable</key>
+    <param>
+      <key>id</key>
+      <value>samp_rate</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>value</key>
+      <value>32000</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(13, 172)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+</flow_graph>
\ No newline at end of file
diff --git a/grc/examples/xmlrpc/xmlrpc_client_script.py b/grc/examples/xmlrpc/xmlrpc_client_script.py
new file mode 100644 (file)
index 0000000..956fa07
--- /dev/null
@@ -0,0 +1,23 @@
+#!/usr/bin/env python
+
+import time
+import random
+import xmlrpclib
+
+#create server object
+s = xmlrpclib.Server("http://localhost:1234")
+
+#randomly change parameters of the sinusoid
+for i in range(10):
+       #generate random values
+       new_freq = random.uniform(0, 5000)
+       new_ampl = random.uniform(0, 2)
+       new_offset = random.uniform(-1, 1)
+       #set new values 
+       time.sleep(1)
+       s.set_freq(new_freq)
+       time.sleep(1)
+       s.set_ampl(new_ampl)
+       time.sleep(1)
+       s.set_offset(new_offset)
+
diff --git a/grc/examples/xmlrpc/xmlrpc_server.grc b/grc/examples/xmlrpc/xmlrpc_server.grc
new file mode 100644 (file)
index 0000000..dc539ef
--- /dev/null
@@ -0,0 +1,384 @@
+<?xml version='1.0' encoding='ASCII'?>
+<flow_graph>
+  <timestamp>Thu Jul 24 14:27:42 2008</timestamp>
+  <block>
+    <key>options</key>
+    <param>
+      <key>id</key>
+      <value>server_block</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>title</key>
+      <value>XMLRPC Server</value>
+    </param>
+    <param>
+      <key>author</key>
+      <value>Example</value>
+    </param>
+    <param>
+      <key>description</key>
+      <value>example flow graph</value>
+    </param>
+    <param>
+      <key>window_size</key>
+      <value>1280, 1024</value>
+    </param>
+    <param>
+      <key>generate_options</key>
+      <value>wx_gui</value>
+    </param>
+    <param>
+      <key>category</key>
+      <value>Custom</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(10, 10)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>gr_sig_source_x</key>
+    <param>
+      <key>id</key>
+      <value>gr_sig_source_x</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>float</value>
+    </param>
+    <param>
+      <key>samp_rate</key>
+      <value>samp_rate</value>
+    </param>
+    <param>
+      <key>waveform</key>
+      <value>gr.GR_COS_WAVE</value>
+    </param>
+    <param>
+      <key>freq</key>
+      <value>freq</value>
+    </param>
+    <param>
+      <key>amp</key>
+      <value>ampl</value>
+    </param>
+    <param>
+      <key>offset</key>
+      <value>offset</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(162, 200)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>variable</key>
+    <param>
+      <key>id</key>
+      <value>offset</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>value</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(12, 390)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>xmlrpc_server</key>
+    <param>
+      <key>id</key>
+      <value>xmlrpc_server</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>addr</key>
+      <value>localhost</value>
+    </param>
+    <param>
+      <key>port</key>
+      <value>1234</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(395, 240)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>gr_throttle</key>
+    <param>
+      <key>id</key>
+      <value>gr_throttle</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>float</value>
+    </param>
+    <param>
+      <key>samples_per_second</key>
+      <value>samp_rate</value>
+    </param>
+    <param>
+      <key>vlen</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(386, 93)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>wxgui_scopesink2</key>
+    <param>
+      <key>id</key>
+      <value>wxgui_scopesink2</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>float</value>
+    </param>
+    <param>
+      <key>title</key>
+      <value>Scope Plot</value>
+    </param>
+    <param>
+      <key>samp_rate</key>
+      <value>samp_rate</value>
+    </param>
+    <param>
+      <key>frame_decim</key>
+      <value>15</value>
+    </param>
+    <param>
+      <key>v_scale</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>t_scale</key>
+      <value>.001</value>
+    </param>
+    <param>
+      <key>marker</key>
+      <value>set_format_line</value>
+    </param>
+    <param>
+      <key>num_inputs</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>grid_pos</key>
+      <value>0, 0, 2, 4</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(623, 28)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>wxgui_fftsink2</key>
+    <param>
+      <key>id</key>
+      <value>wxgui_fftsink2</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>type</key>
+      <value>float</value>
+    </param>
+    <param>
+      <key>title</key>
+      <value>FFT Plot</value>
+    </param>
+    <param>
+      <key>samp_rate</key>
+      <value>samp_rate</value>
+    </param>
+    <param>
+      <key>baseband_freq</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>y_per_div</key>
+      <value>10</value>
+    </param>
+    <param>
+      <key>y_divs</key>
+      <value>8</value>
+    </param>
+    <param>
+      <key>ref_level</key>
+      <value>50</value>
+    </param>
+    <param>
+      <key>fft_size</key>
+      <value>512</value>
+    </param>
+    <param>
+      <key>fft_rate</key>
+      <value>15</value>
+    </param>
+    <param>
+      <key>avg_alpha</key>
+      <value>0</value>
+    </param>
+    <param>
+      <key>average</key>
+      <value>False</value>
+    </param>
+    <param>
+      <key>peak_hold</key>
+      <value>False</value>
+    </param>
+    <param>
+      <key>grid_pos</key>
+      <value>2, 0, 2, 4</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(630, 233)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>variable</key>
+    <param>
+      <key>id</key>
+      <value>samp_rate</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>value</key>
+      <value>32000</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(11, 160)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>variable</key>
+    <param>
+      <key>id</key>
+      <value>freq</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>value</key>
+      <value>1000</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(11, 237)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <block>
+    <key>variable</key>
+    <param>
+      <key>id</key>
+      <value>ampl</value>
+    </param>
+    <param>
+      <key>_enabled</key>
+      <value>True</value>
+    </param>
+    <param>
+      <key>value</key>
+      <value>1</value>
+    </param>
+    <param>
+      <key>_coordinate</key>
+      <value>(13, 315)</value>
+    </param>
+    <param>
+      <key>_rotation</key>
+      <value>0</value>
+    </param>
+  </block>
+  <connection>
+    <source_block_id>gr_sig_source_x</source_block_id>
+    <sink_block_id>gr_throttle</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_throttle</source_block_id>
+    <sink_block_id>wxgui_scopesink2</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+  <connection>
+    <source_block_id>gr_throttle</source_block_id>
+    <sink_block_id>wxgui_fftsink2</sink_block_id>
+    <source_key>0</source_key>
+    <sink_key>0</sink_key>
+  </connection>
+</flow_graph>
\ No newline at end of file
diff --git a/grc/freedesktop/Makefile.in b/grc/freedesktop/Makefile.in
new file mode 100644 (file)
index 0000000..59a76ac
--- /dev/null
@@ -0,0 +1,988 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2008,2009 Free Software Foundation, Inc.
+#
+# This file is part of GNU Radio
+#
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+#
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+#
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+#
+
+#
+# Copyright 2008 Free Software Foundation, Inc.
+#
+# This file is part of GNU Radio
+#
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+#
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+#
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+#
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = README $(dist_bin_SCRIPTS) $(dist_ourdata_DATA) \
+       $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common $(top_srcdir)/grc/Makefile.inc
+subdir = grc/freedesktop
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+am__installdirs = "$(DESTDIR)$(bindir)" "$(DESTDIR)$(ourdatadir)"
+dist_binSCRIPT_INSTALL = $(INSTALL_SCRIPT)
+SCRIPTS = $(dist_bin_SCRIPTS)
+SOURCES =
+DIST_SOURCES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+dist_ourdataDATA_INSTALL = $(INSTALL_DATA)
+DATA = $(dist_ourdata_DATA)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+grc_src_prefix = $(pythondir)/gnuradio/grc
+grc_blocksdir = $(pkgdatadir)/grc/blocks
+ourdatadir = $(pkgdatadir)/grc/freedesktop
+dist_ourdata_DATA = \
+       grc-icon-256.png \
+       grc-icon-128.png \
+       grc-icon-64.png \
+       grc-icon-48.png \
+       grc-icon-32.png \
+       gnuradio-grc.xml \
+       gnuradio-grc.desktop \
+       gnuradio-usrp2_probe.desktop \
+       gnuradio-usrp_probe.desktop
+
+dist_bin_SCRIPTS = grc_setup_freedesktop
+EXTRA_DIST = $(srcdir)/grc_setup_freedesktop.in
+BUILT_SOURCES = grc_setup_freedesktop
+all: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/grc/Makefile.inc $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  grc/freedesktop/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  grc/freedesktop/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+install-dist_binSCRIPTS: $(dist_bin_SCRIPTS)
+       @$(NORMAL_INSTALL)
+       test -z "$(bindir)" || $(MKDIR_P) "$(DESTDIR)$(bindir)"
+       @list='$(dist_bin_SCRIPTS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         if test -f $$d$$p; then \
+           f=`echo "$$p" | sed 's|^.*/||;$(transform)'`; \
+           echo " $(dist_binSCRIPT_INSTALL) '$$d$$p' '$(DESTDIR)$(bindir)/$$f'"; \
+           $(dist_binSCRIPT_INSTALL) "$$d$$p" "$(DESTDIR)$(bindir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-dist_binSCRIPTS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_bin_SCRIPTS)'; for p in $$list; do \
+         f=`echo "$$p" | sed 's|^.*/||;$(transform)'`; \
+         echo " rm -f '$(DESTDIR)$(bindir)/$$f'"; \
+         rm -f "$(DESTDIR)$(bindir)/$$f"; \
+       done
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-dist_ourdataDATA: $(dist_ourdata_DATA)
+       @$(NORMAL_INSTALL)
+       test -z "$(ourdatadir)" || $(MKDIR_P) "$(DESTDIR)$(ourdatadir)"
+       @list='$(dist_ourdata_DATA)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(dist_ourdataDATA_INSTALL) '$$d$$p' '$(DESTDIR)$(ourdatadir)/$$f'"; \
+         $(dist_ourdataDATA_INSTALL) "$$d$$p" "$(DESTDIR)$(ourdatadir)/$$f"; \
+       done
+
+uninstall-dist_ourdataDATA:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_ourdata_DATA)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(ourdatadir)/$$f'"; \
+         rm -f "$(DESTDIR)$(ourdatadir)/$$f"; \
+       done
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) check-am
+all-am: Makefile $(SCRIPTS) $(DATA)
+installdirs:
+       for dir in "$(DESTDIR)$(bindir)" "$(DESTDIR)$(ourdatadir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+       -test -z "$(BUILT_SOURCES)" || rm -f $(BUILT_SOURCES)
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-dist_ourdataDATA
+       @$(NORMAL_INSTALL)
+       $(MAKE) $(AM_MAKEFLAGS) install-data-hook
+
+install-dvi: install-dvi-am
+
+install-exec-am: install-dist_binSCRIPTS
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-dist_binSCRIPTS uninstall-dist_ourdataDATA
+       @$(NORMAL_INSTALL)
+       $(MAKE) $(AM_MAKEFLAGS) uninstall-hook
+
+.MAKE: install-am install-data-am install-strip uninstall-am
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am install-data-hook \
+       install-dist_binSCRIPTS install-dist_ourdataDATA install-dvi \
+       install-dvi-am install-exec install-exec-am install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-ps install-ps-am \
+       install-strip installcheck installcheck-am installdirs \
+       maintainer-clean maintainer-clean-generic mostlyclean \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       uninstall uninstall-am uninstall-dist_binSCRIPTS \
+       uninstall-dist_ourdataDATA uninstall-hook
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+
+grc_setup_freedesktop: $(srcdir)/grc_setup_freedesktop.in Makefile
+       sed -e 's|@SRCDIR[@]|$(ourdatadir)|g' $< > $@
+
+install-data-hook:
+       @printf "\n*** GRC Post-Install Message ***\
+       \nTo install icons, mime type, and menu items\
+       \nfor a freedesktop.org system (Gnome/KDE/Xfce):\
+       \n  >>> sudo grc_setup_freedesktop install\n\n"
+
+uninstall-hook:
+       @printf "\n*** GRC Post-Uninstall Message ***\
+       \nTo uninstall icons, mime type, and menu items\
+       \nfor a freedesktop.org system (Gnome/KDE/Xfce):\
+       \n  >>> sudo grc_setup_freedesktop uninstall\n\n"
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/grc/freedesktop/gnuradio-grc.desktop b/grc/freedesktop/gnuradio-grc.desktop
new file mode 100644 (file)
index 0000000..d9c70ca
--- /dev/null
@@ -0,0 +1,8 @@
+[Desktop Entry]
+Version=1.0
+Type=Application
+Name=GRC
+Exec=grc %F
+Categories=Development;
+MimeType=application/gnuradio-grc;
+Icon=gnuradio-grc
diff --git a/grc/freedesktop/grc_setup_freedesktop b/grc/freedesktop/grc_setup_freedesktop
new file mode 100644 (file)
index 0000000..b3539cf
--- /dev/null
@@ -0,0 +1,58 @@
+#!/bin/bash
+##################################################
+# setup grc on a freedesktop platform
+# $1 should be install or uninstall
+# $2 is the optional path to the files
+# when $2 is unspecified, the path is:
+# /home/jcorgan/.local/share/gnuradio/grc/freedesktop
+##################################################
+
+ICON_SIZES="32 48 64 128 256"
+MENU_ITEMS="grc usrp2_probe usrp_probe"
+if [ -n "$2" ]; then
+       SRCDIR="$2"
+else
+       SRCDIR="/home/jcorgan/.local/share/gnuradio/grc/freedesktop"
+fi
+
+case "$1" in
+'install')
+       echo "Begin freedesktop install..."
+       for size in ${ICON_SIZES}; do \
+               echo "Install icon: ${size}x${size}"
+               xdg-icon-resource install --context mimetypes --theme gnome --size ${size} ${SRCDIR}/grc-icon-${size}.png application-gnuradio-grc; \
+               xdg-icon-resource install --context mimetypes --size ${size} ${SRCDIR}/grc-icon-${size}.png application-gnuradio-grc; \
+               xdg-icon-resource install --context apps --theme gnome --size ${size} ${SRCDIR}/grc-icon-${size}.png gnuradio-grc; \
+               xdg-icon-resource install --context apps --size ${size} ${SRCDIR}/grc-icon-${size}.png gnuradio-grc; \
+       done
+       echo "Install mime type"
+       xdg-mime install ${SRCDIR}/gnuradio-grc.xml
+       echo "Install menu items"
+       for menu_item in ${MENU_ITEMS}; do \
+               xdg-desktop-menu install ${SRCDIR}/gnuradio-${menu_item}.desktop; \
+       done
+       echo "Done!"
+       echo ""
+       ;;
+'uninstall')
+       echo "Begin freedesktop uninstall..."
+       for size in ${ICON_SIZES}; do \
+               echo "Uninstall icon: ${size}x${size}"
+               xdg-icon-resource uninstall --context mimetypes --theme gnome --size ${size} application-gnuradio-grc; \
+               xdg-icon-resource uninstall --context mimetypes --size ${size} application-gnuradio-grc; \
+               xdg-icon-resource uninstall --context apps --theme gnome --size ${size} gnuradio-grc; \
+               xdg-icon-resource uninstall --context apps --size ${size} gnuradio-grc; \
+       done
+       echo "Uninstall mime type"
+       xdg-mime uninstall ${SRCDIR}/gnuradio-grc.xml
+       echo "Uninstall menu items"
+       for menu_item in ${MENU_ITEMS}; do \
+               xdg-desktop-menu uninstall gnuradio-${menu_item}.desktop; \
+       done
+       echo "Done!"
+       echo ""
+       ;;
+*)
+       echo "Usage: $0 [install|uninstall]"
+       ;;
+esac
diff --git a/grc/grc.conf b/grc/grc.conf
new file mode 100644 (file)
index 0000000..348e18e
--- /dev/null
@@ -0,0 +1,9 @@
+# This file contains system wide configuration data for GNU Radio.
+# You may override any setting on a per-user basis by editing
+# ~/.gnuradio/config.conf
+
+[grc]
+pythonw = python
+doc_dir = /home/jcorgan/.local/share/doc/gnuradio-3.2.2
+global_blocks_path = /home/jcorgan/.local/share/gnuradio/grc/blocks
+local_blocks_path =
diff --git a/grc/grc_gnuradio/Makefile.in b/grc/grc_gnuradio/Makefile.in
new file mode 100644 (file)
index 0000000..13ed100
--- /dev/null
@@ -0,0 +1,1035 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2008 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = README $(blks2_python_PYTHON) $(root_python_PYTHON) \
+       $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common $(usrp_python_PYTHON) \
+       $(wxgui_python_PYTHON)
+subdir = grc/grc_gnuradio
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(blks2_pythondir)" \
+       "$(DESTDIR)$(root_pythondir)" "$(DESTDIR)$(usrp_pythondir)" \
+       "$(DESTDIR)$(wxgui_pythondir)"
+blks2_pythonPYTHON_INSTALL = $(INSTALL_DATA)
+root_pythonPYTHON_INSTALL = $(INSTALL_DATA)
+usrp_pythonPYTHON_INSTALL = $(INSTALL_DATA)
+wxgui_pythonPYTHON_INSTALL = $(INSTALL_DATA)
+py_compile = $(top_srcdir)/py-compile
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+grc_gnuradio_prefix = $(pythondir)/grc_gnuradio
+root_pythondir = $(grc_gnuradio_prefix)
+root_python_PYTHON = __init__.py
+blks2_pythondir = $(grc_gnuradio_prefix)/blks2
+blks2_python_PYTHON = \
+       blks2/__init__.py \
+       blks2/error_rate.py \
+       blks2/packet.py \
+       blks2/probe.py \
+       blks2/selector.py \
+       blks2/tcp.py \
+       blks2/variable_sink.py
+
+usrp_pythondir = $(grc_gnuradio_prefix)/usrp
+usrp_python_PYTHON = \
+       usrp/__init__.py \
+       usrp/common.py \
+       usrp/dual_usrp.py \
+       usrp/simple_usrp.py
+
+wxgui_pythondir = $(grc_gnuradio_prefix)/wxgui
+wxgui_python_PYTHON = \
+       wxgui/__init__.py \
+       wxgui/panel.py \
+       wxgui/top_block_gui.py
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  grc/grc_gnuradio/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  grc/grc_gnuradio/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-blks2_pythonPYTHON: $(blks2_python_PYTHON)
+       @$(NORMAL_INSTALL)
+       test -z "$(blks2_pythondir)" || $(MKDIR_P) "$(DESTDIR)$(blks2_pythondir)"
+       @list='$(blks2_python_PYTHON)'; dlist=''; for p in $$list; do\
+         if test -f "$$p"; then b=; else b="$(srcdir)/"; fi; \
+         if test -f $$b$$p; then \
+           f=$(am__strip_dir) \
+           dlist="$$dlist $$f"; \
+           echo " $(blks2_pythonPYTHON_INSTALL) '$$b$$p' '$(DESTDIR)$(blks2_pythondir)/$$f'"; \
+           $(blks2_pythonPYTHON_INSTALL) "$$b$$p" "$(DESTDIR)$(blks2_pythondir)/$$f"; \
+         else :; fi; \
+       done; \
+       if test -n "$$dlist"; then \
+         if test -z "$(DESTDIR)"; then \
+           PYTHON=$(PYTHON) $(py_compile) --basedir "$(blks2_pythondir)" $$dlist; \
+         else \
+           PYTHON=$(PYTHON) $(py_compile) --destdir "$(DESTDIR)" --basedir "$(blks2_pythondir)" $$dlist; \
+         fi; \
+       else :; fi
+
+uninstall-blks2_pythonPYTHON:
+       @$(NORMAL_UNINSTALL)
+       @list='$(blks2_python_PYTHON)'; dlist=''; for p in $$list; do\
+         f=$(am__strip_dir) \
+         rm -f "$(DESTDIR)$(blks2_pythondir)/$$f"; \
+         rm -f "$(DESTDIR)$(blks2_pythondir)/$${f}c"; \
+         rm -f "$(DESTDIR)$(blks2_pythondir)/$${f}o"; \
+       done
+install-root_pythonPYTHON: $(root_python_PYTHON)
+       @$(NORMAL_INSTALL)
+       test -z "$(root_pythondir)" || $(MKDIR_P) "$(DESTDIR)$(root_pythondir)"
+       @list='$(root_python_PYTHON)'; dlist=''; for p in $$list; do\
+         if test -f "$$p"; then b=; else b="$(srcdir)/"; fi; \
+         if test -f $$b$$p; then \
+           f=$(am__strip_dir) \
+           dlist="$$dlist $$f"; \
+           echo " $(root_pythonPYTHON_INSTALL) '$$b$$p' '$(DESTDIR)$(root_pythondir)/$$f'"; \
+           $(root_pythonPYTHON_INSTALL) "$$b$$p" "$(DESTDIR)$(root_pythondir)/$$f"; \
+         else :; fi; \
+       done; \
+       if test -n "$$dlist"; then \
+         if test -z "$(DESTDIR)"; then \
+           PYTHON=$(PYTHON) $(py_compile) --basedir "$(root_pythondir)" $$dlist; \
+         else \
+           PYTHON=$(PYTHON) $(py_compile) --destdir "$(DESTDIR)" --basedir "$(root_pythondir)" $$dlist; \
+         fi; \
+       else :; fi
+
+uninstall-root_pythonPYTHON:
+       @$(NORMAL_UNINSTALL)
+       @list='$(root_python_PYTHON)'; dlist=''; for p in $$list; do\
+         f=$(am__strip_dir) \
+         rm -f "$(DESTDIR)$(root_pythondir)/$$f"; \
+         rm -f "$(DESTDIR)$(root_pythondir)/$${f}c"; \
+         rm -f "$(DESTDIR)$(root_pythondir)/$${f}o"; \
+       done
+install-usrp_pythonPYTHON: $(usrp_python_PYTHON)
+       @$(NORMAL_INSTALL)
+       test -z "$(usrp_pythondir)" || $(MKDIR_P) "$(DESTDIR)$(usrp_pythondir)"
+       @list='$(usrp_python_PYTHON)'; dlist=''; for p in $$list; do\
+         if test -f "$$p"; then b=; else b="$(srcdir)/"; fi; \
+         if test -f $$b$$p; then \
+           f=$(am__strip_dir) \
+           dlist="$$dlist $$f"; \
+           echo " $(usrp_pythonPYTHON_INSTALL) '$$b$$p' '$(DESTDIR)$(usrp_pythondir)/$$f'"; \
+           $(usrp_pythonPYTHON_INSTALL) "$$b$$p" "$(DESTDIR)$(usrp_pythondir)/$$f"; \
+         else :; fi; \
+       done; \
+       if test -n "$$dlist"; then \
+         if test -z "$(DESTDIR)"; then \
+           PYTHON=$(PYTHON) $(py_compile) --basedir "$(usrp_pythondir)" $$dlist; \
+         else \
+           PYTHON=$(PYTHON) $(py_compile) --destdir "$(DESTDIR)" --basedir "$(usrp_pythondir)" $$dlist; \
+         fi; \
+       else :; fi
+
+uninstall-usrp_pythonPYTHON:
+       @$(NORMAL_UNINSTALL)
+       @list='$(usrp_python_PYTHON)'; dlist=''; for p in $$list; do\
+         f=$(am__strip_dir) \
+         rm -f "$(DESTDIR)$(usrp_pythondir)/$$f"; \
+         rm -f "$(DESTDIR)$(usrp_pythondir)/$${f}c"; \
+         rm -f "$(DESTDIR)$(usrp_pythondir)/$${f}o"; \
+       done
+install-wxgui_pythonPYTHON: $(wxgui_python_PYTHON)
+       @$(NORMAL_INSTALL)
+       test -z "$(wxgui_pythondir)" || $(MKDIR_P) "$(DESTDIR)$(wxgui_pythondir)"
+       @list='$(wxgui_python_PYTHON)'; dlist=''; for p in $$list; do\
+         if test -f "$$p"; then b=; else b="$(srcdir)/"; fi; \
+         if test -f $$b$$p; then \
+           f=$(am__strip_dir) \
+           dlist="$$dlist $$f"; \
+           echo " $(wxgui_pythonPYTHON_INSTALL) '$$b$$p' '$(DESTDIR)$(wxgui_pythondir)/$$f'"; \
+           $(wxgui_pythonPYTHON_INSTALL) "$$b$$p" "$(DESTDIR)$(wxgui_pythondir)/$$f"; \
+         else :; fi; \
+       done; \
+       if test -n "$$dlist"; then \
+         if test -z "$(DESTDIR)"; then \
+           PYTHON=$(PYTHON) $(py_compile) --basedir "$(wxgui_pythondir)" $$dlist; \
+         else \
+           PYTHON=$(PYTHON) $(py_compile) --destdir "$(DESTDIR)" --basedir "$(wxgui_pythondir)" $$dlist; \
+         fi; \
+       else :; fi
+
+uninstall-wxgui_pythonPYTHON:
+       @$(NORMAL_UNINSTALL)
+       @list='$(wxgui_python_PYTHON)'; dlist=''; for p in $$list; do\
+         f=$(am__strip_dir) \
+         rm -f "$(DESTDIR)$(wxgui_pythondir)/$$f"; \
+         rm -f "$(DESTDIR)$(wxgui_pythondir)/$${f}c"; \
+         rm -f "$(DESTDIR)$(wxgui_pythondir)/$${f}o"; \
+       done
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile
+installdirs:
+       for dir in "$(DESTDIR)$(blks2_pythondir)" "$(DESTDIR)$(root_pythondir)" "$(DESTDIR)$(usrp_pythondir)" "$(DESTDIR)$(wxgui_pythondir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-blks2_pythonPYTHON install-root_pythonPYTHON \
+       install-usrp_pythonPYTHON install-wxgui_pythonPYTHON
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-blks2_pythonPYTHON uninstall-root_pythonPYTHON \
+       uninstall-usrp_pythonPYTHON uninstall-wxgui_pythonPYTHON
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-blks2_pythonPYTHON install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-root_pythonPYTHON \
+       install-strip install-usrp_pythonPYTHON \
+       install-wxgui_pythonPYTHON installcheck installcheck-am \
+       installdirs maintainer-clean maintainer-clean-generic \
+       mostlyclean mostlyclean-generic mostlyclean-libtool pdf pdf-am \
+       ps ps-am uninstall uninstall-am uninstall-blks2_pythonPYTHON \
+       uninstall-root_pythonPYTHON uninstall-usrp_pythonPYTHON \
+       uninstall-wxgui_pythonPYTHON
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/grc/gui/Makefile.in b/grc/gui/Makefile.in
new file mode 100644 (file)
index 0000000..7c336a7
--- /dev/null
@@ -0,0 +1,963 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2008, 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+#
+# Copyright 2008 Free Software Foundation, Inc.
+#
+# This file is part of GNU Radio
+#
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+#
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+#
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+#
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(ourpython_PYTHON) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(top_srcdir)/Makefile.common \
+       $(top_srcdir)/grc/Makefile.inc
+subdir = grc/gui
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(ourpythondir)"
+ourpythonPYTHON_INSTALL = $(INSTALL_DATA)
+py_compile = $(top_srcdir)/py-compile
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+grc_src_prefix = $(pythondir)/gnuradio/grc
+grc_blocksdir = $(pkgdatadir)/grc/blocks
+ourpythondir = $(grc_src_prefix)/gui
+ourpython_PYTHON = \
+       Block.py \
+       Colors.py \
+       Constants.py \
+       Connection.py \
+       Element.py \
+       FlowGraph.py \
+       Param.py \
+       Platform.py \
+       Port.py \
+       Utils.py \
+       ActionHandler.py \
+       Actions.py \
+       Bars.py \
+       BlockTreeWindow.py \
+       Dialogs.py \
+       DrawingArea.py \
+       FileDialogs.py \
+       MainWindow.py \
+       Messages.py \
+       NotebookPage.py \
+       ParamsDialog.py \
+       Preferences.py \
+       StateCache.py \
+       __init__.py
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/grc/Makefile.inc $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  grc/gui/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  grc/gui/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-ourpythonPYTHON: $(ourpython_PYTHON)
+       @$(NORMAL_INSTALL)
+       test -z "$(ourpythondir)" || $(MKDIR_P) "$(DESTDIR)$(ourpythondir)"
+       @list='$(ourpython_PYTHON)'; dlist=''; for p in $$list; do\
+         if test -f "$$p"; then b=; else b="$(srcdir)/"; fi; \
+         if test -f $$b$$p; then \
+           f=$(am__strip_dir) \
+           dlist="$$dlist $$f"; \
+           echo " $(ourpythonPYTHON_INSTALL) '$$b$$p' '$(DESTDIR)$(ourpythondir)/$$f'"; \
+           $(ourpythonPYTHON_INSTALL) "$$b$$p" "$(DESTDIR)$(ourpythondir)/$$f"; \
+         else :; fi; \
+       done; \
+       if test -n "$$dlist"; then \
+         if test -z "$(DESTDIR)"; then \
+           PYTHON=$(PYTHON) $(py_compile) --basedir "$(ourpythondir)" $$dlist; \
+         else \
+           PYTHON=$(PYTHON) $(py_compile) --destdir "$(DESTDIR)" --basedir "$(ourpythondir)" $$dlist; \
+         fi; \
+       else :; fi
+
+uninstall-ourpythonPYTHON:
+       @$(NORMAL_UNINSTALL)
+       @list='$(ourpython_PYTHON)'; dlist=''; for p in $$list; do\
+         f=$(am__strip_dir) \
+         rm -f "$(DESTDIR)$(ourpythondir)/$$f"; \
+         rm -f "$(DESTDIR)$(ourpythondir)/$${f}c"; \
+         rm -f "$(DESTDIR)$(ourpythondir)/$${f}o"; \
+       done
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile
+installdirs:
+       for dir in "$(DESTDIR)$(ourpythondir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-ourpythonPYTHON
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-ourpythonPYTHON
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am install-dvi \
+       install-dvi-am install-exec install-exec-am install-html \
+       install-html-am install-info install-info-am install-man \
+       install-ourpythonPYTHON install-pdf install-pdf-am install-ps \
+       install-ps-am install-strip installcheck installcheck-am \
+       installdirs maintainer-clean maintainer-clean-generic \
+       mostlyclean mostlyclean-generic mostlyclean-libtool pdf pdf-am \
+       ps ps-am uninstall uninstall-am uninstall-ourpythonPYTHON
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/grc/gui/ParamsDialog.py b/grc/gui/ParamsDialog.py
new file mode 100644 (file)
index 0000000..ccf19d1
--- /dev/null
@@ -0,0 +1,145 @@
+"""
+Copyright 2007, 2008, 2009 Free Software Foundation, Inc.
+This file is part of GNU Radio
+
+GNU Radio Companion is free software; you can redistribute it and/or
+modify it under the terms of the GNU General Public License
+as published by the Free Software Foundation; either version 2
+of the License, or (at your option) any later version.
+
+GNU Radio Companion is distributed in the hope that it will be useful,
+but WITHOUT ANY WARRANTY; without even the implied warranty of
+MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+GNU General Public License for more details.
+
+You should have received a copy of the GNU General Public License
+along with this program; if not, write to the Free Software
+Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA  02110-1301, USA
+"""
+
+import pygtk
+pygtk.require('2.0')
+import gtk
+
+from Dialogs import TextDisplay
+from Constants import MIN_DIALOG_WIDTH, MIN_DIALOG_HEIGHT
+
+def get_title_label(title):
+       """
+       Get a title label for the params window.
+       The title will be bold, underlined, and left justified.
+       @param title the text of the title
+       @return a gtk object
+       """
+       label = gtk.Label()
+       label.set_markup('\n<b><span underline="low">%s</span>:</b>\n'%title)
+       hbox = gtk.HBox()
+       hbox.pack_start(label, False, False, padding=11)
+       return hbox
+
+class ParamsDialog(gtk.Dialog):
+       """A dialog box to set block parameters."""
+
+       def __init__(self, block):
+               """
+               SignalBlockParamsDialog contructor.
+               @param block the signal block
+               """
+               gtk.Dialog.__init__(self,
+                       title='Properties: %s'%block.get_name(),
+                       buttons=(gtk.STOCK_CLOSE, gtk.RESPONSE_CLOSE),
+               )
+               self.block = block
+               self.set_size_request(MIN_DIALOG_WIDTH, MIN_DIALOG_HEIGHT)
+               vbox = gtk.VBox()
+               #Add the title label
+               vbox.pack_start(get_title_label('Parameters'), False)
+               #Create the scrolled window to hold all the parameters
+               scrolled_window = gtk.ScrolledWindow()
+               scrolled_window.set_policy(gtk.POLICY_AUTOMATIC, gtk.POLICY_AUTOMATIC)
+               scrolled_window.add_with_viewport(vbox)
+               self.vbox.pack_start(scrolled_window, True)
+               #Error Messages for the block
+               self._error_box = gtk.VBox()
+               self._error_messages_text_display = TextDisplay()
+               self._error_box.pack_start(gtk.Label(), False, False, 7) #spacing
+               self._error_box.pack_start(get_title_label('Error Messages'), False)
+               self._error_box.pack_start(self._error_messages_text_display, False)
+               #Docs for the block
+               self._docs_box = err_box = gtk.VBox()
+               self._docs_text_display = TextDisplay()
+               self._docs_box.pack_start(gtk.Label(), False, False, 7) #spacing
+               self._docs_box.pack_start(get_title_label('Documentation'), False)
+               self._docs_box.pack_start(self._docs_text_display, False)
+               #Add all the parameters
+               for param in self.block.get_params():
+                       vbox.pack_start(param.get_input_object(self._handle_changed), False)
+               #Add the error and docs box
+               vbox.pack_start(self._error_box, False)
+               vbox.pack_start(self._docs_box, False)
+               #connect and show
+               self.connect('key_press_event', self._handle_key_press)
+               self.show_all()
+               #initial update
+               for param in self.block.get_params(): param.update()
+               self._update()
+
+       def _update(self):
+               """
+               Update the error messages box.
+               Hide the box if there are no errors.
+               Update the documentation block.
+               Hide the box if there are no docs.
+               """
+               self.block.validate()
+               #update the errors box
+               if self.block.is_valid(): self._error_box.hide()
+               else: self._error_box.show()
+               messages = '\n\n'.join(self.block.get_error_messages())
+               self._error_messages_text_display.set_text(messages)
+               #update the docs box
+               if self.block.get_doc(): self._docs_box.show()
+               else: self._docs_box.hide()
+               self._docs_text_display.set_text(self.block.get_doc())
+
+       def _handle_key_press(self, widget, event):
+               """
+               Handle key presses from the keyboard.
+               Call the ok response when enter is pressed.
+               @return false to forward the keypress
+               """
+               keyname = gtk.gdk.keyval_name(event.keyval)
+               if keyname == 'Return': self.response(gtk.RESPONSE_OK)
+               return False #forward the keypress
+
+       def _handle_changed(self, param):
+               """
+               A change occured, update any dependent parameters:
+               The enum inside the variable type may have changed and,
+               the variable param will need an external update.
+               @param param the graphical parameter that initiated the callback
+               """
+               #update dependent params
+               if param.is_enum():
+                       for other_param in param.get_parent().get_params():
+                               if param.get_key() is not other_param.get_key() and (
+                               param.get_key() in other_param._type or \
+                               param.get_key() in other_param._hide): other_param.update()
+               #update
+               self._update()
+               return True
+
+       def run(self):
+               """
+               Call run().
+               @return true if a change occured.
+               """
+               original_data = list()
+               for param in self.block.get_params():
+                       original_data.append(param.get_value())
+               gtk.Dialog.run(self)
+               self.destroy()
+               new_data = list()
+               for param in self.block.get_params():
+                       new_data.append(param.get_value())
+               return original_data != new_data
diff --git a/grc/python/Makefile.in b/grc/python/Makefile.in
new file mode 100644 (file)
index 0000000..60deee5
--- /dev/null
@@ -0,0 +1,981 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2008, 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+#
+# Copyright 2008 Free Software Foundation, Inc.
+#
+# This file is part of GNU Radio
+#
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+#
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+#
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+#
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(dist_ourdata_DATA) $(ourpython_PYTHON) \
+       $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common $(top_srcdir)/grc/Makefile.inc
+subdir = grc/python
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(ourpythondir)" \
+       "$(DESTDIR)$(ourdatadir)"
+ourpythonPYTHON_INSTALL = $(INSTALL_DATA)
+py_compile = $(top_srcdir)/py-compile
+dist_ourdataDATA_INSTALL = $(INSTALL_DATA)
+DATA = $(dist_ourdata_DATA)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+grc_src_prefix = $(pythondir)/gnuradio/grc
+grc_blocksdir = $(pkgdatadir)/grc/blocks
+ourpythondir = $(grc_src_prefix)/python
+ourpython_PYTHON = \
+       convert_hier.py \
+       expr_utils.py \
+       extract_category.py \
+       extract_docs.py \
+       Block.py \
+       Connection.py \
+       Constants.py \
+       FlowGraph.py \
+       Generator.py \
+       Param.py \
+       Platform.py \
+       Port.py \
+       __init__.py
+
+ourdatadir = $(grc_src_prefix)/python
+dist_ourdata_DATA = \
+       block.dtd \
+       default_flow_graph.grc \
+       flow_graph.tmpl
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/grc/Makefile.inc $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  grc/python/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  grc/python/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-ourpythonPYTHON: $(ourpython_PYTHON)
+       @$(NORMAL_INSTALL)
+       test -z "$(ourpythondir)" || $(MKDIR_P) "$(DESTDIR)$(ourpythondir)"
+       @list='$(ourpython_PYTHON)'; dlist=''; for p in $$list; do\
+         if test -f "$$p"; then b=; else b="$(srcdir)/"; fi; \
+         if test -f $$b$$p; then \
+           f=$(am__strip_dir) \
+           dlist="$$dlist $$f"; \
+           echo " $(ourpythonPYTHON_INSTALL) '$$b$$p' '$(DESTDIR)$(ourpythondir)/$$f'"; \
+           $(ourpythonPYTHON_INSTALL) "$$b$$p" "$(DESTDIR)$(ourpythondir)/$$f"; \
+         else :; fi; \
+       done; \
+       if test -n "$$dlist"; then \
+         if test -z "$(DESTDIR)"; then \
+           PYTHON=$(PYTHON) $(py_compile) --basedir "$(ourpythondir)" $$dlist; \
+         else \
+           PYTHON=$(PYTHON) $(py_compile) --destdir "$(DESTDIR)" --basedir "$(ourpythondir)" $$dlist; \
+         fi; \
+       else :; fi
+
+uninstall-ourpythonPYTHON:
+       @$(NORMAL_UNINSTALL)
+       @list='$(ourpython_PYTHON)'; dlist=''; for p in $$list; do\
+         f=$(am__strip_dir) \
+         rm -f "$(DESTDIR)$(ourpythondir)/$$f"; \
+         rm -f "$(DESTDIR)$(ourpythondir)/$${f}c"; \
+         rm -f "$(DESTDIR)$(ourpythondir)/$${f}o"; \
+       done
+install-dist_ourdataDATA: $(dist_ourdata_DATA)
+       @$(NORMAL_INSTALL)
+       test -z "$(ourdatadir)" || $(MKDIR_P) "$(DESTDIR)$(ourdatadir)"
+       @list='$(dist_ourdata_DATA)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(dist_ourdataDATA_INSTALL) '$$d$$p' '$(DESTDIR)$(ourdatadir)/$$f'"; \
+         $(dist_ourdataDATA_INSTALL) "$$d$$p" "$(DESTDIR)$(ourdatadir)/$$f"; \
+       done
+
+uninstall-dist_ourdataDATA:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_ourdata_DATA)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(ourdatadir)/$$f'"; \
+         rm -f "$(DESTDIR)$(ourdatadir)/$$f"; \
+       done
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile $(DATA)
+installdirs:
+       for dir in "$(DESTDIR)$(ourpythondir)" "$(DESTDIR)$(ourdatadir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-dist_ourdataDATA install-ourpythonPYTHON
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-dist_ourdataDATA uninstall-ourpythonPYTHON
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am \
+       install-dist_ourdataDATA install-dvi install-dvi-am \
+       install-exec install-exec-am install-html install-html-am \
+       install-info install-info-am install-man \
+       install-ourpythonPYTHON install-pdf install-pdf-am install-ps \
+       install-ps-am install-strip installcheck installcheck-am \
+       installdirs maintainer-clean maintainer-clean-generic \
+       mostlyclean mostlyclean-generic mostlyclean-libtool pdf pdf-am \
+       ps ps-am uninstall uninstall-am uninstall-dist_ourdataDATA \
+       uninstall-ourpythonPYTHON
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/grc/scripts/Makefile.in b/grc/scripts/Makefile.in
new file mode 100644 (file)
index 0000000..c56b362
--- /dev/null
@@ -0,0 +1,924 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2008 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+#
+# Copyright 2008 Free Software Foundation, Inc.
+#
+# This file is part of GNU Radio
+#
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+#
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+#
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+#
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(dist_bin_SCRIPTS) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(top_srcdir)/Makefile.common \
+       $(top_srcdir)/grc/Makefile.inc
+subdir = grc/scripts
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+am__installdirs = "$(DESTDIR)$(bindir)"
+dist_binSCRIPT_INSTALL = $(INSTALL_SCRIPT)
+SCRIPTS = $(dist_bin_SCRIPTS)
+SOURCES =
+DIST_SOURCES =
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+grc_src_prefix = $(pythondir)/gnuradio/grc
+grc_blocksdir = $(pkgdatadir)/grc/blocks
+dist_bin_SCRIPTS = grc usrp2_probe usrp_probe
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/grc/Makefile.inc $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  grc/scripts/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  grc/scripts/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+install-dist_binSCRIPTS: $(dist_bin_SCRIPTS)
+       @$(NORMAL_INSTALL)
+       test -z "$(bindir)" || $(MKDIR_P) "$(DESTDIR)$(bindir)"
+       @list='$(dist_bin_SCRIPTS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         if test -f $$d$$p; then \
+           f=`echo "$$p" | sed 's|^.*/||;$(transform)'`; \
+           echo " $(dist_binSCRIPT_INSTALL) '$$d$$p' '$(DESTDIR)$(bindir)/$$f'"; \
+           $(dist_binSCRIPT_INSTALL) "$$d$$p" "$(DESTDIR)$(bindir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-dist_binSCRIPTS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_bin_SCRIPTS)'; for p in $$list; do \
+         f=`echo "$$p" | sed 's|^.*/||;$(transform)'`; \
+         echo " rm -f '$(DESTDIR)$(bindir)/$$f'"; \
+         rm -f "$(DESTDIR)$(bindir)/$$f"; \
+       done
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile $(SCRIPTS)
+installdirs:
+       for dir in "$(DESTDIR)$(bindir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-am
+
+install-exec-am: install-dist_binSCRIPTS
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-dist_binSCRIPTS
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am \
+       install-dist_binSCRIPTS install-dvi install-dvi-am \
+       install-exec install-exec-am install-html install-html-am \
+       install-info install-info-am install-man install-pdf \
+       install-pdf-am install-ps install-ps-am install-strip \
+       installcheck installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am uninstall uninstall-am \
+       uninstall-dist_binSCRIPTS
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/grc/scripts/grc b/grc/scripts/grc
new file mode 100755 (executable)
index 0000000..8a6cc0a
--- /dev/null
@@ -0,0 +1,54 @@
+#!/usr/bin/env python
+"""
+Copyright 2009 Free Software Foundation, Inc.
+This file is part of GNU Radio
+
+GNU Radio Companion is free software; you can redistribute it and/or
+modify it under the terms of the GNU General Public License
+as published by the Free Software Foundation; either version 2
+of the License, or (at your option) any later version.
+
+GNU Radio Companion is distributed in the hope that it will be useful,
+but WITHOUT ANY WARRANTY; without even the implied warranty of
+MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+GNU General Public License for more details.
+
+You should have received a copy of the GNU General Public License
+along with this program; if not, write to the Free Software
+Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA  02110-1301, USA
+"""
+
+import pygtk
+pygtk.require('2.0')
+import gtk
+
+try: from gnuradio import gr
+except ImportError, e:
+       d = gtk.MessageDialog(type=gtk.MESSAGE_ERROR, buttons=gtk.BUTTONS_CLOSE, message_format="""
+Cannot import gnuradio. Are your PYTHONPATH and LD_LIBRARY_PATH set correctly?""")
+       d.set_title(str(e))
+       d.run()
+       exit(-1)
+
+from gnuradio.grc import VERSION
+from optparse import OptionParser
+
+if __name__ == "__main__":
+       usage = 'usage: %prog [options] [saved flow graphs]'
+       version = """
+GNU Radio Companion %s
+
+This program is part of GNU Radio
+GRC comes with ABSOLUTELY NO WARRANTY.
+This is free software,
+and you are welcome to redistribute it.
+"""%VERSION
+       parser = OptionParser(usage=usage, version=version)
+       (options, args) = parser.parse_args()
+       from gnuradio.grc.python.Platform import Platform
+       from gnuradio.grc.gui.ActionHandler import ActionHandler
+       #setup icon using icon theme
+       try: gtk.window_set_default_icon(gtk.IconTheme().load_icon('gnuradio-grc', 256, 0))
+       except: pass
+       ActionHandler(args, Platform())
+
diff --git a/gruel/Makefile.in b/gruel/Makefile.in
new file mode 100644 (file)
index 0000000..e2058ed
--- /dev/null
@@ -0,0 +1,1068 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2008 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = README $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(srcdir)/gruel.pc.in $(top_srcdir)/Makefile.common
+subdir = gruel
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES = gruel.pc
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(pkgconfigdir)"
+pkgconfigDATA_INSTALL = $(INSTALL_DATA)
+DATA = $(pkgconfig_DATA)
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+EXTRA_DIST = \
+    gruel.pc.in
+
+SUBDIRS = src
+pkgconfigdir = $(libdir)/pkgconfig
+pkgconfig_DATA = gruel.pc
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gruel/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gruel/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+gruel.pc: $(top_builddir)/config.status $(srcdir)/gruel.pc.in
+       cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-pkgconfigDATA: $(pkgconfig_DATA)
+       @$(NORMAL_INSTALL)
+       test -z "$(pkgconfigdir)" || $(MKDIR_P) "$(DESTDIR)$(pkgconfigdir)"
+       @list='$(pkgconfig_DATA)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(pkgconfigDATA_INSTALL) '$$d$$p' '$(DESTDIR)$(pkgconfigdir)/$$f'"; \
+         $(pkgconfigDATA_INSTALL) "$$d$$p" "$(DESTDIR)$(pkgconfigdir)/$$f"; \
+       done
+
+uninstall-pkgconfigDATA:
+       @$(NORMAL_UNINSTALL)
+       @list='$(pkgconfig_DATA)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(pkgconfigdir)/$$f'"; \
+         rm -f "$(DESTDIR)$(pkgconfigdir)/$$f"; \
+       done
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-recursive
+all-am: Makefile $(DATA)
+installdirs: installdirs-recursive
+installdirs-am:
+       for dir in "$(DESTDIR)$(pkgconfigdir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am: install-pkgconfigDATA
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am: uninstall-pkgconfigDATA
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive dist-hook distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-pkgconfigDATA install-ps install-ps-am install-strip \
+       installcheck installcheck-am installdirs installdirs-am \
+       maintainer-clean maintainer-clean-generic mostlyclean \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       tags tags-recursive uninstall uninstall-am \
+       uninstall-pkgconfigDATA
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gruel/src/Makefile.in b/gruel/src/Makefile.in
new file mode 100644 (file)
index 0000000..a202ee1
--- /dev/null
@@ -0,0 +1,896 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2008 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+subdir = gruel/src
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+SUBDIRS = include lib
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am  $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gruel/src/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gruel/src/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+check-am: all-am
+check: check-recursive
+all-am: Makefile
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am
+
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gruel/src/include/Makefile.in b/gruel/src/include/Makefile.in
new file mode 100644 (file)
index 0000000..c466826
--- /dev/null
@@ -0,0 +1,896 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2008 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+subdir = gruel/src/include
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+SUBDIRS = gruel
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am  $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gruel/src/include/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gruel/src/include/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+check-am: all-am
+check: check-recursive
+all-am: Makefile
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am
+
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gruel/src/include/gruel/Makefile.in b/gruel/src/include/gruel/Makefile.in
new file mode 100644 (file)
index 0000000..396e115
--- /dev/null
@@ -0,0 +1,964 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2008 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(gruelinclude_HEADERS) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(srcdir)/inet.h.in \
+       $(top_srcdir)/Makefile.common
+subdir = gruel/src/include/gruel
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES = inet.h
+SOURCES =
+DIST_SOURCES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(gruelincludedir)"
+gruelincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+HEADERS = $(gruelinclude_HEADERS)
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+BUILT_SOURCES = \
+       inet.h
+
+gruelincludedir = $(prefix)/include/gruel
+gruelinclude_HEADERS = \
+       $(BUILT_SOURCES) \
+       realtime.h \
+       sys_pri.h \
+       thread_body_wrapper.h \
+       thread_group.h
+
+all: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gruel/src/include/gruel/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gruel/src/include/gruel/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+inet.h: $(top_builddir)/config.status $(srcdir)/inet.h.in
+       cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-gruelincludeHEADERS: $(gruelinclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(gruelincludedir)" || $(MKDIR_P) "$(DESTDIR)$(gruelincludedir)"
+       @list='$(gruelinclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(gruelincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(gruelincludedir)/$$f'"; \
+         $(gruelincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(gruelincludedir)/$$f"; \
+       done
+
+uninstall-gruelincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(gruelinclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(gruelincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(gruelincludedir)/$$f"; \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) check-am
+all-am: Makefile $(HEADERS)
+installdirs:
+       for dir in "$(DESTDIR)$(gruelincludedir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+       -test -z "$(BUILT_SOURCES)" || rm -f $(BUILT_SOURCES)
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-gruelincludeHEADERS
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-gruelincludeHEADERS
+
+.MAKE: install-am install-strip
+
+.PHONY: CTAGS GTAGS all all-am check check-am clean clean-generic \
+       clean-libtool ctags dist-hook distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-gruelincludeHEADERS install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-ps install-ps-am \
+       install-strip installcheck installcheck-am installdirs \
+       maintainer-clean maintainer-clean-generic mostlyclean \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       tags uninstall uninstall-am uninstall-gruelincludeHEADERS
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/gruel/src/include/gruel/inet.h b/gruel/src/include/gruel/inet.h
new file mode 100644 (file)
index 0000000..61a1468
--- /dev/null
@@ -0,0 +1,68 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2008 Free Software Foundation, Inc.
+ *
+ * This program is free software: you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation, either version 3 of the License, or
+ * (at your option) any later version.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with this program.  If not, see <http://www.gnu.org/licenses/>.
+ */
+
+#ifndef INCLUDED_INET_H
+#define INCLUDED_INET_H
+
+#if 1  /* GR_HAVE_ARPA_INET */
+#include <arpa/inet.h>
+#elif 1  /* GR_HAVE_NETINET_IN */
+#include <netinet/in.h>
+#else
+#include <stdint.h>
+
+#if 0  /* GR_ARCH_BIGENDIAN */
+// Nothing to do...
+static inline uint32_t htonl(uint32_t x){ return x; }
+static inline uint16_t htons(uint16_t x){ return x; }
+static inline uint32_t ntohl(uint32_t x){ return x; }
+static inline uint16_t ntohs(uint16_t x){ return x; }
+#else
+#if 1  /* GR_HAVE_BYTESWAP */
+#include <byteswap.h>
+#else
+static inline uint16_t
+bswap_16 (uint16_t x)
+{
+  return ((((x) >> 8) & 0xff) | (((x) & 0xff) << 8));
+}
+
+static inline uint32_t
+bswap_32 (uint32_t x)
+{
+  return ((((x) & 0xff000000) >> 24) | (((x) & 0x00ff0000) >>  8) \
+        | (((x) & 0x0000ff00) <<  8) | (((x) & 0x000000ff) << 24));
+}
+#endif /* GR_HAVE_BYTESWAP */
+
+static inline uint32_t htonl(uint32_t x){ return bswap_32(x); }
+static inline uint16_t htons(uint16_t x){ return bswap_16(x); }
+static inline uint32_t ntohl(uint32_t x){ return bswap_32(x); }
+static inline uint16_t ntohs(uint16_t x){ return bswap_16(x); }
+#endif /* GR_ARCH_BIGENDIAN */
+
+#endif /* !(GR_HAVE_NETINET_IN || GR_HAVE_ARPA_INET) */
+
+static inline uint8_t  ntohx(uint8_t  x){ return x;        }
+static inline uint16_t ntohx(uint16_t x){ return ntohs(x); }
+static inline uint32_t ntohx(uint32_t x){ return ntohl(x); }
+static inline uint8_t  htonx(uint8_t  x){ return x;        }
+static inline uint16_t htonx(uint16_t x){ return htons(x); }
+static inline uint32_t htonx(uint32_t x){ return htonl(x); }
+
+#endif /* INCLUDED_INET_H */
diff --git a/gruel/src/lib/Makefile.in b/gruel/src/lib/Makefile.in
new file mode 100644 (file)
index 0000000..dd4f56d
--- /dev/null
@@ -0,0 +1,1036 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2008 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(noinst_HEADERS) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(top_srcdir)/Makefile.common
+subdir = gruel/src/lib
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(libdir)"
+libLTLIBRARIES_INSTALL = $(INSTALL)
+LTLIBRARIES = $(lib_LTLIBRARIES)
+am__DEPENDENCIES_1 =
+libgruel_la_DEPENDENCIES = $(am__DEPENDENCIES_1)
+am_libgruel_la_OBJECTS = realtime.lo sys_pri.lo thread_body_wrapper.lo \
+       thread_group.lo
+libgruel_la_OBJECTS = $(am_libgruel_la_OBJECTS)
+libgruel_la_LINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) \
+       $(LIBTOOLFLAGS) --mode=link $(CXXLD) $(AM_CXXFLAGS) \
+       $(CXXFLAGS) $(libgruel_la_LDFLAGS) $(LDFLAGS) -o $@
+DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
+depcomp = $(SHELL) $(top_srcdir)/depcomp
+am__depfiles_maybe = depfiles
+CXXCOMPILE = $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+LTCXXCOMPILE = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+CXXLD = $(CXX)
+CXXLINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CXXLD) $(AM_CXXFLAGS) $(CXXFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+SOURCES = $(libgruel_la_SOURCES)
+DIST_SOURCES = $(libgruel_la_SOURCES)
+HEADERS = $(noinst_HEADERS)
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+AM_CPPFLAGS = $(DEFINES) $(BOOST_CPPFLAGS) $(GRUEL_INCLUDES) $(WITH_INCLUDES)
+lib_LTLIBRARIES = libgruel.la
+
+# magic flags
+libgruel_la_LDFLAGS = $(NO_UNDEFINED) $(BOOST_LDFLAGS) -version-info 0:0:0
+
+# These are the source files that go into the gruel shared library
+libgruel_la_SOURCES = \
+       realtime.cc \
+       sys_pri.cc \
+       thread_body_wrapper.cc \
+       thread_group.cc
+
+libgruel_la_LIBADD = \
+       $(BOOST_THREAD_LIB)
+
+noinst_HEADERS = 
+all: all-am
+
+.SUFFIXES:
+.SUFFIXES: .cc .lo .o .obj
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  gruel/src/lib/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  gruel/src/lib/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+install-libLTLIBRARIES: $(lib_LTLIBRARIES)
+       @$(NORMAL_INSTALL)
+       test -z "$(libdir)" || $(MKDIR_P) "$(DESTDIR)$(libdir)"
+       @list='$(lib_LTLIBRARIES)'; for p in $$list; do \
+         if test -f $$p; then \
+           f=$(am__strip_dir) \
+           echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(libLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) '$$p' '$(DESTDIR)$(libdir)/$$f'"; \
+           $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(libLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) "$$p" "$(DESTDIR)$(libdir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-libLTLIBRARIES:
+       @$(NORMAL_UNINSTALL)
+       @list='$(lib_LTLIBRARIES)'; for p in $$list; do \
+         p=$(am__strip_dir) \
+         echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f '$(DESTDIR)$(libdir)/$$p'"; \
+         $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f "$(DESTDIR)$(libdir)/$$p"; \
+       done
+
+clean-libLTLIBRARIES:
+       -test -z "$(lib_LTLIBRARIES)" || rm -f $(lib_LTLIBRARIES)
+       @list='$(lib_LTLIBRARIES)'; for p in $$list; do \
+         dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
+         test "$$dir" != "$$p" || dir=.; \
+         echo "rm -f \"$${dir}/so_locations\""; \
+         rm -f "$${dir}/so_locations"; \
+       done
+libgruel.la: $(libgruel_la_OBJECTS) $(libgruel_la_DEPENDENCIES) 
+       $(libgruel_la_LINK) -rpath $(libdir) $(libgruel_la_OBJECTS) $(libgruel_la_LIBADD) $(LIBS)
+
+mostlyclean-compile:
+       -rm -f *.$(OBJEXT)
+
+distclean-compile:
+       -rm -f *.tab.c
+
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/realtime.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/sys_pri.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/thread_body_wrapper.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/thread_group.Plo@am__quote@
+
+.cc.o:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ $<
+
+.cc.obj:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ `$(CYGPATH_W) '$<'`
+
+.cc.lo:
+@am__fastdepCXX_TRUE@  $(LTCXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LTCXXCOMPILE) -c -o $@ $<
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile $(LTLIBRARIES) $(HEADERS)
+installdirs:
+       for dir in "$(DESTDIR)$(libdir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libLTLIBRARIES clean-libtool \
+       mostlyclean-am
+
+distclean: distclean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+distclean-am: clean-am distclean-compile distclean-generic \
+       distclean-tags
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-am
+
+install-exec-am: install-libLTLIBRARIES
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-compile mostlyclean-generic \
+       mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-libLTLIBRARIES
+
+.MAKE: install-am install-strip
+
+.PHONY: CTAGS GTAGS all all-am check check-am clean clean-generic \
+       clean-libLTLIBRARIES clean-libtool ctags dist-hook distclean \
+       distclean-compile distclean-generic distclean-libtool \
+       distclean-tags distdir dvi dvi-am html html-am info info-am \
+       install install-am install-data install-data-am install-dvi \
+       install-dvi-am install-exec install-exec-am install-html \
+       install-html-am install-info install-info-am \
+       install-libLTLIBRARIES install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-compile \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       tags uninstall uninstall-am uninstall-libLTLIBRARIES
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/install-sh b/install-sh
new file mode 100755 (executable)
index 0000000..a5897de
--- /dev/null
@@ -0,0 +1,519 @@
+#!/bin/sh
+# install - install a program, script, or datafile
+
+scriptversion=2006-12-25.00
+
+# This originates from X11R5 (mit/util/scripts/install.sh), which was
+# later released in X11R6 (xc/config/util/install.sh) with the
+# following copyright and license.
+#
+# Copyright (C) 1994 X Consortium
+#
+# Permission is hereby granted, free of charge, to any person obtaining a copy
+# of this software and associated documentation files (the "Software"), to
+# deal in the Software without restriction, including without limitation the
+# rights to use, copy, modify, merge, publish, distribute, sublicense, and/or
+# sell copies of the Software, and to permit persons to whom the Software is
+# furnished to do so, subject to the following conditions:
+#
+# The above copyright notice and this permission notice shall be included in
+# all copies or substantial portions of the Software.
+#
+# THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
+# IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
+# FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT.  IN NO EVENT SHALL THE
+# X CONSORTIUM BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN
+# AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNEC-
+# TION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.
+#
+# Except as contained in this notice, the name of the X Consortium shall not
+# be used in advertising or otherwise to promote the sale, use or other deal-
+# ings in this Software without prior written authorization from the X Consor-
+# tium.
+#
+#
+# FSF changes to this file are in the public domain.
+#
+# Calling this script install-sh is preferred over install.sh, to prevent
+# `make' implicit rules from creating a file called install from it
+# when there is no Makefile.
+#
+# This script is compatible with the BSD install script, but was written
+# from scratch.
+
+nl='
+'
+IFS=" ""       $nl"
+
+# set DOITPROG to echo to test this script
+
+# Don't use :- since 4.3BSD and earlier shells don't like it.
+doit=${DOITPROG-}
+if test -z "$doit"; then
+  doit_exec=exec
+else
+  doit_exec=$doit
+fi
+
+# Put in absolute file names if you don't have them in your path;
+# or use environment vars.
+
+chgrpprog=${CHGRPPROG-chgrp}
+chmodprog=${CHMODPROG-chmod}
+chownprog=${CHOWNPROG-chown}
+cmpprog=${CMPPROG-cmp}
+cpprog=${CPPROG-cp}
+mkdirprog=${MKDIRPROG-mkdir}
+mvprog=${MVPROG-mv}
+rmprog=${RMPROG-rm}
+stripprog=${STRIPPROG-strip}
+
+posix_glob='?'
+initialize_posix_glob='
+  test "$posix_glob" != "?" || {
+    if (set -f) 2>/dev/null; then
+      posix_glob=
+    else
+      posix_glob=:
+    fi
+  }
+'
+
+posix_mkdir=
+
+# Desired mode of installed file.
+mode=0755
+
+chgrpcmd=
+chmodcmd=$chmodprog
+chowncmd=
+mvcmd=$mvprog
+rmcmd="$rmprog -f"
+stripcmd=
+
+src=
+dst=
+dir_arg=
+dst_arg=
+
+copy_on_change=false
+no_target_directory=
+
+usage="\
+Usage: $0 [OPTION]... [-T] SRCFILE DSTFILE
+   or: $0 [OPTION]... SRCFILES... DIRECTORY
+   or: $0 [OPTION]... -t DIRECTORY SRCFILES...
+   or: $0 [OPTION]... -d DIRECTORIES...
+
+In the 1st form, copy SRCFILE to DSTFILE.
+In the 2nd and 3rd, copy all SRCFILES to DIRECTORY.
+In the 4th, create DIRECTORIES.
+
+Options:
+     --help     display this help and exit.
+     --version  display version info and exit.
+
+  -c            (ignored)
+  -C            install only if different (preserve the last data modification time)
+  -d            create directories instead of installing files.
+  -g GROUP      $chgrpprog installed files to GROUP.
+  -m MODE       $chmodprog installed files to MODE.
+  -o USER       $chownprog installed files to USER.
+  -s            $stripprog installed files.
+  -t DIRECTORY  install into DIRECTORY.
+  -T            report an error if DSTFILE is a directory.
+
+Environment variables override the default commands:
+  CHGRPPROG CHMODPROG CHOWNPROG CMPPROG CPPROG MKDIRPROG MVPROG
+  RMPROG STRIPPROG
+"
+
+while test $# -ne 0; do
+  case $1 in
+    -c) ;;
+
+    -C) copy_on_change=true;;
+
+    -d) dir_arg=true;;
+
+    -g) chgrpcmd="$chgrpprog $2"
+       shift;;
+
+    --help) echo "$usage"; exit $?;;
+
+    -m) mode=$2
+       case $mode in
+         *' '* | *'    '* | *'
+'*       | *'*'* | *'?'* | *'['*)
+           echo "$0: invalid mode: $mode" >&2
+           exit 1;;
+       esac
+       shift;;
+
+    -o) chowncmd="$chownprog $2"
+       shift;;
+
+    -s) stripcmd=$stripprog;;
+
+    -t) dst_arg=$2
+       shift;;
+
+    -T) no_target_directory=true;;
+
+    --version) echo "$0 $scriptversion"; exit $?;;
+
+    --)        shift
+       break;;
+
+    -*)        echo "$0: invalid option: $1" >&2
+       exit 1;;
+
+    *)  break;;
+  esac
+  shift
+done
+
+if test $# -ne 0 && test -z "$dir_arg$dst_arg"; then
+  # When -d is used, all remaining arguments are directories to create.
+  # When -t is used, the destination is already specified.
+  # Otherwise, the last argument is the destination.  Remove it from $@.
+  for arg
+  do
+    if test -n "$dst_arg"; then
+      # $@ is not empty: it contains at least $arg.
+      set fnord "$@" "$dst_arg"
+      shift # fnord
+    fi
+    shift # arg
+    dst_arg=$arg
+  done
+fi
+
+if test $# -eq 0; then
+  if test -z "$dir_arg"; then
+    echo "$0: no input file specified." >&2
+    exit 1
+  fi
+  # It's OK to call `install-sh -d' without argument.
+  # This can happen when creating conditional directories.
+  exit 0
+fi
+
+if test -z "$dir_arg"; then
+  trap '(exit $?); exit' 1 2 13 15
+
+  # Set umask so as not to create temps with too-generous modes.
+  # However, 'strip' requires both read and write access to temps.
+  case $mode in
+    # Optimize common cases.
+    *644) cp_umask=133;;
+    *755) cp_umask=22;;
+
+    *[0-7])
+      if test -z "$stripcmd"; then
+       u_plus_rw=
+      else
+       u_plus_rw='% 200'
+      fi
+      cp_umask=`expr '(' 777 - $mode % 1000 ')' $u_plus_rw`;;
+    *)
+      if test -z "$stripcmd"; then
+       u_plus_rw=
+      else
+       u_plus_rw=,u+rw
+      fi
+      cp_umask=$mode$u_plus_rw;;
+  esac
+fi
+
+for src
+do
+  # Protect names starting with `-'.
+  case $src in
+    -*) src=./$src;;
+  esac
+
+  if test -n "$dir_arg"; then
+    dst=$src
+    dstdir=$dst
+    test -d "$dstdir"
+    dstdir_status=$?
+  else
+
+    # Waiting for this to be detected by the "$cpprog $src $dsttmp" command
+    # might cause directories to be created, which would be especially bad
+    # if $src (and thus $dsttmp) contains '*'.
+    if test ! -f "$src" && test ! -d "$src"; then
+      echo "$0: $src does not exist." >&2
+      exit 1
+    fi
+
+    if test -z "$dst_arg"; then
+      echo "$0: no destination specified." >&2
+      exit 1
+    fi
+
+    dst=$dst_arg
+    # Protect names starting with `-'.
+    case $dst in
+      -*) dst=./$dst;;
+    esac
+
+    # If destination is a directory, append the input filename; won't work
+    # if double slashes aren't ignored.
+    if test -d "$dst"; then
+      if test -n "$no_target_directory"; then
+       echo "$0: $dst_arg: Is a directory" >&2
+       exit 1
+      fi
+      dstdir=$dst
+      dst=$dstdir/`basename "$src"`
+      dstdir_status=0
+    else
+      # Prefer dirname, but fall back on a substitute if dirname fails.
+      dstdir=`
+       (dirname "$dst") 2>/dev/null ||
+       expr X"$dst" : 'X\(.*[^/]\)//*[^/][^/]*/*$' \| \
+            X"$dst" : 'X\(//\)[^/]' \| \
+            X"$dst" : 'X\(//\)$' \| \
+            X"$dst" : 'X\(/\)' \| . 2>/dev/null ||
+       echo X"$dst" |
+           sed '/^X\(.*[^/]\)\/\/*[^/][^/]*\/*$/{
+                  s//\1/
+                  q
+                }
+                /^X\(\/\/\)[^/].*/{
+                  s//\1/
+                  q
+                }
+                /^X\(\/\/\)$/{
+                  s//\1/
+                  q
+                }
+                /^X\(\/\).*/{
+                  s//\1/
+                  q
+                }
+                s/.*/./; q'
+      `
+
+      test -d "$dstdir"
+      dstdir_status=$?
+    fi
+  fi
+
+  obsolete_mkdir_used=false
+
+  if test $dstdir_status != 0; then
+    case $posix_mkdir in
+      '')
+       # Create intermediate dirs using mode 755 as modified by the umask.
+       # This is like FreeBSD 'install' as of 1997-10-28.
+       umask=`umask`
+       case $stripcmd.$umask in
+         # Optimize common cases.
+         *[2367][2367]) mkdir_umask=$umask;;
+         .*0[02][02] | .[02][02] | .[02]) mkdir_umask=22;;
+
+         *[0-7])
+           mkdir_umask=`expr $umask + 22 \
+             - $umask % 100 % 40 + $umask % 20 \
+             - $umask % 10 % 4 + $umask % 2
+           `;;
+         *) mkdir_umask=$umask,go-w;;
+       esac
+
+       # With -d, create the new directory with the user-specified mode.
+       # Otherwise, rely on $mkdir_umask.
+       if test -n "$dir_arg"; then
+         mkdir_mode=-m$mode
+       else
+         mkdir_mode=
+       fi
+
+       posix_mkdir=false
+       case $umask in
+         *[123567][0-7][0-7])
+           # POSIX mkdir -p sets u+wx bits regardless of umask, which
+           # is incompatible with FreeBSD 'install' when (umask & 300) != 0.
+           ;;
+         *)
+           tmpdir=${TMPDIR-/tmp}/ins$RANDOM-$$
+           trap 'ret=$?; rmdir "$tmpdir/d" "$tmpdir" 2>/dev/null; exit $ret' 0
+
+           if (umask $mkdir_umask &&
+               exec $mkdirprog $mkdir_mode -p -- "$tmpdir/d") >/dev/null 2>&1
+           then
+             if test -z "$dir_arg" || {
+                  # Check for POSIX incompatibilities with -m.
+                  # HP-UX 11.23 and IRIX 6.5 mkdir -m -p sets group- or
+                  # other-writeable bit of parent directory when it shouldn't.
+                  # FreeBSD 6.1 mkdir -m -p sets mode of existing directory.
+                  ls_ld_tmpdir=`ls -ld "$tmpdir"`
+                  case $ls_ld_tmpdir in
+                    d????-?r-*) different_mode=700;;
+                    d????-?--*) different_mode=755;;
+                    *) false;;
+                  esac &&
+                  $mkdirprog -m$different_mode -p -- "$tmpdir" && {
+                    ls_ld_tmpdir_1=`ls -ld "$tmpdir"`
+                    test "$ls_ld_tmpdir" = "$ls_ld_tmpdir_1"
+                  }
+                }
+             then posix_mkdir=:
+             fi
+             rmdir "$tmpdir/d" "$tmpdir"
+           else
+             # Remove any dirs left behind by ancient mkdir implementations.
+             rmdir ./$mkdir_mode ./-p ./-- 2>/dev/null
+           fi
+           trap '' 0;;
+       esac;;
+    esac
+
+    if
+      $posix_mkdir && (
+       umask $mkdir_umask &&
+       $doit_exec $mkdirprog $mkdir_mode -p -- "$dstdir"
+      )
+    then :
+    else
+
+      # The umask is ridiculous, or mkdir does not conform to POSIX,
+      # or it failed possibly due to a race condition.  Create the
+      # directory the slow way, step by step, checking for races as we go.
+
+      case $dstdir in
+       /*) prefix='/';;
+       -*) prefix='./';;
+       *)  prefix='';;
+      esac
+
+      eval "$initialize_posix_glob"
+
+      oIFS=$IFS
+      IFS=/
+      $posix_glob set -f
+      set fnord $dstdir
+      shift
+      $posix_glob set +f
+      IFS=$oIFS
+
+      prefixes=
+
+      for d
+      do
+       test -z "$d" && continue
+
+       prefix=$prefix$d
+       if test -d "$prefix"; then
+         prefixes=
+       else
+         if $posix_mkdir; then
+           (umask=$mkdir_umask &&
+            $doit_exec $mkdirprog $mkdir_mode -p -- "$dstdir") && break
+           # Don't fail if two instances are running concurrently.
+           test -d "$prefix" || exit 1
+         else
+           case $prefix in
+             *\'*) qprefix=`echo "$prefix" | sed "s/'/'\\\\\\\\''/g"`;;
+             *) qprefix=$prefix;;
+           esac
+           prefixes="$prefixes '$qprefix'"
+         fi
+       fi
+       prefix=$prefix/
+      done
+
+      if test -n "$prefixes"; then
+       # Don't fail if two instances are running concurrently.
+       (umask $mkdir_umask &&
+        eval "\$doit_exec \$mkdirprog $prefixes") ||
+         test -d "$dstdir" || exit 1
+       obsolete_mkdir_used=true
+      fi
+    fi
+  fi
+
+  if test -n "$dir_arg"; then
+    { test -z "$chowncmd" || $doit $chowncmd "$dst"; } &&
+    { test -z "$chgrpcmd" || $doit $chgrpcmd "$dst"; } &&
+    { test "$obsolete_mkdir_used$chowncmd$chgrpcmd" = false ||
+      test -z "$chmodcmd" || $doit $chmodcmd $mode "$dst"; } || exit 1
+  else
+
+    # Make a couple of temp file names in the proper directory.
+    dsttmp=$dstdir/_inst.$$_
+    rmtmp=$dstdir/_rm.$$_
+
+    # Trap to clean up those temp files at exit.
+    trap 'ret=$?; rm -f "$dsttmp" "$rmtmp" && exit $ret' 0
+
+    # Copy the file name to the temp name.
+    (umask $cp_umask && $doit_exec $cpprog "$src" "$dsttmp") &&
+
+    # and set any options; do chmod last to preserve setuid bits.
+    #
+    # If any of these fail, we abort the whole thing.  If we want to
+    # ignore errors from any of these, just make sure not to ignore
+    # errors from the above "$doit $cpprog $src $dsttmp" command.
+    #
+    { test -z "$chowncmd" || $doit $chowncmd "$dsttmp"; } &&
+    { test -z "$chgrpcmd" || $doit $chgrpcmd "$dsttmp"; } &&
+    { test -z "$stripcmd" || $doit $stripcmd "$dsttmp"; } &&
+    { test -z "$chmodcmd" || $doit $chmodcmd $mode "$dsttmp"; } &&
+
+    # If -C, don't bother to copy if it wouldn't change the file.
+    if $copy_on_change &&
+       old=`LC_ALL=C ls -dlL "$dst"    2>/dev/null` &&
+       new=`LC_ALL=C ls -dlL "$dsttmp" 2>/dev/null` &&
+
+       eval "$initialize_posix_glob" &&
+       $posix_glob set -f &&
+       set X $old && old=:$2:$4:$5:$6 &&
+       set X $new && new=:$2:$4:$5:$6 &&
+       $posix_glob set +f &&
+
+       test "$old" = "$new" &&
+       $cmpprog "$dst" "$dsttmp" >/dev/null 2>&1
+    then
+      rm -f "$dsttmp"
+    else
+      # Rename the file to the real destination.
+      $doit $mvcmd -f "$dsttmp" "$dst" 2>/dev/null ||
+
+      # The rename failed, perhaps because mv can't rename something else
+      # to itself, or perhaps because mv is so ancient that it does not
+      # support -f.
+      {
+       # Now remove or move aside any old file at destination location.
+       # We try this two ways since rm can't unlink itself on some
+       # systems and the destination file might be busy for other
+       # reasons.  In this case, the final cleanup might fail but the new
+       # file should still install successfully.
+       {
+         test ! -f "$dst" ||
+         $doit $rmcmd -f "$dst" 2>/dev/null ||
+         { $doit $mvcmd -f "$dst" "$rmtmp" 2>/dev/null &&
+           { $doit $rmcmd -f "$rmtmp" 2>/dev/null; :; }
+         } ||
+         { echo "$0: cannot unlink or rename $dst" >&2
+           (exit 1); exit 1
+         }
+       } &&
+
+       # Now rename the file to the real destination.
+       $doit $mvcmd "$dsttmp" "$dst"
+      }
+    fi || exit 1
+
+    trap '' 0
+  fi
+done
+
+# Local variables:
+# eval: (add-hook 'write-file-hooks 'time-stamp)
+# time-stamp-start: "scriptversion="
+# time-stamp-format: "%:y-%02m-%02d.%02H"
+# time-stamp-end: "$"
+# End:
diff --git a/ltmain.sh b/ltmain.sh
new file mode 100755 (executable)
index 0000000..b612e9a
--- /dev/null
+++ b/ltmain.sh
@@ -0,0 +1,8412 @@
+# Generated from ltmain.m4sh.
+
+# ltmain.sh (GNU libtool) 2.2.6
+# Written by Gordon Matzigkeit <gord@gnu.ai.mit.edu>, 1996
+
+# Copyright (C) 1996, 1997, 1998, 1999, 2000, 2001, 2003, 2004, 2005, 2006, 2007 2008 Free Software Foundation, Inc.
+# This is free software; see the source for copying conditions.  There is NO
+# warranty; not even for MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.
+
+# GNU Libtool is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 2 of the License, or
+# (at your option) any later version.
+#
+# As a special exception to the GNU General Public License,
+# if you distribute this file as part of a program or library that
+# is built using GNU Libtool, you may include this file under the
+# same distribution terms that you use for the rest of that program.
+#
+# GNU Libtool is distributed in the hope that it will be useful, but
+# WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
+# General Public License for more details.
+#
+# You should have received a copy of the GNU General Public License
+# along with GNU Libtool; see the file COPYING.  If not, a copy
+# can be downloaded from http://www.gnu.org/licenses/gpl.html,
+# or obtained by writing to the Free Software Foundation, Inc.,
+# 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301, USA.
+
+# Usage: $progname [OPTION]... [MODE-ARG]...
+#
+# Provide generalized library-building support services.
+#
+#     --config             show all configuration variables
+#     --debug              enable verbose shell tracing
+# -n, --dry-run            display commands without modifying any files
+#     --features           display basic configuration information and exit
+#     --mode=MODE          use operation mode MODE
+#     --preserve-dup-deps  don't remove duplicate dependency libraries
+#     --quiet, --silent    don't print informational messages
+#     --tag=TAG            use configuration variables from tag TAG
+# -v, --verbose            print informational messages (default)
+#     --version            print version information
+# -h, --help               print short or long help message
+#
+# MODE must be one of the following:
+#
+#       clean              remove files from the build directory
+#       compile            compile a source file into a libtool object
+#       execute            automatically set library path, then run a program
+#       finish             complete the installation of libtool libraries
+#       install            install libraries or executables
+#       link               create a library or an executable
+#       uninstall          remove libraries from an installed directory
+#
+# MODE-ARGS vary depending on the MODE.
+# Try `$progname --help --mode=MODE' for a more detailed description of MODE.
+#
+# When reporting a bug, please describe a test case to reproduce it and
+# include the following information:
+#
+#       host-triplet:  $host
+#       shell:         $SHELL
+#       compiler:              $LTCC
+#       compiler flags:                $LTCFLAGS
+#       linker:                $LD (gnu? $with_gnu_ld)
+#       $progname:             (GNU libtool) 2.2.6 Debian-2.2.6a-1ubuntu1
+#       automake:              $automake_version
+#       autoconf:              $autoconf_version
+#
+# Report bugs to <bug-libtool@gnu.org>.
+
+PROGRAM=ltmain.sh
+PACKAGE=libtool
+VERSION="2.2.6 Debian-2.2.6a-1ubuntu1"
+TIMESTAMP=""
+package_revision=1.3012
+
+# Be Bourne compatible
+if test -n "${ZSH_VERSION+set}" && (emulate sh) >/dev/null 2>&1; then
+  emulate sh
+  NULLCMD=:
+  # Zsh 3.x and 4.x performs word splitting on ${1+"$@"}, which
+  # is contrary to our usage.  Disable this feature.
+  alias -g '${1+"$@"}'='"$@"'
+  setopt NO_GLOB_SUBST
+else
+  case `(set -o) 2>/dev/null` in *posix*) set -o posix;; esac
+fi
+BIN_SH=xpg4; export BIN_SH # for Tru64
+DUALCASE=1; export DUALCASE # for MKS sh
+
+# NLS nuisances: We save the old values to restore during execute mode.
+# Only set LANG and LC_ALL to C if already set.
+# These must not be set unconditionally because not all systems understand
+# e.g. LANG=C (notably SCO).
+lt_user_locale=
+lt_safe_locale=
+for lt_var in LANG LANGUAGE LC_ALL LC_CTYPE LC_COLLATE LC_MESSAGES
+do
+  eval "if test \"\${$lt_var+set}\" = set; then
+          save_$lt_var=\$$lt_var
+          $lt_var=C
+         export $lt_var
+         lt_user_locale=\"$lt_var=\\\$save_\$lt_var; \$lt_user_locale\"
+         lt_safe_locale=\"$lt_var=C; \$lt_safe_locale\"
+       fi"
+done
+
+$lt_unset CDPATH
+
+
+
+
+
+: ${CP="cp -f"}
+: ${ECHO="echo"}
+: ${EGREP="/bin/grep -E"}
+: ${FGREP="/bin/grep -F"}
+: ${GREP="/bin/grep"}
+: ${LN_S="ln -s"}
+: ${MAKE="make"}
+: ${MKDIR="mkdir"}
+: ${MV="mv -f"}
+: ${RM="rm -f"}
+: ${SED="/bin/sed"}
+: ${SHELL="${CONFIG_SHELL-/bin/sh}"}
+: ${Xsed="$SED -e 1s/^X//"}
+
+# Global variables:
+EXIT_SUCCESS=0
+EXIT_FAILURE=1
+EXIT_MISMATCH=63  # $? = 63 is used to indicate version mismatch to missing.
+EXIT_SKIP=77     # $? = 77 is used to indicate a skipped test to automake.
+
+exit_status=$EXIT_SUCCESS
+
+# Make sure IFS has a sensible default
+lt_nl='
+'
+IFS="  $lt_nl"
+
+dirname="s,/[^/]*$,,"
+basename="s,^.*/,,"
+
+# func_dirname_and_basename file append nondir_replacement
+# perform func_basename and func_dirname in a single function
+# call:
+#   dirname:  Compute the dirname of FILE.  If nonempty,
+#             add APPEND to the result, otherwise set result
+#             to NONDIR_REPLACEMENT.
+#             value returned in "$func_dirname_result"
+#   basename: Compute filename of FILE.
+#             value retuned in "$func_basename_result"
+# Implementation must be kept synchronized with func_dirname
+# and func_basename. For efficiency, we do not delegate to
+# those functions but instead duplicate the functionality here.
+func_dirname_and_basename ()
+{
+  # Extract subdirectory from the argument.
+  func_dirname_result=`$ECHO "X${1}" | $Xsed -e "$dirname"`
+  if test "X$func_dirname_result" = "X${1}"; then
+    func_dirname_result="${3}"
+  else
+    func_dirname_result="$func_dirname_result${2}"
+  fi
+  func_basename_result=`$ECHO "X${1}" | $Xsed -e "$basename"`
+}
+
+# Generated shell functions inserted here.
+
+# Work around backward compatibility issue on IRIX 6.5. On IRIX 6.4+, sh
+# is ksh but when the shell is invoked as "sh" and the current value of
+# the _XPG environment variable is not equal to 1 (one), the special
+# positional parameter $0, within a function call, is the name of the
+# function.
+progpath="$0"
+
+# The name of this program:
+# In the unlikely event $progname began with a '-', it would play havoc with
+# func_echo (imagine progname=-n), so we prepend ./ in that case:
+func_dirname_and_basename "$progpath"
+progname=$func_basename_result
+case $progname in
+  -*) progname=./$progname ;;
+esac
+
+# Make sure we have an absolute path for reexecution:
+case $progpath in
+  [\\/]*|[A-Za-z]:\\*) ;;
+  *[\\/]*)
+     progdir=$func_dirname_result
+     progdir=`cd "$progdir" && pwd`
+     progpath="$progdir/$progname"
+     ;;
+  *)
+     save_IFS="$IFS"
+     IFS=:
+     for progdir in $PATH; do
+       IFS="$save_IFS"
+       test -x "$progdir/$progname" && break
+     done
+     IFS="$save_IFS"
+     test -n "$progdir" || progdir=`pwd`
+     progpath="$progdir/$progname"
+     ;;
+esac
+
+# Sed substitution that helps us do robust quoting.  It backslashifies
+# metacharacters that are still active within double-quoted strings.
+Xsed="${SED}"' -e 1s/^X//'
+sed_quote_subst='s/\([`"$\\]\)/\\\1/g'
+
+# Same as above, but do not quote variable references.
+double_quote_subst='s/\(["`\\]\)/\\\1/g'
+
+# Re-`\' parameter expansions in output of double_quote_subst that were
+# `\'-ed in input to the same.  If an odd number of `\' preceded a '$'
+# in input to double_quote_subst, that '$' was protected from expansion.
+# Since each input `\' is now two `\'s, look for any number of runs of
+# four `\'s followed by two `\'s and then a '$'.  `\' that '$'.
+bs='\\'
+bs2='\\\\'
+bs4='\\\\\\\\'
+dollar='\$'
+sed_double_backslash="\
+  s/$bs4/&\\
+/g
+  s/^$bs2$dollar/$bs&/
+  s/\\([^$bs]\\)$bs2$dollar/\\1$bs2$bs$dollar/g
+  s/\n//g"
+
+# Standard options:
+opt_dry_run=false
+opt_help=false
+opt_quiet=false
+opt_verbose=false
+opt_warning=:
+
+# func_echo arg...
+# Echo program name prefixed message, along with the current mode
+# name if it has been set yet.
+func_echo ()
+{
+    $ECHO "$progname${mode+: }$mode: $*"
+}
+
+# func_verbose arg...
+# Echo program name prefixed message in verbose mode only.
+func_verbose ()
+{
+    $opt_verbose && func_echo ${1+"$@"}
+
+    # A bug in bash halts the script if the last line of a function
+    # fails when set -e is in force, so we need another command to
+    # work around that:
+    :
+}
+
+# func_error arg...
+# Echo program name prefixed message to standard error.
+func_error ()
+{
+    $ECHO "$progname${mode+: }$mode: "${1+"$@"} 1>&2
+}
+
+# func_warning arg...
+# Echo program name prefixed warning message to standard error.
+func_warning ()
+{
+    $opt_warning && $ECHO "$progname${mode+: }$mode: warning: "${1+"$@"} 1>&2
+
+    # bash bug again:
+    :
+}
+
+# func_fatal_error arg...
+# Echo program name prefixed message to standard error, and exit.
+func_fatal_error ()
+{
+    func_error ${1+"$@"}
+    exit $EXIT_FAILURE
+}
+
+# func_fatal_help arg...
+# Echo program name prefixed message to standard error, followed by
+# a help hint, and exit.
+func_fatal_help ()
+{
+    func_error ${1+"$@"}
+    func_fatal_error "$help"
+}
+help="Try \`$progname --help' for more information."  ## default
+
+
+# func_grep expression filename
+# Check whether EXPRESSION matches any line of FILENAME, without output.
+func_grep ()
+{
+    $GREP "$1" "$2" >/dev/null 2>&1
+}
+
+
+# func_mkdir_p directory-path
+# Make sure the entire path to DIRECTORY-PATH is available.
+func_mkdir_p ()
+{
+    my_directory_path="$1"
+    my_dir_list=
+
+    if test -n "$my_directory_path" && test "$opt_dry_run" != ":"; then
+
+      # Protect directory names starting with `-'
+      case $my_directory_path in
+        -*) my_directory_path="./$my_directory_path" ;;
+      esac
+
+      # While some portion of DIR does not yet exist...
+      while test ! -d "$my_directory_path"; do
+        # ...make a list in topmost first order.  Use a colon delimited
+       # list incase some portion of path contains whitespace.
+        my_dir_list="$my_directory_path:$my_dir_list"
+
+        # If the last portion added has no slash in it, the list is done
+        case $my_directory_path in */*) ;; *) break ;; esac
+
+        # ...otherwise throw away the child directory and loop
+        my_directory_path=`$ECHO "X$my_directory_path" | $Xsed -e "$dirname"`
+      done
+      my_dir_list=`$ECHO "X$my_dir_list" | $Xsed -e 's,:*$,,'`
+
+      save_mkdir_p_IFS="$IFS"; IFS=':'
+      for my_dir in $my_dir_list; do
+       IFS="$save_mkdir_p_IFS"
+        # mkdir can fail with a `File exist' error if two processes
+        # try to create one of the directories concurrently.  Don't
+        # stop in that case!
+        $MKDIR "$my_dir" 2>/dev/null || :
+      done
+      IFS="$save_mkdir_p_IFS"
+
+      # Bail out if we (or some other process) failed to create a directory.
+      test -d "$my_directory_path" || \
+        func_fatal_error "Failed to create \`$1'"
+    fi
+}
+
+
+# func_mktempdir [string]
+# Make a temporary directory that won't clash with other running
+# libtool processes, and avoids race conditions if possible.  If
+# given, STRING is the basename for that directory.
+func_mktempdir ()
+{
+    my_template="${TMPDIR-/tmp}/${1-$progname}"
+
+    if test "$opt_dry_run" = ":"; then
+      # Return a directory name, but don't create it in dry-run mode
+      my_tmpdir="${my_template}-$$"
+    else
+
+      # If mktemp works, use that first and foremost
+      my_tmpdir=`mktemp -d "${my_template}-XXXXXXXX" 2>/dev/null`
+
+      if test ! -d "$my_tmpdir"; then
+        # Failing that, at least try and use $RANDOM to avoid a race
+        my_tmpdir="${my_template}-${RANDOM-0}$$"
+
+        save_mktempdir_umask=`umask`
+        umask 0077
+        $MKDIR "$my_tmpdir"
+        umask $save_mktempdir_umask
+      fi
+
+      # If we're not in dry-run mode, bomb out on failure
+      test -d "$my_tmpdir" || \
+        func_fatal_error "cannot create temporary directory \`$my_tmpdir'"
+    fi
+
+    $ECHO "X$my_tmpdir" | $Xsed
+}
+
+
+# func_quote_for_eval arg
+# Aesthetically quote ARG to be evaled later.
+# This function returns two values: FUNC_QUOTE_FOR_EVAL_RESULT
+# is double-quoted, suitable for a subsequent eval, whereas
+# FUNC_QUOTE_FOR_EVAL_UNQUOTED_RESULT has merely all characters
+# which are still active within double quotes backslashified.
+func_quote_for_eval ()
+{
+    case $1 in
+      *[\\\`\"\$]*)
+       func_quote_for_eval_unquoted_result=`$ECHO "X$1" | $Xsed -e "$sed_quote_subst"` ;;
+      *)
+        func_quote_for_eval_unquoted_result="$1" ;;
+    esac
+
+    case $func_quote_for_eval_unquoted_result in
+      # Double-quote args containing shell metacharacters to delay
+      # word splitting, command substitution and and variable
+      # expansion for a subsequent eval.
+      # Many Bourne shells cannot handle close brackets correctly
+      # in scan sets, so we specify it separately.
+      *[\[\~\#\^\&\*\(\)\{\}\|\;\<\>\?\'\ \    ]*|*]*|"")
+        func_quote_for_eval_result="\"$func_quote_for_eval_unquoted_result\""
+        ;;
+      *)
+        func_quote_for_eval_result="$func_quote_for_eval_unquoted_result"
+    esac
+}
+
+
+# func_quote_for_expand arg
+# Aesthetically quote ARG to be evaled later; same as above,
+# but do not quote variable references.
+func_quote_for_expand ()
+{
+    case $1 in
+      *[\\\`\"]*)
+       my_arg=`$ECHO "X$1" | $Xsed \
+           -e "$double_quote_subst" -e "$sed_double_backslash"` ;;
+      *)
+        my_arg="$1" ;;
+    esac
+
+    case $my_arg in
+      # Double-quote args containing shell metacharacters to delay
+      # word splitting and command substitution for a subsequent eval.
+      # Many Bourne shells cannot handle close brackets correctly
+      # in scan sets, so we specify it separately.
+      *[\[\~\#\^\&\*\(\)\{\}\|\;\<\>\?\'\ \    ]*|*]*|"")
+        my_arg="\"$my_arg\""
+        ;;
+    esac
+
+    func_quote_for_expand_result="$my_arg"
+}
+
+
+# func_show_eval cmd [fail_exp]
+# Unless opt_silent is true, then output CMD.  Then, if opt_dryrun is
+# not true, evaluate CMD.  If the evaluation of CMD fails, and FAIL_EXP
+# is given, then evaluate it.
+func_show_eval ()
+{
+    my_cmd="$1"
+    my_fail_exp="${2-:}"
+
+    ${opt_silent-false} || {
+      func_quote_for_expand "$my_cmd"
+      eval "func_echo $func_quote_for_expand_result"
+    }
+
+    if ${opt_dry_run-false}; then :; else
+      eval "$my_cmd"
+      my_status=$?
+      if test "$my_status" -eq 0; then :; else
+       eval "(exit $my_status); $my_fail_exp"
+      fi
+    fi
+}
+
+
+# func_show_eval_locale cmd [fail_exp]
+# Unless opt_silent is true, then output CMD.  Then, if opt_dryrun is
+# not true, evaluate CMD.  If the evaluation of CMD fails, and FAIL_EXP
+# is given, then evaluate it.  Use the saved locale for evaluation.
+func_show_eval_locale ()
+{
+    my_cmd="$1"
+    my_fail_exp="${2-:}"
+
+    ${opt_silent-false} || {
+      func_quote_for_expand "$my_cmd"
+      eval "func_echo $func_quote_for_expand_result"
+    }
+
+    if ${opt_dry_run-false}; then :; else
+      eval "$lt_user_locale
+           $my_cmd"
+      my_status=$?
+      eval "$lt_safe_locale"
+      if test "$my_status" -eq 0; then :; else
+       eval "(exit $my_status); $my_fail_exp"
+      fi
+    fi
+}
+
+
+
+
+
+# func_version
+# Echo version message to standard output and exit.
+func_version ()
+{
+    $SED -n '/^# '$PROGRAM' (GNU /,/# warranty; / {
+        s/^# //
+       s/^# *$//
+        s/\((C)\)[ 0-9,-]*\( [1-9][0-9]*\)/\1\2/
+        p
+     }' < "$progpath"
+     exit $?
+}
+
+# func_usage
+# Echo short help message to standard output and exit.
+func_usage ()
+{
+    $SED -n '/^# Usage:/,/# -h/ {
+        s/^# //
+       s/^# *$//
+       s/\$progname/'$progname'/
+       p
+    }' < "$progpath"
+    $ECHO
+    $ECHO "run \`$progname --help | more' for full usage"
+    exit $?
+}
+
+# func_help
+# Echo long help message to standard output and exit.
+func_help ()
+{
+    $SED -n '/^# Usage:/,/# Report bugs to/ {
+        s/^# //
+       s/^# *$//
+       s*\$progname*'$progname'*
+       s*\$host*'"$host"'*
+       s*\$SHELL*'"$SHELL"'*
+       s*\$LTCC*'"$LTCC"'*
+       s*\$LTCFLAGS*'"$LTCFLAGS"'*
+       s*\$LD*'"$LD"'*
+       s/\$with_gnu_ld/'"$with_gnu_ld"'/
+       s/\$automake_version/'"`(automake --version) 2>/dev/null |$SED 1q`"'/
+       s/\$autoconf_version/'"`(autoconf --version) 2>/dev/null |$SED 1q`"'/
+       p
+     }' < "$progpath"
+    exit $?
+}
+
+# func_missing_arg argname
+# Echo program name prefixed message to standard error and set global
+# exit_cmd.
+func_missing_arg ()
+{
+    func_error "missing argument for $1"
+    exit_cmd=exit
+}
+
+exit_cmd=:
+
+
+
+
+
+# Check that we have a working $ECHO.
+if test "X$1" = X--no-reexec; then
+  # Discard the --no-reexec flag, and continue.
+  shift
+elif test "X$1" = X--fallback-echo; then
+  # Avoid inline document here, it may be left over
+  :
+elif test "X`{ $ECHO '\t'; } 2>/dev/null`" = 'X\t'; then
+  # Yippee, $ECHO works!
+  :
+else
+  # Restart under the correct shell, and then maybe $ECHO will work.
+  exec $SHELL "$progpath" --no-reexec ${1+"$@"}
+fi
+
+if test "X$1" = X--fallback-echo; then
+  # used as fallback echo
+  shift
+  cat <<EOF
+$*
+EOF
+  exit $EXIT_SUCCESS
+fi
+
+magic="%%%MAGIC variable%%%"
+magic_exe="%%%MAGIC EXE variable%%%"
+
+# Global variables.
+# $mode is unset
+nonopt=
+execute_dlfiles=
+preserve_args=
+lo2o="s/\\.lo\$/.${objext}/"
+o2lo="s/\\.${objext}\$/.lo/"
+extracted_archives=
+extracted_serial=0
+
+opt_dry_run=false
+opt_duplicate_deps=false
+opt_silent=false
+opt_debug=:
+
+# If this variable is set in any of the actions, the command in it
+# will be execed at the end.  This prevents here-documents from being
+# left over by shells.
+exec_cmd=
+
+# func_fatal_configuration arg...
+# Echo program name prefixed message to standard error, followed by
+# a configuration failure hint, and exit.
+func_fatal_configuration ()
+{
+    func_error ${1+"$@"}
+    func_error "See the $PACKAGE documentation for more information."
+    func_fatal_error "Fatal configuration error."
+}
+
+
+# func_config
+# Display the configuration for all the tags in this script.
+func_config ()
+{
+    re_begincf='^# ### BEGIN LIBTOOL'
+    re_endcf='^# ### END LIBTOOL'
+
+    # Default configuration.
+    $SED "1,/$re_begincf CONFIG/d;/$re_endcf CONFIG/,\$d" < "$progpath"
+
+    # Now print the configurations for the tags.
+    for tagname in $taglist; do
+      $SED -n "/$re_begincf TAG CONFIG: $tagname\$/,/$re_endcf TAG CONFIG: $tagname\$/p" < "$progpath"
+    done
+
+    exit $?
+}
+
+# func_features
+# Display the features supported by this script.
+func_features ()
+{
+    $ECHO "host: $host"
+    if test "$build_libtool_libs" = yes; then
+      $ECHO "enable shared libraries"
+    else
+      $ECHO "disable shared libraries"
+    fi
+    if test "$build_old_libs" = yes; then
+      $ECHO "enable static libraries"
+    else
+      $ECHO "disable static libraries"
+    fi
+
+    exit $?
+}
+
+# func_enable_tag tagname
+# Verify that TAGNAME is valid, and either flag an error and exit, or
+# enable the TAGNAME tag.  We also add TAGNAME to the global $taglist
+# variable here.
+func_enable_tag ()
+{
+  # Global variable:
+  tagname="$1"
+
+  re_begincf="^# ### BEGIN LIBTOOL TAG CONFIG: $tagname\$"
+  re_endcf="^# ### END LIBTOOL TAG CONFIG: $tagname\$"
+  sed_extractcf="/$re_begincf/,/$re_endcf/p"
+
+  # Validate tagname.
+  case $tagname in
+    *[!-_A-Za-z0-9,/]*)
+      func_fatal_error "invalid tag name: $tagname"
+      ;;
+  esac
+
+  # Don't test for the "default" C tag, as we know it's
+  # there but not specially marked.
+  case $tagname in
+    CC) ;;
+    *)
+      if $GREP "$re_begincf" "$progpath" >/dev/null 2>&1; then
+       taglist="$taglist $tagname"
+
+       # Evaluate the configuration.  Be careful to quote the path
+       # and the sed script, to avoid splitting on whitespace, but
+       # also don't use non-portable quotes within backquotes within
+       # quotes we have to do it in 2 steps:
+       extractedcf=`$SED -n -e "$sed_extractcf" < "$progpath"`
+       eval "$extractedcf"
+      else
+       func_error "ignoring unknown tag $tagname"
+      fi
+      ;;
+  esac
+}
+
+# Parse options once, thoroughly.  This comes as soon as possible in
+# the script to make things like `libtool --version' happen quickly.
+{
+
+  # Shorthand for --mode=foo, only valid as the first argument
+  case $1 in
+  clean|clea|cle|cl)
+    shift; set dummy --mode clean ${1+"$@"}; shift
+    ;;
+  compile|compil|compi|comp|com|co|c)
+    shift; set dummy --mode compile ${1+"$@"}; shift
+    ;;
+  execute|execut|execu|exec|exe|ex|e)
+    shift; set dummy --mode execute ${1+"$@"}; shift
+    ;;
+  finish|finis|fini|fin|fi|f)
+    shift; set dummy --mode finish ${1+"$@"}; shift
+    ;;
+  install|instal|insta|inst|ins|in|i)
+    shift; set dummy --mode install ${1+"$@"}; shift
+    ;;
+  link|lin|li|l)
+    shift; set dummy --mode link ${1+"$@"}; shift
+    ;;
+  uninstall|uninstal|uninsta|uninst|unins|unin|uni|un|u)
+    shift; set dummy --mode uninstall ${1+"$@"}; shift
+    ;;
+  esac
+
+  # Parse non-mode specific arguments:
+  while test "$#" -gt 0; do
+    opt="$1"
+    shift
+
+    case $opt in
+      --config)                func_config                                     ;;
+
+      --debug)         preserve_args="$preserve_args $opt"
+                       func_echo "enabling shell trace mode"
+                       opt_debug='set -x'
+                       $opt_debug
+                       ;;
+
+      -dlopen)         test "$#" -eq 0 && func_missing_arg "$opt" && break
+                       execute_dlfiles="$execute_dlfiles $1"
+                       shift
+                       ;;
+
+      --dry-run | -n)  opt_dry_run=:                                   ;;
+      --features)       func_features                                  ;;
+      --finish)                mode="finish"                                   ;;
+
+      --mode)          test "$#" -eq 0 && func_missing_arg "$opt" && break
+                       case $1 in
+                         # Valid mode arguments:
+                         clean)        ;;
+                         compile)      ;;
+                         execute)      ;;
+                         finish)       ;;
+                         install)      ;;
+                         link)         ;;
+                         relink)       ;;
+                         uninstall)    ;;
+
+                         # Catch anything else as an error
+                         *) func_error "invalid argument for $opt"
+                            exit_cmd=exit
+                            break
+                            ;;
+                       esac
+
+                       mode="$1"
+                       shift
+                       ;;
+
+      --preserve-dup-deps)
+                       opt_duplicate_deps=:                            ;;
+
+      --quiet|--silent)        preserve_args="$preserve_args $opt"
+                       opt_silent=:
+                       ;;
+
+      --verbose| -v)   preserve_args="$preserve_args $opt"
+                       opt_silent=false
+                       ;;
+
+      --tag)           test "$#" -eq 0 && func_missing_arg "$opt" && break
+                       preserve_args="$preserve_args $opt $1"
+                       func_enable_tag "$1"    # tagname is set here
+                       shift
+                       ;;
+
+      # Separate optargs to long options:
+      -dlopen=*|--mode=*|--tag=*)
+                       func_opt_split "$opt"
+                       set dummy "$func_opt_split_opt" "$func_opt_split_arg" ${1+"$@"}
+                       shift
+                       ;;
+
+      -\?|-h)          func_usage                                      ;;
+      --help)          opt_help=:                                      ;;
+      --version)       func_version                                    ;;
+
+      -*)              func_fatal_help "unrecognized option \`$opt'"   ;;
+
+      *)               nonopt="$opt"
+                       break
+                       ;;
+    esac
+  done
+
+
+  case $host in
+    *cygwin* | *mingw* | *pw32* | *cegcc*)
+      # don't eliminate duplications in $postdeps and $predeps
+      opt_duplicate_compiler_generated_deps=:
+      ;;
+    *)
+      opt_duplicate_compiler_generated_deps=$opt_duplicate_deps
+      ;;
+  esac
+
+  # Having warned about all mis-specified options, bail out if
+  # anything was wrong.
+  $exit_cmd $EXIT_FAILURE
+}
+
+# func_check_version_match
+# Ensure that we are using m4 macros, and libtool script from the same
+# release of libtool.
+func_check_version_match ()
+{
+  if test "$package_revision" != "$macro_revision"; then
+    if test "$VERSION" != "$macro_version"; then
+      if test -z "$macro_version"; then
+        cat >&2 <<_LT_EOF
+$progname: Version mismatch error.  This is $PACKAGE $VERSION, but the
+$progname: definition of this LT_INIT comes from an older release.
+$progname: You should recreate aclocal.m4 with macros from $PACKAGE $VERSION
+$progname: and run autoconf again.
+_LT_EOF
+      else
+        cat >&2 <<_LT_EOF
+$progname: Version mismatch error.  This is $PACKAGE $VERSION, but the
+$progname: definition of this LT_INIT comes from $PACKAGE $macro_version.
+$progname: You should recreate aclocal.m4 with macros from $PACKAGE $VERSION
+$progname: and run autoconf again.
+_LT_EOF
+      fi
+    else
+      cat >&2 <<_LT_EOF
+$progname: Version mismatch error.  This is $PACKAGE $VERSION, revision $package_revision,
+$progname: but the definition of this LT_INIT comes from revision $macro_revision.
+$progname: You should recreate aclocal.m4 with macros from revision $package_revision
+$progname: of $PACKAGE $VERSION and run autoconf again.
+_LT_EOF
+    fi
+
+    exit $EXIT_MISMATCH
+  fi
+}
+
+
+## ----------- ##
+##    Main.    ##
+## ----------- ##
+
+$opt_help || {
+  # Sanity checks first:
+  func_check_version_match
+
+  if test "$build_libtool_libs" != yes && test "$build_old_libs" != yes; then
+    func_fatal_configuration "not configured to build any kind of library"
+  fi
+
+  test -z "$mode" && func_fatal_error "error: you must specify a MODE."
+
+
+  # Darwin sucks
+  eval std_shrext=\"$shrext_cmds\"
+
+
+  # Only execute mode is allowed to have -dlopen flags.
+  if test -n "$execute_dlfiles" && test "$mode" != execute; then
+    func_error "unrecognized option \`-dlopen'"
+    $ECHO "$help" 1>&2
+    exit $EXIT_FAILURE
+  fi
+
+  # Change the help message to a mode-specific one.
+  generic_help="$help"
+  help="Try \`$progname --help --mode=$mode' for more information."
+}
+
+
+# func_lalib_p file
+# True iff FILE is a libtool `.la' library or `.lo' object file.
+# This function is only a basic sanity check; it will hardly flush out
+# determined imposters.
+func_lalib_p ()
+{
+    test -f "$1" &&
+      $SED -e 4q "$1" 2>/dev/null \
+        | $GREP "^# Generated by .*$PACKAGE" > /dev/null 2>&1
+}
+
+# func_lalib_unsafe_p file
+# True iff FILE is a libtool `.la' library or `.lo' object file.
+# This function implements the same check as func_lalib_p without
+# resorting to external programs.  To this end, it redirects stdin and
+# closes it afterwards, without saving the original file descriptor.
+# As a safety measure, use it only where a negative result would be
+# fatal anyway.  Works if `file' does not exist.
+func_lalib_unsafe_p ()
+{
+    lalib_p=no
+    if test -f "$1" && test -r "$1" && exec 5<&0 <"$1"; then
+       for lalib_p_l in 1 2 3 4
+       do
+           read lalib_p_line
+           case "$lalib_p_line" in
+               \#\ Generated\ by\ *$PACKAGE* ) lalib_p=yes; break;;
+           esac
+       done
+       exec 0<&5 5<&-
+    fi
+    test "$lalib_p" = yes
+}
+
+# func_ltwrapper_script_p file
+# True iff FILE is a libtool wrapper script
+# This function is only a basic sanity check; it will hardly flush out
+# determined imposters.
+func_ltwrapper_script_p ()
+{
+    func_lalib_p "$1"
+}
+
+# func_ltwrapper_executable_p file
+# True iff FILE is a libtool wrapper executable
+# This function is only a basic sanity check; it will hardly flush out
+# determined imposters.
+func_ltwrapper_executable_p ()
+{
+    func_ltwrapper_exec_suffix=
+    case $1 in
+    *.exe) ;;
+    *) func_ltwrapper_exec_suffix=.exe ;;
+    esac
+    $GREP "$magic_exe" "$1$func_ltwrapper_exec_suffix" >/dev/null 2>&1
+}
+
+# func_ltwrapper_scriptname file
+# Assumes file is an ltwrapper_executable
+# uses $file to determine the appropriate filename for a
+# temporary ltwrapper_script.
+func_ltwrapper_scriptname ()
+{
+    func_ltwrapper_scriptname_result=""
+    if func_ltwrapper_executable_p "$1"; then
+       func_dirname_and_basename "$1" "" "."
+       func_stripname '' '.exe' "$func_basename_result"
+       func_ltwrapper_scriptname_result="$func_dirname_result/$objdir/${func_stripname_result}_ltshwrapper"
+    fi
+}
+
+# func_ltwrapper_p file
+# True iff FILE is a libtool wrapper script or wrapper executable
+# This function is only a basic sanity check; it will hardly flush out
+# determined imposters.
+func_ltwrapper_p ()
+{
+    func_ltwrapper_script_p "$1" || func_ltwrapper_executable_p "$1"
+}
+
+
+# func_execute_cmds commands fail_cmd
+# Execute tilde-delimited COMMANDS.
+# If FAIL_CMD is given, eval that upon failure.
+# FAIL_CMD may read-access the current command in variable CMD!
+func_execute_cmds ()
+{
+    $opt_debug
+    save_ifs=$IFS; IFS='~'
+    for cmd in $1; do
+      IFS=$save_ifs
+      eval cmd=\"$cmd\"
+      func_show_eval "$cmd" "${2-:}"
+    done
+    IFS=$save_ifs
+}
+
+
+# func_source file
+# Source FILE, adding directory component if necessary.
+# Note that it is not necessary on cygwin/mingw to append a dot to
+# FILE even if both FILE and FILE.exe exist: automatic-append-.exe
+# behavior happens only for exec(3), not for open(2)!  Also, sourcing
+# `FILE.' does not work on cygwin managed mounts.
+func_source ()
+{
+    $opt_debug
+    case $1 in
+    */* | *\\*)        . "$1" ;;
+    *)         . "./$1" ;;
+    esac
+}
+
+
+# func_infer_tag arg
+# Infer tagged configuration to use if any are available and
+# if one wasn't chosen via the "--tag" command line option.
+# Only attempt this if the compiler in the base compile
+# command doesn't match the default compiler.
+# arg is usually of the form 'gcc ...'
+func_infer_tag ()
+{
+    $opt_debug
+    if test -n "$available_tags" && test -z "$tagname"; then
+      CC_quoted=
+      for arg in $CC; do
+        func_quote_for_eval "$arg"
+       CC_quoted="$CC_quoted $func_quote_for_eval_result"
+      done
+      case $@ in
+      # Blanks in the command may have been stripped by the calling shell,
+      # but not from the CC environment variable when configure was run.
+      " $CC "* | "$CC "* | " `$ECHO $CC` "* | "`$ECHO $CC` "* | " $CC_quoted"* | "$CC_quoted "* | " `$ECHO $CC_quoted` "* | "`$ECHO $CC_quoted` "*) ;;
+      # Blanks at the start of $base_compile will cause this to fail
+      # if we don't check for them as well.
+      *)
+       for z in $available_tags; do
+         if $GREP "^# ### BEGIN LIBTOOL TAG CONFIG: $z$" < "$progpath" > /dev/null; then
+           # Evaluate the configuration.
+           eval "`${SED} -n -e '/^# ### BEGIN LIBTOOL TAG CONFIG: '$z'$/,/^# ### END LIBTOOL TAG CONFIG: '$z'$/p' < $progpath`"
+           CC_quoted=
+           for arg in $CC; do
+             # Double-quote args containing other shell metacharacters.
+             func_quote_for_eval "$arg"
+             CC_quoted="$CC_quoted $func_quote_for_eval_result"
+           done
+           case "$@ " in
+             " $CC "* | "$CC "* | " `$ECHO $CC` "* | "`$ECHO $CC` "* | " $CC_quoted"* | "$CC_quoted "* | " `$ECHO $CC_quoted` "* | "`$ECHO $CC_quoted` "*)
+             # The compiler in the base compile command matches
+             # the one in the tagged configuration.
+             # Assume this is the tagged configuration we want.
+             tagname=$z
+             break
+             ;;
+           esac
+         fi
+       done
+       # If $tagname still isn't set, then no tagged configuration
+       # was found and let the user know that the "--tag" command
+       # line option must be used.
+       if test -z "$tagname"; then
+         func_echo "unable to infer tagged configuration"
+         func_fatal_error "specify a tag with \`--tag'"
+#      else
+#        func_verbose "using $tagname tagged configuration"
+       fi
+       ;;
+      esac
+    fi
+}
+
+
+
+# func_write_libtool_object output_name pic_name nonpic_name
+# Create a libtool object file (analogous to a ".la" file),
+# but don't create it if we're doing a dry run.
+func_write_libtool_object ()
+{
+    write_libobj=${1}
+    if test "$build_libtool_libs" = yes; then
+      write_lobj=\'${2}\'
+    else
+      write_lobj=none
+    fi
+
+    if test "$build_old_libs" = yes; then
+      write_oldobj=\'${3}\'
+    else
+      write_oldobj=none
+    fi
+
+    $opt_dry_run || {
+      cat >${write_libobj}T <<EOF
+# $write_libobj - a libtool object file
+# Generated by $PROGRAM (GNU $PACKAGE$TIMESTAMP) $VERSION
+#
+# Please DO NOT delete this file!
+# It is necessary for linking the library.
+
+# Name of the PIC object.
+pic_object=$write_lobj
+
+# Name of the non-PIC object
+non_pic_object=$write_oldobj
+
+EOF
+      $MV "${write_libobj}T" "${write_libobj}"
+    }
+}
+
+# func_mode_compile arg...
+func_mode_compile ()
+{
+    $opt_debug
+    # Get the compilation command and the source file.
+    base_compile=
+    srcfile="$nonopt"  #  always keep a non-empty value in "srcfile"
+    suppress_opt=yes
+    suppress_output=
+    arg_mode=normal
+    libobj=
+    later=
+    pie_flag=
+
+    for arg
+    do
+      case $arg_mode in
+      arg  )
+       # do not "continue".  Instead, add this to base_compile
+       lastarg="$arg"
+       arg_mode=normal
+       ;;
+
+      target )
+       libobj="$arg"
+       arg_mode=normal
+       continue
+       ;;
+
+      normal )
+       # Accept any command-line options.
+       case $arg in
+       -o)
+         test -n "$libobj" && \
+           func_fatal_error "you cannot specify \`-o' more than once"
+         arg_mode=target
+         continue
+         ;;
+
+       -pie | -fpie | -fPIE)
+          pie_flag="$pie_flag $arg"
+         continue
+         ;;
+
+       -shared | -static | -prefer-pic | -prefer-non-pic)
+         later="$later $arg"
+         continue
+         ;;
+
+       -no-suppress)
+         suppress_opt=no
+         continue
+         ;;
+
+       -Xcompiler)
+         arg_mode=arg  #  the next one goes into the "base_compile" arg list
+         continue      #  The current "srcfile" will either be retained or
+         ;;            #  replaced later.  I would guess that would be a bug.
+
+       -Wc,*)
+         func_stripname '-Wc,' '' "$arg"
+         args=$func_stripname_result
+         lastarg=
+         save_ifs="$IFS"; IFS=','
+         for arg in $args; do
+           IFS="$save_ifs"
+           func_quote_for_eval "$arg"
+           lastarg="$lastarg $func_quote_for_eval_result"
+         done
+         IFS="$save_ifs"
+         func_stripname ' ' '' "$lastarg"
+         lastarg=$func_stripname_result
+
+         # Add the arguments to base_compile.
+         base_compile="$base_compile $lastarg"
+         continue
+         ;;
+
+       *)
+         # Accept the current argument as the source file.
+         # The previous "srcfile" becomes the current argument.
+         #
+         lastarg="$srcfile"
+         srcfile="$arg"
+         ;;
+       esac  #  case $arg
+       ;;
+      esac    #  case $arg_mode
+
+      # Aesthetically quote the previous argument.
+      func_quote_for_eval "$lastarg"
+      base_compile="$base_compile $func_quote_for_eval_result"
+    done # for arg
+
+    case $arg_mode in
+    arg)
+      func_fatal_error "you must specify an argument for -Xcompile"
+      ;;
+    target)
+      func_fatal_error "you must specify a target with \`-o'"
+      ;;
+    *)
+      # Get the name of the library object.
+      test -z "$libobj" && {
+       func_basename "$srcfile"
+       libobj="$func_basename_result"
+      }
+      ;;
+    esac
+
+    # Recognize several different file suffixes.
+    # If the user specifies -o file.o, it is replaced with file.lo
+    case $libobj in
+    *.[cCFSifmso] | \
+    *.ada | *.adb | *.ads | *.asm | \
+    *.c++ | *.cc | *.ii | *.class | *.cpp | *.cxx | \
+    *.[fF][09]? | *.for | *.java | *.obj | *.sx)
+      func_xform "$libobj"
+      libobj=$func_xform_result
+      ;;
+    esac
+
+    case $libobj in
+    *.lo) func_lo2o "$libobj"; obj=$func_lo2o_result ;;
+    *)
+      func_fatal_error "cannot determine name of library object from \`$libobj'"
+      ;;
+    esac
+
+    func_infer_tag $base_compile
+
+    for arg in $later; do
+      case $arg in
+      -shared)
+       test "$build_libtool_libs" != yes && \
+         func_fatal_configuration "can not build a shared library"
+       build_old_libs=no
+       continue
+       ;;
+
+      -static)
+       build_libtool_libs=no
+       build_old_libs=yes
+       continue
+       ;;
+
+      -prefer-pic)
+       pic_mode=yes
+       continue
+       ;;
+
+      -prefer-non-pic)
+       pic_mode=no
+       continue
+       ;;
+      esac
+    done
+
+    func_quote_for_eval "$libobj"
+    test "X$libobj" != "X$func_quote_for_eval_result" \
+      && $ECHO "X$libobj" | $GREP '[]~#^*{};<>?"'"'"'   &()|`$[]' \
+      && func_warning "libobj name \`$libobj' may not contain shell special characters."
+    func_dirname_and_basename "$obj" "/" ""
+    objname="$func_basename_result"
+    xdir="$func_dirname_result"
+    lobj=${xdir}$objdir/$objname
+
+    test -z "$base_compile" && \
+      func_fatal_help "you must specify a compilation command"
+
+    # Delete any leftover library objects.
+    if test "$build_old_libs" = yes; then
+      removelist="$obj $lobj $libobj ${libobj}T"
+    else
+      removelist="$lobj $libobj ${libobj}T"
+    fi
+
+    # On Cygwin there's no "real" PIC flag so we must build both object types
+    case $host_os in
+    cygwin* | mingw* | pw32* | os2* | cegcc*)
+      pic_mode=default
+      ;;
+    esac
+    if test "$pic_mode" = no && test "$deplibs_check_method" != pass_all; then
+      # non-PIC code in shared libraries is not supported
+      pic_mode=default
+    fi
+
+    # Calculate the filename of the output object if compiler does
+    # not support -o with -c
+    if test "$compiler_c_o" = no; then
+      output_obj=`$ECHO "X$srcfile" | $Xsed -e 's%^.*/%%' -e 's%\.[^.]*$%%'`.${objext}
+      lockfile="$output_obj.lock"
+    else
+      output_obj=
+      need_locks=no
+      lockfile=
+    fi
+
+    # Lock this critical section if it is needed
+    # We use this script file to make the link, it avoids creating a new file
+    if test "$need_locks" = yes; then
+      until $opt_dry_run || ln "$progpath" "$lockfile" 2>/dev/null; do
+       func_echo "Waiting for $lockfile to be removed"
+       sleep 2
+      done
+    elif test "$need_locks" = warn; then
+      if test -f "$lockfile"; then
+       $ECHO "\
+*** ERROR, $lockfile exists and contains:
+`cat $lockfile 2>/dev/null`
+
+This indicates that another process is trying to use the same
+temporary object file, and libtool could not work around it because
+your compiler does not support \`-c' and \`-o' together.  If you
+repeat this compilation, it may succeed, by chance, but you had better
+avoid parallel builds (make -j) in this platform, or get a better
+compiler."
+
+       $opt_dry_run || $RM $removelist
+       exit $EXIT_FAILURE
+      fi
+      removelist="$removelist $output_obj"
+      $ECHO "$srcfile" > "$lockfile"
+    fi
+
+    $opt_dry_run || $RM $removelist
+    removelist="$removelist $lockfile"
+    trap '$opt_dry_run || $RM $removelist; exit $EXIT_FAILURE' 1 2 15
+
+    if test -n "$fix_srcfile_path"; then
+      eval srcfile=\"$fix_srcfile_path\"
+    fi
+    func_quote_for_eval "$srcfile"
+    qsrcfile=$func_quote_for_eval_result
+
+    # Only build a PIC object if we are building libtool libraries.
+    if test "$build_libtool_libs" = yes; then
+      # Without this assignment, base_compile gets emptied.
+      fbsd_hideous_sh_bug=$base_compile
+
+      if test "$pic_mode" != no; then
+       command="$base_compile $qsrcfile $pic_flag"
+      else
+       # Don't build PIC code
+       command="$base_compile $qsrcfile"
+      fi
+
+      func_mkdir_p "$xdir$objdir"
+
+      if test -z "$output_obj"; then
+       # Place PIC objects in $objdir
+       command="$command -o $lobj"
+      fi
+
+      func_show_eval_locale "$command" \
+          'test -n "$output_obj" && $RM $removelist; exit $EXIT_FAILURE'
+
+      if test "$need_locks" = warn &&
+        test "X`cat $lockfile 2>/dev/null`" != "X$srcfile"; then
+       $ECHO "\
+*** ERROR, $lockfile contains:
+`cat $lockfile 2>/dev/null`
+
+but it should contain:
+$srcfile
+
+This indicates that another process is trying to use the same
+temporary object file, and libtool could not work around it because
+your compiler does not support \`-c' and \`-o' together.  If you
+repeat this compilation, it may succeed, by chance, but you had better
+avoid parallel builds (make -j) in this platform, or get a better
+compiler."
+
+       $opt_dry_run || $RM $removelist
+       exit $EXIT_FAILURE
+      fi
+
+      # Just move the object if needed, then go on to compile the next one
+      if test -n "$output_obj" && test "X$output_obj" != "X$lobj"; then
+       func_show_eval '$MV "$output_obj" "$lobj"' \
+         'error=$?; $opt_dry_run || $RM $removelist; exit $error'
+      fi
+
+      # Allow error messages only from the first compilation.
+      if test "$suppress_opt" = yes; then
+       suppress_output=' >/dev/null 2>&1'
+      fi
+    fi
+
+    # Only build a position-dependent object if we build old libraries.
+    if test "$build_old_libs" = yes; then
+      if test "$pic_mode" != yes; then
+       # Don't build PIC code
+       command="$base_compile $qsrcfile$pie_flag"
+      else
+       command="$base_compile $qsrcfile $pic_flag"
+      fi
+      if test "$compiler_c_o" = yes; then
+       command="$command -o $obj"
+      fi
+
+      # Suppress compiler output if we already did a PIC compilation.
+      command="$command$suppress_output"
+      func_show_eval_locale "$command" \
+        '$opt_dry_run || $RM $removelist; exit $EXIT_FAILURE'
+
+      if test "$need_locks" = warn &&
+        test "X`cat $lockfile 2>/dev/null`" != "X$srcfile"; then
+       $ECHO "\
+*** ERROR, $lockfile contains:
+`cat $lockfile 2>/dev/null`
+
+but it should contain:
+$srcfile
+
+This indicates that another process is trying to use the same
+temporary object file, and libtool could not work around it because
+your compiler does not support \`-c' and \`-o' together.  If you
+repeat this compilation, it may succeed, by chance, but you had better
+avoid parallel builds (make -j) in this platform, or get a better
+compiler."
+
+       $opt_dry_run || $RM $removelist
+       exit $EXIT_FAILURE
+      fi
+
+      # Just move the object if needed
+      if test -n "$output_obj" && test "X$output_obj" != "X$obj"; then
+       func_show_eval '$MV "$output_obj" "$obj"' \
+         'error=$?; $opt_dry_run || $RM $removelist; exit $error'
+      fi
+    fi
+
+    $opt_dry_run || {
+      func_write_libtool_object "$libobj" "$objdir/$objname" "$objname"
+
+      # Unlock the critical section if it was locked
+      if test "$need_locks" != no; then
+       removelist=$lockfile
+        $RM "$lockfile"
+      fi
+    }
+
+    exit $EXIT_SUCCESS
+}
+
+$opt_help || {
+test "$mode" = compile && func_mode_compile ${1+"$@"}
+}
+
+func_mode_help ()
+{
+    # We need to display help for each of the modes.
+    case $mode in
+      "")
+        # Generic help is extracted from the usage comments
+        # at the start of this file.
+        func_help
+        ;;
+
+      clean)
+        $ECHO \
+"Usage: $progname [OPTION]... --mode=clean RM [RM-OPTION]... FILE...
+
+Remove files from the build directory.
+
+RM is the name of the program to use to delete files associated with each FILE
+(typically \`/bin/rm').  RM-OPTIONS are options (such as \`-f') to be passed
+to RM.
+
+If FILE is a libtool library, object or program, all the files associated
+with it are deleted. Otherwise, only FILE itself is deleted using RM."
+        ;;
+
+      compile)
+      $ECHO \
+"Usage: $progname [OPTION]... --mode=compile COMPILE-COMMAND... SOURCEFILE
+
+Compile a source file into a libtool library object.
+
+This mode accepts the following additional options:
+
+  -o OUTPUT-FILE    set the output file name to OUTPUT-FILE
+  -no-suppress      do not suppress compiler output for multiple passes
+  -prefer-pic       try to building PIC objects only
+  -prefer-non-pic   try to building non-PIC objects only
+  -shared           do not build a \`.o' file suitable for static linking
+  -static           only build a \`.o' file suitable for static linking
+
+COMPILE-COMMAND is a command to be used in creating a \`standard' object file
+from the given SOURCEFILE.
+
+The output file name is determined by removing the directory component from
+SOURCEFILE, then substituting the C source code suffix \`.c' with the
+library object suffix, \`.lo'."
+        ;;
+
+      execute)
+        $ECHO \
+"Usage: $progname [OPTION]... --mode=execute COMMAND [ARGS]...
+
+Automatically set library path, then run a program.
+
+This mode accepts the following additional options:
+
+  -dlopen FILE      add the directory containing FILE to the library path
+
+This mode sets the library path environment variable according to \`-dlopen'
+flags.
+
+If any of the ARGS are libtool executable wrappers, then they are translated
+into their corresponding uninstalled binary, and any of their required library
+directories are added to the library path.
+
+Then, COMMAND is executed, with ARGS as arguments."
+        ;;
+
+      finish)
+        $ECHO \
+"Usage: $progname [OPTION]... --mode=finish [LIBDIR]...
+
+Complete the installation of libtool libraries.
+
+Each LIBDIR is a directory that contains libtool libraries.
+
+The commands that this mode executes may require superuser privileges.  Use
+the \`--dry-run' option if you just want to see what would be executed."
+        ;;
+
+      install)
+        $ECHO \
+"Usage: $progname [OPTION]... --mode=install INSTALL-COMMAND...
+
+Install executables or libraries.
+
+INSTALL-COMMAND is the installation command.  The first component should be
+either the \`install' or \`cp' program.
+
+The following components of INSTALL-COMMAND are treated specially:
+
+  -inst-prefix PREFIX-DIR  Use PREFIX-DIR as a staging area for installation
+
+The rest of the components are interpreted as arguments to that command (only
+BSD-compatible install options are recognized)."
+        ;;
+
+      link)
+        $ECHO \
+"Usage: $progname [OPTION]... --mode=link LINK-COMMAND...
+
+Link object files or libraries together to form another library, or to
+create an executable program.
+
+LINK-COMMAND is a command using the C compiler that you would use to create
+a program from several object files.
+
+The following components of LINK-COMMAND are treated specially:
+
+  -all-static       do not do any dynamic linking at all
+  -avoid-version    do not add a version suffix if possible
+  -dlopen FILE      \`-dlpreopen' FILE if it cannot be dlopened at runtime
+  -dlpreopen FILE   link in FILE and add its symbols to lt_preloaded_symbols
+  -export-dynamic   allow symbols from OUTPUT-FILE to be resolved with dlsym(3)
+  -export-symbols SYMFILE
+                    try to export only the symbols listed in SYMFILE
+  -export-symbols-regex REGEX
+                    try to export only the symbols matching REGEX
+  -LLIBDIR          search LIBDIR for required installed libraries
+  -lNAME            OUTPUT-FILE requires the installed library libNAME
+  -module           build a library that can dlopened
+  -no-fast-install  disable the fast-install mode
+  -no-install       link a not-installable executable
+  -no-undefined     declare that a library does not refer to external symbols
+  -o OUTPUT-FILE    create OUTPUT-FILE from the specified objects
+  -objectlist FILE  Use a list of object files found in FILE to specify objects
+  -precious-files-regex REGEX
+                    don't remove output files matching REGEX
+  -release RELEASE  specify package release information
+  -rpath LIBDIR     the created library will eventually be installed in LIBDIR
+  -R[ ]LIBDIR       add LIBDIR to the runtime path of programs and libraries
+  -shared           only do dynamic linking of libtool libraries
+  -shrext SUFFIX    override the standard shared library file extension
+  -static           do not do any dynamic linking of uninstalled libtool libraries
+  -static-libtool-libs
+                    do not do any dynamic linking of libtool libraries
+  -version-info CURRENT[:REVISION[:AGE]]
+                    specify library version info [each variable defaults to 0]
+  -weak LIBNAME     declare that the target provides the LIBNAME interface
+
+All other options (arguments beginning with \`-') are ignored.
+
+Every other argument is treated as a filename.  Files ending in \`.la' are
+treated as uninstalled libtool libraries, other files are standard or library
+object files.
+
+If the OUTPUT-FILE ends in \`.la', then a libtool library is created,
+only library objects (\`.lo' files) may be specified, and \`-rpath' is
+required, except when creating a convenience library.
+
+If OUTPUT-FILE ends in \`.a' or \`.lib', then a standard library is created
+using \`ar' and \`ranlib', or on Windows using \`lib'.
+
+If OUTPUT-FILE ends in \`.lo' or \`.${objext}', then a reloadable object file
+is created, otherwise an executable program is created."
+        ;;
+
+      uninstall)
+        $ECHO \
+"Usage: $progname [OPTION]... --mode=uninstall RM [RM-OPTION]... FILE...
+
+Remove libraries from an installation directory.
+
+RM is the name of the program to use to delete files associated with each FILE
+(typically \`/bin/rm').  RM-OPTIONS are options (such as \`-f') to be passed
+to RM.
+
+If FILE is a libtool library, all the files associated with it are deleted.
+Otherwise, only FILE itself is deleted using RM."
+        ;;
+
+      *)
+        func_fatal_help "invalid operation mode \`$mode'"
+        ;;
+    esac
+
+    $ECHO
+    $ECHO "Try \`$progname --help' for more information about other modes."
+
+    exit $?
+}
+
+  # Now that we've collected a possible --mode arg, show help if necessary
+  $opt_help && func_mode_help
+
+
+# func_mode_execute arg...
+func_mode_execute ()
+{
+    $opt_debug
+    # The first argument is the command name.
+    cmd="$nonopt"
+    test -z "$cmd" && \
+      func_fatal_help "you must specify a COMMAND"
+
+    # Handle -dlopen flags immediately.
+    for file in $execute_dlfiles; do
+      test -f "$file" \
+       || func_fatal_help "\`$file' is not a file"
+
+      dir=
+      case $file in
+      *.la)
+       # Check to see that this really is a libtool archive.
+       func_lalib_unsafe_p "$file" \
+         || func_fatal_help "\`$lib' is not a valid libtool archive"
+
+       # Read the libtool library.
+       dlname=
+       library_names=
+       func_source "$file"
+
+       # Skip this library if it cannot be dlopened.
+       if test -z "$dlname"; then
+         # Warn if it was a shared library.
+         test -n "$library_names" && \
+           func_warning "\`$file' was not linked with \`-export-dynamic'"
+         continue
+       fi
+
+       func_dirname "$file" "" "."
+       dir="$func_dirname_result"
+
+       if test -f "$dir/$objdir/$dlname"; then
+         dir="$dir/$objdir"
+       else
+         if test ! -f "$dir/$dlname"; then
+           func_fatal_error "cannot find \`$dlname' in \`$dir' or \`$dir/$objdir'"
+         fi
+       fi
+       ;;
+
+      *.lo)
+       # Just add the directory containing the .lo file.
+       func_dirname "$file" "" "."
+       dir="$func_dirname_result"
+       ;;
+
+      *)
+       func_warning "\`-dlopen' is ignored for non-libtool libraries and objects"
+       continue
+       ;;
+      esac
+
+      # Get the absolute pathname.
+      absdir=`cd "$dir" && pwd`
+      test -n "$absdir" && dir="$absdir"
+
+      # Now add the directory to shlibpath_var.
+      if eval "test -z \"\$$shlibpath_var\""; then
+       eval "$shlibpath_var=\"\$dir\""
+      else
+       eval "$shlibpath_var=\"\$dir:\$$shlibpath_var\""
+      fi
+    done
+
+    # This variable tells wrapper scripts just to set shlibpath_var
+    # rather than running their programs.
+    libtool_execute_magic="$magic"
+
+    # Check if any of the arguments is a wrapper script.
+    args=
+    for file
+    do
+      case $file in
+      -*) ;;
+      *)
+       # Do a test to see if this is really a libtool program.
+       if func_ltwrapper_script_p "$file"; then
+         func_source "$file"
+         # Transform arg to wrapped name.
+         file="$progdir/$program"
+       elif func_ltwrapper_executable_p "$file"; then
+         func_ltwrapper_scriptname "$file"
+         func_source "$func_ltwrapper_scriptname_result"
+         # Transform arg to wrapped name.
+         file="$progdir/$program"
+       fi
+       ;;
+      esac
+      # Quote arguments (to preserve shell metacharacters).
+      func_quote_for_eval "$file"
+      args="$args $func_quote_for_eval_result"
+    done
+
+    if test "X$opt_dry_run" = Xfalse; then
+      if test -n "$shlibpath_var"; then
+       # Export the shlibpath_var.
+       eval "export $shlibpath_var"
+      fi
+
+      # Restore saved environment variables
+      for lt_var in LANG LANGUAGE LC_ALL LC_CTYPE LC_COLLATE LC_MESSAGES
+      do
+       eval "if test \"\${save_$lt_var+set}\" = set; then
+                $lt_var=\$save_$lt_var; export $lt_var
+             else
+               $lt_unset $lt_var
+             fi"
+      done
+
+      # Now prepare to actually exec the command.
+      exec_cmd="\$cmd$args"
+    else
+      # Display what would be done.
+      if test -n "$shlibpath_var"; then
+       eval "\$ECHO \"\$shlibpath_var=\$$shlibpath_var\""
+       $ECHO "export $shlibpath_var"
+      fi
+      $ECHO "$cmd$args"
+      exit $EXIT_SUCCESS
+    fi
+}
+
+test "$mode" = execute && func_mode_execute ${1+"$@"}
+
+
+# func_mode_finish arg...
+func_mode_finish ()
+{
+    $opt_debug
+    libdirs="$nonopt"
+    admincmds=
+
+    if test -n "$finish_cmds$finish_eval" && test -n "$libdirs"; then
+      for dir
+      do
+       libdirs="$libdirs $dir"
+      done
+
+      for libdir in $libdirs; do
+       if test -n "$finish_cmds"; then
+         # Do each command in the finish commands.
+         func_execute_cmds "$finish_cmds" 'admincmds="$admincmds
+'"$cmd"'"'
+       fi
+       if test -n "$finish_eval"; then
+         # Do the single finish_eval.
+         eval cmds=\"$finish_eval\"
+         $opt_dry_run || eval "$cmds" || admincmds="$admincmds
+       $cmds"
+       fi
+      done
+    fi
+
+    # Exit here if they wanted silent mode.
+    $opt_silent && exit $EXIT_SUCCESS
+
+    $ECHO "X----------------------------------------------------------------------" | $Xsed
+    $ECHO "Libraries have been installed in:"
+    for libdir in $libdirs; do
+      $ECHO "   $libdir"
+    done
+    $ECHO
+    $ECHO "If you ever happen to want to link against installed libraries"
+    $ECHO "in a given directory, LIBDIR, you must either use libtool, and"
+    $ECHO "specify the full pathname of the library, or use the \`-LLIBDIR'"
+    $ECHO "flag during linking and do at least one of the following:"
+    if test -n "$shlibpath_var"; then
+      $ECHO "   - add LIBDIR to the \`$shlibpath_var' environment variable"
+      $ECHO "     during execution"
+    fi
+    if test -n "$runpath_var"; then
+      $ECHO "   - add LIBDIR to the \`$runpath_var' environment variable"
+      $ECHO "     during linking"
+    fi
+    if test -n "$hardcode_libdir_flag_spec"; then
+      libdir=LIBDIR
+      eval flag=\"$hardcode_libdir_flag_spec\"
+
+      $ECHO "   - use the \`$flag' linker flag"
+    fi
+    if test -n "$admincmds"; then
+      $ECHO "   - have your system administrator run these commands:$admincmds"
+    fi
+    if test -f /etc/ld.so.conf; then
+      $ECHO "   - have your system administrator add LIBDIR to \`/etc/ld.so.conf'"
+    fi
+    $ECHO
+
+    $ECHO "See any operating system documentation about shared libraries for"
+    case $host in
+      solaris2.[6789]|solaris2.1[0-9])
+        $ECHO "more information, such as the ld(1), crle(1) and ld.so(8) manual"
+       $ECHO "pages."
+       ;;
+      *)
+        $ECHO "more information, such as the ld(1) and ld.so(8) manual pages."
+        ;;
+    esac
+    $ECHO "X----------------------------------------------------------------------" | $Xsed
+    exit $EXIT_SUCCESS
+}
+
+test "$mode" = finish && func_mode_finish ${1+"$@"}
+
+
+# func_mode_install arg...
+func_mode_install ()
+{
+    $opt_debug
+    # There may be an optional sh(1) argument at the beginning of
+    # install_prog (especially on Windows NT).
+    if test "$nonopt" = "$SHELL" || test "$nonopt" = /bin/sh ||
+       # Allow the use of GNU shtool's install command.
+       $ECHO "X$nonopt" | $GREP shtool >/dev/null; then
+      # Aesthetically quote it.
+      func_quote_for_eval "$nonopt"
+      install_prog="$func_quote_for_eval_result "
+      arg=$1
+      shift
+    else
+      install_prog=
+      arg=$nonopt
+    fi
+
+    # The real first argument should be the name of the installation program.
+    # Aesthetically quote it.
+    func_quote_for_eval "$arg"
+    install_prog="$install_prog$func_quote_for_eval_result"
+
+    # We need to accept at least all the BSD install flags.
+    dest=
+    files=
+    opts=
+    prev=
+    install_type=
+    isdir=no
+    stripme=
+    for arg
+    do
+      if test -n "$dest"; then
+       files="$files $dest"
+       dest=$arg
+       continue
+      fi
+
+      case $arg in
+      -d) isdir=yes ;;
+      -f)
+       case " $install_prog " in
+       *[\\\ /]cp\ *) ;;
+       *) prev=$arg ;;
+       esac
+       ;;
+      -g | -m | -o)
+       prev=$arg
+       ;;
+      -s)
+       stripme=" -s"
+       continue
+       ;;
+      -*)
+       ;;
+      *)
+       # If the previous option needed an argument, then skip it.
+       if test -n "$prev"; then
+         prev=
+       else
+         dest=$arg
+         continue
+       fi
+       ;;
+      esac
+
+      # Aesthetically quote the argument.
+      func_quote_for_eval "$arg"
+      install_prog="$install_prog $func_quote_for_eval_result"
+    done
+
+    test -z "$install_prog" && \
+      func_fatal_help "you must specify an install program"
+
+    test -n "$prev" && \
+      func_fatal_help "the \`$prev' option requires an argument"
+
+    if test -z "$files"; then
+      if test -z "$dest"; then
+       func_fatal_help "no file or destination specified"
+      else
+       func_fatal_help "you must specify a destination"
+      fi
+    fi
+
+    # Strip any trailing slash from the destination.
+    func_stripname '' '/' "$dest"
+    dest=$func_stripname_result
+
+    # Check to see that the destination is a directory.
+    test -d "$dest" && isdir=yes
+    if test "$isdir" = yes; then
+      destdir="$dest"
+      destname=
+    else
+      func_dirname_and_basename "$dest" "" "."
+      destdir="$func_dirname_result"
+      destname="$func_basename_result"
+
+      # Not a directory, so check to see that there is only one file specified.
+      set dummy $files; shift
+      test "$#" -gt 1 && \
+       func_fatal_help "\`$dest' is not a directory"
+    fi
+    case $destdir in
+    [\\/]* | [A-Za-z]:[\\/]*) ;;
+    *)
+      for file in $files; do
+       case $file in
+       *.lo) ;;
+       *)
+         func_fatal_help "\`$destdir' must be an absolute directory name"
+         ;;
+       esac
+      done
+      ;;
+    esac
+
+    # This variable tells wrapper scripts just to set variables rather
+    # than running their programs.
+    libtool_install_magic="$magic"
+
+    staticlibs=
+    future_libdirs=
+    current_libdirs=
+    for file in $files; do
+
+      # Do each installation.
+      case $file in
+      *.$libext)
+       # Do the static libraries later.
+       staticlibs="$staticlibs $file"
+       ;;
+
+      *.la)
+       # Check to see that this really is a libtool archive.
+       func_lalib_unsafe_p "$file" \
+         || func_fatal_help "\`$file' is not a valid libtool archive"
+
+       library_names=
+       old_library=
+       relink_command=
+       func_source "$file"
+
+       # Add the libdir to current_libdirs if it is the destination.
+       if test "X$destdir" = "X$libdir"; then
+         case "$current_libdirs " in
+         *" $libdir "*) ;;
+         *) current_libdirs="$current_libdirs $libdir" ;;
+         esac
+       else
+         # Note the libdir as a future libdir.
+         case "$future_libdirs " in
+         *" $libdir "*) ;;
+         *) future_libdirs="$future_libdirs $libdir" ;;
+         esac
+       fi
+
+       func_dirname "$file" "/" ""
+       dir="$func_dirname_result"
+       dir="$dir$objdir"
+
+       if test -n "$relink_command"; then
+         # Determine the prefix the user has applied to our future dir.
+         inst_prefix_dir=`$ECHO "X$destdir" | $Xsed -e "s%$libdir\$%%"`
+
+         # Don't allow the user to place us outside of our expected
+         # location b/c this prevents finding dependent libraries that
+         # are installed to the same prefix.
+         # At present, this check doesn't affect windows .dll's that
+         # are installed into $libdir/../bin (currently, that works fine)
+         # but it's something to keep an eye on.
+         test "$inst_prefix_dir" = "$destdir" && \
+           func_fatal_error "error: cannot install \`$file' to a directory not ending in $libdir"
+
+         if test -n "$inst_prefix_dir"; then
+           # Stick the inst_prefix_dir data into the link command.
+           relink_command=`$ECHO "X$relink_command" | $Xsed -e "s%@inst_prefix_dir@%-inst-prefix-dir $inst_prefix_dir%"`
+         else
+           relink_command=`$ECHO "X$relink_command" | $Xsed -e "s%@inst_prefix_dir@%%"`
+         fi
+
+         func_warning "relinking \`$file'"
+         func_show_eval "$relink_command" \
+           'func_fatal_error "error: relink \`$file'\'' with the above command before installing it"'
+       fi
+
+       # See the names of the shared library.
+       set dummy $library_names; shift
+       if test -n "$1"; then
+         realname="$1"
+         shift
+
+         srcname="$realname"
+         test -n "$relink_command" && srcname="$realname"T
+
+         # Install the shared library and build the symlinks.
+         func_show_eval "$install_prog $dir/$srcname $destdir/$realname" \
+             'exit $?'
+         tstripme="$stripme"
+         case $host_os in
+         cygwin* | mingw* | pw32* | cegcc*)
+           case $realname in
+           *.dll.a)
+             tstripme=""
+             ;;
+           esac
+           ;;
+         esac
+         if test -n "$tstripme" && test -n "$striplib"; then
+           func_show_eval "$striplib $destdir/$realname" 'exit $?'
+         fi
+
+         if test "$#" -gt 0; then
+           # Delete the old symlinks, and create new ones.
+           # Try `ln -sf' first, because the `ln' binary might depend on
+           # the symlink we replace!  Solaris /bin/ln does not understand -f,
+           # so we also need to try rm && ln -s.
+           for linkname
+           do
+             test "$linkname" != "$realname" \
+               && func_show_eval "(cd $destdir && { $LN_S -f $realname $linkname || { $RM $linkname && $LN_S $realname $linkname; }; })"
+           done
+         fi
+
+         # Do each command in the postinstall commands.
+         lib="$destdir/$realname"
+         func_execute_cmds "$postinstall_cmds" 'exit $?'
+       fi
+
+       # Install the pseudo-library for information purposes.
+       func_basename "$file"
+       name="$func_basename_result"
+       instname="$dir/$name"i
+       func_show_eval "$install_prog $instname $destdir/$name" 'exit $?'
+
+       # Maybe install the static library, too.
+       test -n "$old_library" && staticlibs="$staticlibs $dir/$old_library"
+       ;;
+
+      *.lo)
+       # Install (i.e. copy) a libtool object.
+
+       # Figure out destination file name, if it wasn't already specified.
+       if test -n "$destname"; then
+         destfile="$destdir/$destname"
+       else
+         func_basename "$file"
+         destfile="$func_basename_result"
+         destfile="$destdir/$destfile"
+       fi
+
+       # Deduce the name of the destination old-style object file.
+       case $destfile in
+       *.lo)
+         func_lo2o "$destfile"
+         staticdest=$func_lo2o_result
+         ;;
+       *.$objext)
+         staticdest="$destfile"
+         destfile=
+         ;;
+       *)
+         func_fatal_help "cannot copy a libtool object to \`$destfile'"
+         ;;
+       esac
+
+       # Install the libtool object if requested.
+       test -n "$destfile" && \
+         func_show_eval "$install_prog $file $destfile" 'exit $?'
+
+       # Install the old object if enabled.
+       if test "$build_old_libs" = yes; then
+         # Deduce the name of the old-style object file.
+         func_lo2o "$file"
+         staticobj=$func_lo2o_result
+         func_show_eval "$install_prog \$staticobj \$staticdest" 'exit $?'
+       fi
+       exit $EXIT_SUCCESS
+       ;;
+
+      *)
+       # Figure out destination file name, if it wasn't already specified.
+       if test -n "$destname"; then
+         destfile="$destdir/$destname"
+       else
+         func_basename "$file"
+         destfile="$func_basename_result"
+         destfile="$destdir/$destfile"
+       fi
+
+       # If the file is missing, and there is a .exe on the end, strip it
+       # because it is most likely a libtool script we actually want to
+       # install
+       stripped_ext=""
+       case $file in
+         *.exe)
+           if test ! -f "$file"; then
+             func_stripname '' '.exe' "$file"
+             file=$func_stripname_result
+             stripped_ext=".exe"
+           fi
+           ;;
+       esac
+
+       # Do a test to see if this is really a libtool program.
+       case $host in
+       *cygwin* | *mingw*)
+           if func_ltwrapper_executable_p "$file"; then
+             func_ltwrapper_scriptname "$file"
+             wrapper=$func_ltwrapper_scriptname_result
+           else
+             func_stripname '' '.exe' "$file"
+             wrapper=$func_stripname_result
+           fi
+           ;;
+       *)
+           wrapper=$file
+           ;;
+       esac
+       if func_ltwrapper_script_p "$wrapper"; then
+         notinst_deplibs=
+         relink_command=
+
+         func_source "$wrapper"
+
+         # Check the variables that should have been set.
+         test -z "$generated_by_libtool_version" && \
+           func_fatal_error "invalid libtool wrapper script \`$wrapper'"
+
+         finalize=yes
+         for lib in $notinst_deplibs; do
+           # Check to see that each library is installed.
+           libdir=
+           if test -f "$lib"; then
+             func_source "$lib"
+           fi
+           libfile="$libdir/"`$ECHO "X$lib" | $Xsed -e 's%^.*/%%g'` ### testsuite: skip nested quoting test
+           if test -n "$libdir" && test ! -f "$libfile"; then
+             func_warning "\`$lib' has not been installed in \`$libdir'"
+             finalize=no
+           fi
+         done
+
+         relink_command=
+         func_source "$wrapper"
+
+         outputname=
+         if test "$fast_install" = no && test -n "$relink_command"; then
+           $opt_dry_run || {
+             if test "$finalize" = yes; then
+               tmpdir=`func_mktempdir`
+               func_basename "$file$stripped_ext"
+               file="$func_basename_result"
+               outputname="$tmpdir/$file"
+               # Replace the output file specification.
+               relink_command=`$ECHO "X$relink_command" | $Xsed -e 's%@OUTPUT@%'"$outputname"'%g'`
+
+               $opt_silent || {
+                 func_quote_for_expand "$relink_command"
+                 eval "func_echo $func_quote_for_expand_result"
+               }
+               if eval "$relink_command"; then :
+                 else
+                 func_error "error: relink \`$file' with the above command before installing it"
+                 $opt_dry_run || ${RM}r "$tmpdir"
+                 continue
+               fi
+               file="$outputname"
+             else
+               func_warning "cannot relink \`$file'"
+             fi
+           }
+         else
+           # Install the binary that we compiled earlier.
+           file=`$ECHO "X$file$stripped_ext" | $Xsed -e "s%\([^/]*\)$%$objdir/\1%"`
+         fi
+       fi
+
+       # remove .exe since cygwin /usr/bin/install will append another
+       # one anyway
+       case $install_prog,$host in
+       */usr/bin/install*,*cygwin*)
+         case $file:$destfile in
+         *.exe:*.exe)
+           # this is ok
+           ;;
+         *.exe:*)
+           destfile=$destfile.exe
+           ;;
+         *:*.exe)
+           func_stripname '' '.exe' "$destfile"
+           destfile=$func_stripname_result
+           ;;
+         esac
+         ;;
+       esac
+       func_show_eval "$install_prog\$stripme \$file \$destfile" 'exit $?'
+       $opt_dry_run || if test -n "$outputname"; then
+         ${RM}r "$tmpdir"
+       fi
+       ;;
+      esac
+    done
+
+    for file in $staticlibs; do
+      func_basename "$file"
+      name="$func_basename_result"
+
+      # Set up the ranlib parameters.
+      oldlib="$destdir/$name"
+
+      func_show_eval "$install_prog \$file \$oldlib" 'exit $?'
+
+      if test -n "$stripme" && test -n "$old_striplib"; then
+       func_show_eval "$old_striplib $oldlib" 'exit $?'
+      fi
+
+      # Do each command in the postinstall commands.
+      func_execute_cmds "$old_postinstall_cmds" 'exit $?'
+    done
+
+    test -n "$future_libdirs" && \
+      func_warning "remember to run \`$progname --finish$future_libdirs'"
+
+    if test -n "$current_libdirs"; then
+      # Maybe just do a dry run.
+      $opt_dry_run && current_libdirs=" -n$current_libdirs"
+      exec_cmd='$SHELL $progpath $preserve_args --finish$current_libdirs'
+    else
+      exit $EXIT_SUCCESS
+    fi
+}
+
+test "$mode" = install && func_mode_install ${1+"$@"}
+
+
+# func_generate_dlsyms outputname originator pic_p
+# Extract symbols from dlprefiles and create ${outputname}S.o with
+# a dlpreopen symbol table.
+func_generate_dlsyms ()
+{
+    $opt_debug
+    my_outputname="$1"
+    my_originator="$2"
+    my_pic_p="${3-no}"
+    my_prefix=`$ECHO "$my_originator" | sed 's%[^a-zA-Z0-9]%_%g'`
+    my_dlsyms=
+
+    if test -n "$dlfiles$dlprefiles" || test "$dlself" != no; then
+      if test -n "$NM" && test -n "$global_symbol_pipe"; then
+       my_dlsyms="${my_outputname}S.c"
+      else
+       func_error "not configured to extract global symbols from dlpreopened files"
+      fi
+    fi
+
+    if test -n "$my_dlsyms"; then
+      case $my_dlsyms in
+      "") ;;
+      *.c)
+       # Discover the nlist of each of the dlfiles.
+       nlist="$output_objdir/${my_outputname}.nm"
+
+       func_show_eval "$RM $nlist ${nlist}S ${nlist}T"
+
+       # Parse the name list into a source file.
+       func_verbose "creating $output_objdir/$my_dlsyms"
+
+       $opt_dry_run || $ECHO > "$output_objdir/$my_dlsyms" "\
+/* $my_dlsyms - symbol resolution table for \`$my_outputname' dlsym emulation. */
+/* Generated by $PROGRAM (GNU $PACKAGE$TIMESTAMP) $VERSION */
+
+#ifdef __cplusplus
+extern \"C\" {
+#endif
+
+/* External symbol declarations for the compiler. */\
+"
+
+       if test "$dlself" = yes; then
+         func_verbose "generating symbol list for \`$output'"
+
+         $opt_dry_run || echo ': @PROGRAM@ ' > "$nlist"
+
+         # Add our own program objects to the symbol list.
+         progfiles=`$ECHO "X$objs$old_deplibs" | $SP2NL | $Xsed -e "$lo2o" | $NL2SP`
+         for progfile in $progfiles; do
+           func_verbose "extracting global C symbols from \`$progfile'"
+           $opt_dry_run || eval "$NM $progfile | $global_symbol_pipe >> '$nlist'"
+         done
+
+         if test -n "$exclude_expsyms"; then
+           $opt_dry_run || {
+             eval '$EGREP -v " ($exclude_expsyms)$" "$nlist" > "$nlist"T'
+             eval '$MV "$nlist"T "$nlist"'
+           }
+         fi
+
+         if test -n "$export_symbols_regex"; then
+           $opt_dry_run || {
+             eval '$EGREP -e "$export_symbols_regex" "$nlist" > "$nlist"T'
+             eval '$MV "$nlist"T "$nlist"'
+           }
+         fi
+
+         # Prepare the list of exported symbols
+         if test -z "$export_symbols"; then
+           export_symbols="$output_objdir/$outputname.exp"
+           $opt_dry_run || {
+             $RM $export_symbols
+             eval "${SED} -n -e '/^: @PROGRAM@ $/d' -e 's/^.* \(.*\)$/\1/p' "'< "$nlist" > "$export_symbols"'
+             case $host in
+             *cygwin* | *mingw* | *cegcc* )
+                eval "echo EXPORTS "'> "$output_objdir/$outputname.def"'
+                eval 'cat "$export_symbols" >> "$output_objdir/$outputname.def"'
+               ;;
+             esac
+           }
+         else
+           $opt_dry_run || {
+             eval "${SED} -e 's/\([].[*^$]\)/\\\\\1/g' -e 's/^/ /' -e 's/$/$/'"' < "$export_symbols" > "$output_objdir/$outputname.exp"'
+             eval '$GREP -f "$output_objdir/$outputname.exp" < "$nlist" > "$nlist"T'
+             eval '$MV "$nlist"T "$nlist"'
+             case $host in
+               *cygwin | *mingw* | *cegcc* )
+                 eval "echo EXPORTS "'> "$output_objdir/$outputname.def"'
+                 eval 'cat "$nlist" >> "$output_objdir/$outputname.def"'
+                 ;;
+             esac
+           }
+         fi
+       fi
+
+       for dlprefile in $dlprefiles; do
+         func_verbose "extracting global C symbols from \`$dlprefile'"
+         func_basename "$dlprefile"
+         name="$func_basename_result"
+         $opt_dry_run || {
+           eval '$ECHO ": $name " >> "$nlist"'
+           eval "$NM $dlprefile 2>/dev/null | $global_symbol_pipe >> '$nlist'"
+         }
+       done
+
+       $opt_dry_run || {
+         # Make sure we have at least an empty file.
+         test -f "$nlist" || : > "$nlist"
+
+         if test -n "$exclude_expsyms"; then
+           $EGREP -v " ($exclude_expsyms)$" "$nlist" > "$nlist"T
+           $MV "$nlist"T "$nlist"
+         fi
+
+         # Try sorting and uniquifying the output.
+         if $GREP -v "^: " < "$nlist" |
+             if sort -k 3 </dev/null >/dev/null 2>&1; then
+               sort -k 3
+             else
+               sort +2
+             fi |
+             uniq > "$nlist"S; then
+           :
+         else
+           $GREP -v "^: " < "$nlist" > "$nlist"S
+         fi
+
+         if test -f "$nlist"S; then
+           eval "$global_symbol_to_cdecl"' < "$nlist"S >> "$output_objdir/$my_dlsyms"'
+         else
+           $ECHO '/* NONE */' >> "$output_objdir/$my_dlsyms"
+         fi
+
+         $ECHO >> "$output_objdir/$my_dlsyms" "\
+
+/* The mapping between symbol names and symbols.  */
+typedef struct {
+  const char *name;
+  void *address;
+} lt_dlsymlist;
+"
+         case $host in
+         *cygwin* | *mingw* | *cegcc* )
+           $ECHO >> "$output_objdir/$my_dlsyms" "\
+/* DATA imports from DLLs on WIN32 con't be const, because
+   runtime relocations are performed -- see ld's documentation
+   on pseudo-relocs.  */"
+           lt_dlsym_const= ;;
+         *osf5*)
+           echo >> "$output_objdir/$my_dlsyms" "\
+/* This system does not cope well with relocations in const data */"
+           lt_dlsym_const= ;;
+         *)
+           lt_dlsym_const=const ;;
+         esac
+
+         $ECHO >> "$output_objdir/$my_dlsyms" "\
+extern $lt_dlsym_const lt_dlsymlist
+lt_${my_prefix}_LTX_preloaded_symbols[];
+$lt_dlsym_const lt_dlsymlist
+lt_${my_prefix}_LTX_preloaded_symbols[] =
+{\
+  { \"$my_originator\", (void *) 0 },"
+
+         case $need_lib_prefix in
+         no)
+           eval "$global_symbol_to_c_name_address" < "$nlist" >> "$output_objdir/$my_dlsyms"
+           ;;
+         *)
+           eval "$global_symbol_to_c_name_address_lib_prefix" < "$nlist" >> "$output_objdir/$my_dlsyms"
+           ;;
+         esac
+         $ECHO >> "$output_objdir/$my_dlsyms" "\
+  {0, (void *) 0}
+};
+
+/* This works around a problem in FreeBSD linker */
+#ifdef FREEBSD_WORKAROUND
+static const void *lt_preloaded_setup() {
+  return lt_${my_prefix}_LTX_preloaded_symbols;
+}
+#endif
+
+#ifdef __cplusplus
+}
+#endif\
+"
+       } # !$opt_dry_run
+
+       pic_flag_for_symtable=
+       case "$compile_command " in
+       *" -static "*) ;;
+       *)
+         case $host in
+         # compiling the symbol table file with pic_flag works around
+         # a FreeBSD bug that causes programs to crash when -lm is
+         # linked before any other PIC object.  But we must not use
+         # pic_flag when linking with -static.  The problem exists in
+         # FreeBSD 2.2.6 and is fixed in FreeBSD 3.1.
+         *-*-freebsd2*|*-*-freebsd3.0*|*-*-freebsdelf3.0*)
+           pic_flag_for_symtable=" $pic_flag -DFREEBSD_WORKAROUND" ;;
+         *-*-hpux*)
+           pic_flag_for_symtable=" $pic_flag"  ;;
+         *)
+           if test "X$my_pic_p" != Xno; then
+             pic_flag_for_symtable=" $pic_flag"
+           fi
+           ;;
+         esac
+         ;;
+       esac
+       symtab_cflags=
+       for arg in $LTCFLAGS; do
+         case $arg in
+         -pie | -fpie | -fPIE) ;;
+         *) symtab_cflags="$symtab_cflags $arg" ;;
+         esac
+       done
+
+       # Now compile the dynamic symbol file.
+       func_show_eval '(cd $output_objdir && $LTCC$symtab_cflags -c$no_builtin_flag$pic_flag_for_symtable "$my_dlsyms")' 'exit $?'
+
+       # Clean up the generated files.
+       func_show_eval '$RM "$output_objdir/$my_dlsyms" "$nlist" "${nlist}S" "${nlist}T"'
+
+       # Transform the symbol file into the correct name.
+       symfileobj="$output_objdir/${my_outputname}S.$objext"
+       case $host in
+       *cygwin* | *mingw* | *cegcc* )
+         if test -f "$output_objdir/$my_outputname.def"; then
+           compile_command=`$ECHO "X$compile_command" | $Xsed -e "s%@SYMFILE@%$output_objdir/$my_outputname.def $symfileobj%"`
+           finalize_command=`$ECHO "X$finalize_command" | $Xsed -e "s%@SYMFILE@%$output_objdir/$my_outputname.def $symfileobj%"`
+         else
+           compile_command=`$ECHO "X$compile_command" | $Xsed -e "s%@SYMFILE@%$symfileobj%"`
+           finalize_command=`$ECHO "X$finalize_command" | $Xsed -e "s%@SYMFILE@%$symfileobj%"`
+         fi
+         ;;
+       *)
+         compile_command=`$ECHO "X$compile_command" | $Xsed -e "s%@SYMFILE@%$symfileobj%"`
+         finalize_command=`$ECHO "X$finalize_command" | $Xsed -e "s%@SYMFILE@%$symfileobj%"`
+         ;;
+       esac
+       ;;
+      *)
+       func_fatal_error "unknown suffix for \`$my_dlsyms'"
+       ;;
+      esac
+    else
+      # We keep going just in case the user didn't refer to
+      # lt_preloaded_symbols.  The linker will fail if global_symbol_pipe
+      # really was required.
+
+      # Nullify the symbol file.
+      compile_command=`$ECHO "X$compile_command" | $Xsed -e "s% @SYMFILE@%%"`
+      finalize_command=`$ECHO "X$finalize_command" | $Xsed -e "s% @SYMFILE@%%"`
+    fi
+}
+
+# func_win32_libid arg
+# return the library type of file 'arg'
+#
+# Need a lot of goo to handle *both* DLLs and import libs
+# Has to be a shell function in order to 'eat' the argument
+# that is supplied when $file_magic_command is called.
+func_win32_libid ()
+{
+  $opt_debug
+  win32_libid_type="unknown"
+  win32_fileres=`file -L $1 2>/dev/null`
+  case $win32_fileres in
+  *ar\ archive\ import\ library*) # definitely import
+    win32_libid_type="x86 archive import"
+    ;;
+  *ar\ archive*) # could be an import, or static
+    if eval $OBJDUMP -f $1 | $SED -e '10q' 2>/dev/null |
+       $EGREP 'file format pe-i386(.*architecture: i386)?' >/dev/null ; then
+      win32_nmres=`eval $NM -f posix -A $1 |
+       $SED -n -e '
+           1,100{
+               / I /{
+                   s,.*,import,
+                   p
+                   q
+               }
+           }'`
+      case $win32_nmres in
+      import*)  win32_libid_type="x86 archive import";;
+      *)        win32_libid_type="x86 archive static";;
+      esac
+    fi
+    ;;
+  *DLL*)
+    win32_libid_type="x86 DLL"
+    ;;
+  *executable*) # but shell scripts are "executable" too...
+    case $win32_fileres in
+    *MS\ Windows\ PE\ Intel*)
+      win32_libid_type="x86 DLL"
+      ;;
+    esac
+    ;;
+  esac
+  $ECHO "$win32_libid_type"
+}
+
+
+
+# func_extract_an_archive dir oldlib
+func_extract_an_archive ()
+{
+    $opt_debug
+    f_ex_an_ar_dir="$1"; shift
+    f_ex_an_ar_oldlib="$1"
+    func_show_eval "(cd \$f_ex_an_ar_dir && $AR x \"\$f_ex_an_ar_oldlib\")" 'exit $?'
+    if ($AR t "$f_ex_an_ar_oldlib" | sort | sort -uc >/dev/null 2>&1); then
+     :
+    else
+      func_fatal_error "object name conflicts in archive: $f_ex_an_ar_dir/$f_ex_an_ar_oldlib"
+    fi
+}
+
+
+# func_extract_archives gentop oldlib ...
+func_extract_archives ()
+{
+    $opt_debug
+    my_gentop="$1"; shift
+    my_oldlibs=${1+"$@"}
+    my_oldobjs=""
+    my_xlib=""
+    my_xabs=""
+    my_xdir=""
+
+    for my_xlib in $my_oldlibs; do
+      # Extract the objects.
+      case $my_xlib in
+       [\\/]* | [A-Za-z]:[\\/]*) my_xabs="$my_xlib" ;;
+       *) my_xabs=`pwd`"/$my_xlib" ;;
+      esac
+      func_basename "$my_xlib"
+      my_xlib="$func_basename_result"
+      my_xlib_u=$my_xlib
+      while :; do
+        case " $extracted_archives " in
+       *" $my_xlib_u "*)
+         func_arith $extracted_serial + 1
+         extracted_serial=$func_arith_result
+         my_xlib_u=lt$extracted_serial-$my_xlib ;;
+       *) break ;;
+       esac
+      done
+      extracted_archives="$extracted_archives $my_xlib_u"
+      my_xdir="$my_gentop/$my_xlib_u"
+
+      func_mkdir_p "$my_xdir"
+
+      case $host in
+      *-darwin*)
+       func_verbose "Extracting $my_xabs"
+       # Do not bother doing anything if just a dry run
+       $opt_dry_run || {
+         darwin_orig_dir=`pwd`
+         cd $my_xdir || exit $?
+         darwin_archive=$my_xabs
+         darwin_curdir=`pwd`
+         darwin_base_archive=`basename "$darwin_archive"`
+         darwin_arches=`$LIPO -info "$darwin_archive" 2>/dev/null | $GREP Architectures 2>/dev/null || true`
+         if test -n "$darwin_arches"; then
+           darwin_arches=`$ECHO "$darwin_arches" | $SED -e 's/.*are://'`
+           darwin_arch=
+           func_verbose "$darwin_base_archive has multiple architectures $darwin_arches"
+           for darwin_arch in  $darwin_arches ; do
+             func_mkdir_p "unfat-$$/${darwin_base_archive}-${darwin_arch}"
+             $LIPO -thin $darwin_arch -output "unfat-$$/${darwin_base_archive}-${darwin_arch}/${darwin_base_archive}" "${darwin_archive}"
+             cd "unfat-$$/${darwin_base_archive}-${darwin_arch}"
+             func_extract_an_archive "`pwd`" "${darwin_base_archive}"
+             cd "$darwin_curdir"
+             $RM "unfat-$$/${darwin_base_archive}-${darwin_arch}/${darwin_base_archive}"
+           done # $darwin_arches
+            ## Okay now we've a bunch of thin objects, gotta fatten them up :)
+           darwin_filelist=`find unfat-$$ -type f -name \*.o -print -o -name \*.lo -print | $SED -e "$basename" | sort -u`
+           darwin_file=
+           darwin_files=
+           for darwin_file in $darwin_filelist; do
+             darwin_files=`find unfat-$$ -name $darwin_file -print | $NL2SP`
+             $LIPO -create -output "$darwin_file" $darwin_files
+           done # $darwin_filelist
+           $RM -rf unfat-$$
+           cd "$darwin_orig_dir"
+         else
+           cd $darwin_orig_dir
+           func_extract_an_archive "$my_xdir" "$my_xabs"
+         fi # $darwin_arches
+       } # !$opt_dry_run
+       ;;
+      *)
+        func_extract_an_archive "$my_xdir" "$my_xabs"
+       ;;
+      esac
+      my_oldobjs="$my_oldobjs "`find $my_xdir -name \*.$objext -print -o -name \*.lo -print | $NL2SP`
+    done
+
+    func_extract_archives_result="$my_oldobjs"
+}
+
+
+
+# func_emit_wrapper_part1 [arg=no]
+#
+# Emit the first part of a libtool wrapper script on stdout.
+# For more information, see the description associated with
+# func_emit_wrapper(), below.
+func_emit_wrapper_part1 ()
+{
+       func_emit_wrapper_part1_arg1=no
+       if test -n "$1" ; then
+         func_emit_wrapper_part1_arg1=$1
+       fi
+
+       $ECHO "\
+#! $SHELL
+
+# $output - temporary wrapper script for $objdir/$outputname
+# Generated by $PROGRAM (GNU $PACKAGE$TIMESTAMP) $VERSION
+#
+# The $output program cannot be directly executed until all the libtool
+# libraries that it depends on are installed.
+#
+# This wrapper script should never be moved out of the build directory.
+# If it is, it will not operate correctly.
+
+# Sed substitution that helps us do robust quoting.  It backslashifies
+# metacharacters that are still active within double-quoted strings.
+Xsed='${SED} -e 1s/^X//'
+sed_quote_subst='$sed_quote_subst'
+
+# Be Bourne compatible
+if test -n \"\${ZSH_VERSION+set}\" && (emulate sh) >/dev/null 2>&1; then
+  emulate sh
+  NULLCMD=:
+  # Zsh 3.x and 4.x performs word splitting on \${1+\"\$@\"}, which
+  # is contrary to our usage.  Disable this feature.
+  alias -g '\${1+\"\$@\"}'='\"\$@\"'
+  setopt NO_GLOB_SUBST
+else
+  case \`(set -o) 2>/dev/null\` in *posix*) set -o posix;; esac
+fi
+BIN_SH=xpg4; export BIN_SH # for Tru64
+DUALCASE=1; export DUALCASE # for MKS sh
+
+# The HP-UX ksh and POSIX shell print the target directory to stdout
+# if CDPATH is set.
+(unset CDPATH) >/dev/null 2>&1 && unset CDPATH
+
+relink_command=\"$relink_command\"
+
+# This environment variable determines our operation mode.
+if test \"\$libtool_install_magic\" = \"$magic\"; then
+  # install mode needs the following variables:
+  generated_by_libtool_version='$macro_version'
+  notinst_deplibs='$notinst_deplibs'
+else
+  # When we are sourced in execute mode, \$file and \$ECHO are already set.
+  if test \"\$libtool_execute_magic\" != \"$magic\"; then
+    ECHO=\"$qecho\"
+    file=\"\$0\"
+    # Make sure echo works.
+    if test \"X\$1\" = X--no-reexec; then
+      # Discard the --no-reexec flag, and continue.
+      shift
+    elif test \"X\`{ \$ECHO '\t'; } 2>/dev/null\`\" = 'X\t'; then
+      # Yippee, \$ECHO works!
+      :
+    else
+      # Restart under the correct shell, and then maybe \$ECHO will work.
+      exec $SHELL \"\$0\" --no-reexec \${1+\"\$@\"}
+    fi
+  fi\
+"
+       $ECHO "\
+
+  # Find the directory that this script lives in.
+  thisdir=\`\$ECHO \"X\$file\" | \$Xsed -e 's%/[^/]*$%%'\`
+  test \"x\$thisdir\" = \"x\$file\" && thisdir=.
+
+  # Follow symbolic links until we get to the real thisdir.
+  file=\`ls -ld \"\$file\" | ${SED} -n 's/.*-> //p'\`
+  while test -n \"\$file\"; do
+    destdir=\`\$ECHO \"X\$file\" | \$Xsed -e 's%/[^/]*\$%%'\`
+
+    # If there was a directory component, then change thisdir.
+    if test \"x\$destdir\" != \"x\$file\"; then
+      case \"\$destdir\" in
+      [\\\\/]* | [A-Za-z]:[\\\\/]*) thisdir=\"\$destdir\" ;;
+      *) thisdir=\"\$thisdir/\$destdir\" ;;
+      esac
+    fi
+
+    file=\`\$ECHO \"X\$file\" | \$Xsed -e 's%^.*/%%'\`
+    file=\`ls -ld \"\$thisdir/\$file\" | ${SED} -n 's/.*-> //p'\`
+  done
+"
+}
+# end: func_emit_wrapper_part1
+
+# func_emit_wrapper_part2 [arg=no]
+#
+# Emit the second part of a libtool wrapper script on stdout.
+# For more information, see the description associated with
+# func_emit_wrapper(), below.
+func_emit_wrapper_part2 ()
+{
+       func_emit_wrapper_part2_arg1=no
+       if test -n "$1" ; then
+         func_emit_wrapper_part2_arg1=$1
+       fi
+
+       $ECHO "\
+
+  # Usually 'no', except on cygwin/mingw when embedded into
+  # the cwrapper.
+  WRAPPER_SCRIPT_BELONGS_IN_OBJDIR=$func_emit_wrapper_part2_arg1
+  if test \"\$WRAPPER_SCRIPT_BELONGS_IN_OBJDIR\" = \"yes\"; then
+    # special case for '.'
+    if test \"\$thisdir\" = \".\"; then
+      thisdir=\`pwd\`
+    fi
+    # remove .libs from thisdir
+    case \"\$thisdir\" in
+    *[\\\\/]$objdir ) thisdir=\`\$ECHO \"X\$thisdir\" | \$Xsed -e 's%[\\\\/][^\\\\/]*$%%'\` ;;
+    $objdir )   thisdir=. ;;
+    esac
+  fi
+
+  # Try to get the absolute directory name.
+  absdir=\`cd \"\$thisdir\" && pwd\`
+  test -n \"\$absdir\" && thisdir=\"\$absdir\"
+"
+
+       if test "$fast_install" = yes; then
+         $ECHO "\
+  program=lt-'$outputname'$exeext
+  progdir=\"\$thisdir/$objdir\"
+
+  if test ! -f \"\$progdir/\$program\" ||
+     { file=\`ls -1dt \"\$progdir/\$program\" \"\$progdir/../\$program\" 2>/dev/null | ${SED} 1q\`; \\
+       test \"X\$file\" != \"X\$progdir/\$program\"; }; then
+
+    file=\"\$\$-\$program\"
+
+    if test ! -d \"\$progdir\"; then
+      $MKDIR \"\$progdir\"
+    else
+      $RM \"\$progdir/\$file\"
+    fi"
+
+         $ECHO "\
+
+    # relink executable if necessary
+    if test -n \"\$relink_command\"; then
+      if relink_command_output=\`eval \$relink_command 2>&1\`; then :
+      else
+       $ECHO \"\$relink_command_output\" >&2
+       $RM \"\$progdir/\$file\"
+       exit 1
+      fi
+    fi
+
+    $MV \"\$progdir/\$file\" \"\$progdir/\$program\" 2>/dev/null ||
+    { $RM \"\$progdir/\$program\";
+      $MV \"\$progdir/\$file\" \"\$progdir/\$program\"; }
+    $RM \"\$progdir/\$file\"
+  fi"
+       else
+         $ECHO "\
+  program='$outputname'
+  progdir=\"\$thisdir/$objdir\"
+"
+       fi
+
+       $ECHO "\
+
+  if test -f \"\$progdir/\$program\"; then"
+
+       # Export our shlibpath_var if we have one.
+       if test "$shlibpath_overrides_runpath" = yes && test -n "$shlibpath_var" && test -n "$temp_rpath"; then
+         $ECHO "\
+    # Add our own library path to $shlibpath_var
+    $shlibpath_var=\"$temp_rpath\$$shlibpath_var\"
+
+    # Some systems cannot cope with colon-terminated $shlibpath_var
+    # The second colon is a workaround for a bug in BeOS R4 sed
+    $shlibpath_var=\`\$ECHO \"X\$$shlibpath_var\" | \$Xsed -e 's/::*\$//'\`
+
+    export $shlibpath_var
+"
+       fi
+
+       # fixup the dll searchpath if we need to.
+       if test -n "$dllsearchpath"; then
+         $ECHO "\
+    # Add the dll search path components to the executable PATH
+    PATH=$dllsearchpath:\$PATH
+"
+       fi
+
+       $ECHO "\
+    if test \"\$libtool_execute_magic\" != \"$magic\"; then
+      # Run the actual program with our arguments.
+"
+       case $host in
+       # Backslashes separate directories on plain windows
+       *-*-mingw | *-*-os2* | *-cegcc*)
+         $ECHO "\
+      exec \"\$progdir\\\\\$program\" \${1+\"\$@\"}
+"
+         ;;
+
+       *)
+         $ECHO "\
+      exec \"\$progdir/\$program\" \${1+\"\$@\"}
+"
+         ;;
+       esac
+       $ECHO "\
+      \$ECHO \"\$0: cannot exec \$program \$*\" 1>&2
+      exit 1
+    fi
+  else
+    # The program doesn't exist.
+    \$ECHO \"\$0: error: \\\`\$progdir/\$program' does not exist\" 1>&2
+    \$ECHO \"This script is just a wrapper for \$program.\" 1>&2
+    $ECHO \"See the $PACKAGE documentation for more information.\" 1>&2
+    exit 1
+  fi
+fi\
+"
+}
+# end: func_emit_wrapper_part2
+
+
+# func_emit_wrapper [arg=no]
+#
+# Emit a libtool wrapper script on stdout.
+# Don't directly open a file because we may want to
+# incorporate the script contents within a cygwin/mingw
+# wrapper executable.  Must ONLY be called from within
+# func_mode_link because it depends on a number of variables
+# set therein.
+#
+# ARG is the value that the WRAPPER_SCRIPT_BELONGS_IN_OBJDIR
+# variable will take.  If 'yes', then the emitted script
+# will assume that the directory in which it is stored is
+# the $objdir directory.  This is a cygwin/mingw-specific
+# behavior.
+func_emit_wrapper ()
+{
+       func_emit_wrapper_arg1=no
+       if test -n "$1" ; then
+         func_emit_wrapper_arg1=$1
+       fi
+
+       # split this up so that func_emit_cwrapperexe_src
+       # can call each part independently.
+       func_emit_wrapper_part1 "${func_emit_wrapper_arg1}"
+       func_emit_wrapper_part2 "${func_emit_wrapper_arg1}"
+}
+
+
+# func_to_host_path arg
+#
+# Convert paths to host format when used with build tools.
+# Intended for use with "native" mingw (where libtool itself
+# is running under the msys shell), or in the following cross-
+# build environments:
+#    $build          $host
+#    mingw (msys)    mingw  [e.g. native]
+#    cygwin          mingw
+#    *nix + wine     mingw
+# where wine is equipped with the `winepath' executable.
+# In the native mingw case, the (msys) shell automatically
+# converts paths for any non-msys applications it launches,
+# but that facility isn't available from inside the cwrapper.
+# Similar accommodations are necessary for $host mingw and
+# $build cygwin.  Calling this function does no harm for other
+# $host/$build combinations not listed above.
+#
+# ARG is the path (on $build) that should be converted to
+# the proper representation for $host. The result is stored
+# in $func_to_host_path_result.
+func_to_host_path ()
+{
+  func_to_host_path_result="$1"
+  if test -n "$1" ; then
+    case $host in
+      *mingw* )
+        lt_sed_naive_backslashify='s|\\\\*|\\|g;s|/|\\|g;s|\\|\\\\|g'
+        case $build in
+          *mingw* ) # actually, msys
+            # awkward: cmd appends spaces to result
+            lt_sed_strip_trailing_spaces="s/[ ]*\$//"
+            func_to_host_path_tmp1=`( cmd //c echo "$1" |\
+              $SED -e "$lt_sed_strip_trailing_spaces" ) 2>/dev/null || echo ""`
+            func_to_host_path_result=`echo "$func_to_host_path_tmp1" |\
+              $SED -e "$lt_sed_naive_backslashify"`
+            ;;
+          *cygwin* )
+            func_to_host_path_tmp1=`cygpath -w "$1"`
+            func_to_host_path_result=`echo "$func_to_host_path_tmp1" |\
+              $SED -e "$lt_sed_naive_backslashify"`
+            ;;
+          * )
+            # Unfortunately, winepath does not exit with a non-zero
+            # error code, so we are forced to check the contents of
+            # stdout. On the other hand, if the command is not
+            # found, the shell will set an exit code of 127 and print
+            # *an error message* to stdout. So we must check for both
+            # error code of zero AND non-empty stdout, which explains
+            # the odd construction:
+            func_to_host_path_tmp1=`winepath -w "$1" 2>/dev/null`
+            if test "$?" -eq 0 && test -n "${func_to_host_path_tmp1}"; then
+              func_to_host_path_result=`echo "$func_to_host_path_tmp1" |\
+                $SED -e "$lt_sed_naive_backslashify"`
+            else
+              # Allow warning below.
+              func_to_host_path_result=""
+            fi
+            ;;
+        esac
+        if test -z "$func_to_host_path_result" ; then
+          func_error "Could not determine host path corresponding to"
+          func_error "  '$1'"
+          func_error "Continuing, but uninstalled executables may not work."
+          # Fallback:
+          func_to_host_path_result="$1"
+        fi
+        ;;
+    esac
+  fi
+}
+# end: func_to_host_path
+
+# func_to_host_pathlist arg
+#
+# Convert pathlists to host format when used with build tools.
+# See func_to_host_path(), above. This function supports the
+# following $build/$host combinations (but does no harm for
+# combinations not listed here):
+#    $build          $host
+#    mingw (msys)    mingw  [e.g. native]
+#    cygwin          mingw
+#    *nix + wine     mingw
+#
+# Path separators are also converted from $build format to
+# $host format. If ARG begins or ends with a path separator
+# character, it is preserved (but converted to $host format)
+# on output.
+#
+# ARG is a pathlist (on $build) that should be converted to
+# the proper representation on $host. The result is stored
+# in $func_to_host_pathlist_result.
+func_to_host_pathlist ()
+{
+  func_to_host_pathlist_result="$1"
+  if test -n "$1" ; then
+    case $host in
+      *mingw* )
+        lt_sed_naive_backslashify='s|\\\\*|\\|g;s|/|\\|g;s|\\|\\\\|g'
+        # Remove leading and trailing path separator characters from
+        # ARG. msys behavior is inconsistent here, cygpath turns them
+        # into '.;' and ';.', and winepath ignores them completely.
+        func_to_host_pathlist_tmp2="$1"
+        # Once set for this call, this variable should not be
+        # reassigned. It is used in tha fallback case.
+        func_to_host_pathlist_tmp1=`echo "$func_to_host_pathlist_tmp2" |\
+          $SED -e 's|^:*||' -e 's|:*$||'`
+        case $build in
+          *mingw* ) # Actually, msys.
+            # Awkward: cmd appends spaces to result.
+            lt_sed_strip_trailing_spaces="s/[ ]*\$//"
+            func_to_host_pathlist_tmp2=`( cmd //c echo "$func_to_host_pathlist_tmp1" |\
+              $SED -e "$lt_sed_strip_trailing_spaces" ) 2>/dev/null || echo ""`
+            func_to_host_pathlist_result=`echo "$func_to_host_pathlist_tmp2" |\
+              $SED -e "$lt_sed_naive_backslashify"`
+            ;;
+          *cygwin* )
+            func_to_host_pathlist_tmp2=`cygpath -w -p "$func_to_host_pathlist_tmp1"`
+            func_to_host_pathlist_result=`echo "$func_to_host_pathlist_tmp2" |\
+              $SED -e "$lt_sed_naive_backslashify"`
+            ;;
+          * )
+            # unfortunately, winepath doesn't convert pathlists
+            func_to_host_pathlist_result=""
+            func_to_host_pathlist_oldIFS=$IFS
+            IFS=:
+            for func_to_host_pathlist_f in $func_to_host_pathlist_tmp1 ; do
+              IFS=$func_to_host_pathlist_oldIFS
+              if test -n "$func_to_host_pathlist_f" ; then
+                func_to_host_path "$func_to_host_pathlist_f"
+                if test -n "$func_to_host_path_result" ; then
+                  if test -z "$func_to_host_pathlist_result" ; then
+                    func_to_host_pathlist_result="$func_to_host_path_result"
+                  else
+                    func_to_host_pathlist_result="$func_to_host_pathlist_result;$func_to_host_path_result"
+                  fi
+                fi
+              fi
+              IFS=:
+            done
+            IFS=$func_to_host_pathlist_oldIFS
+            ;;
+        esac
+        if test -z "$func_to_host_pathlist_result" ; then
+          func_error "Could not determine the host path(s) corresponding to"
+          func_error "  '$1'"
+          func_error "Continuing, but uninstalled executables may not work."
+          # Fallback. This may break if $1 contains DOS-style drive
+          # specifications. The fix is not to complicate the expression
+          # below, but for the user to provide a working wine installation
+          # with winepath so that path translation in the cross-to-mingw
+          # case works properly.
+          lt_replace_pathsep_nix_to_dos="s|:|;|g"
+          func_to_host_pathlist_result=`echo "$func_to_host_pathlist_tmp1" |\
+            $SED -e "$lt_replace_pathsep_nix_to_dos"`
+        fi
+        # Now, add the leading and trailing path separators back
+        case "$1" in
+          :* ) func_to_host_pathlist_result=";$func_to_host_pathlist_result"
+            ;;
+        esac
+        case "$1" in
+          *: ) func_to_host_pathlist_result="$func_to_host_pathlist_result;"
+            ;;
+        esac
+        ;;
+    esac
+  fi
+}
+# end: func_to_host_pathlist
+
+# func_emit_cwrapperexe_src
+# emit the source code for a wrapper executable on stdout
+# Must ONLY be called from within func_mode_link because
+# it depends on a number of variable set therein.
+func_emit_cwrapperexe_src ()
+{
+       cat <<EOF
+
+/* $cwrappersource - temporary wrapper executable for $objdir/$outputname
+   Generated by $PROGRAM (GNU $PACKAGE$TIMESTAMP) $VERSION
+
+   The $output program cannot be directly executed until all the libtool
+   libraries that it depends on are installed.
+
+   This wrapper executable should never be moved out of the build directory.
+   If it is, it will not operate correctly.
+
+   Currently, it simply execs the wrapper *script* "$SHELL $output",
+   but could eventually absorb all of the scripts functionality and
+   exec $objdir/$outputname directly.
+*/
+EOF
+           cat <<"EOF"
+#include <stdio.h>
+#include <stdlib.h>
+#ifdef _MSC_VER
+# include <direct.h>
+# include <process.h>
+# include <io.h>
+# define setmode _setmode
+#else
+# include <unistd.h>
+# include <stdint.h>
+# ifdef __CYGWIN__
+#  include <io.h>
+#  define HAVE_SETENV
+#  ifdef __STRICT_ANSI__
+char *realpath (const char *, char *);
+int putenv (char *);
+int setenv (const char *, const char *, int);
+#  endif
+# endif
+#endif
+#include <malloc.h>
+#include <stdarg.h>
+#include <assert.h>
+#include <string.h>
+#include <ctype.h>
+#include <errno.h>
+#include <fcntl.h>
+#include <sys/stat.h>
+
+#if defined(PATH_MAX)
+# define LT_PATHMAX PATH_MAX
+#elif defined(MAXPATHLEN)
+# define LT_PATHMAX MAXPATHLEN
+#else
+# define LT_PATHMAX 1024
+#endif
+
+#ifndef S_IXOTH
+# define S_IXOTH 0
+#endif
+#ifndef S_IXGRP
+# define S_IXGRP 0
+#endif
+
+#ifdef _MSC_VER
+# define S_IXUSR _S_IEXEC
+# define stat _stat
+# ifndef _INTPTR_T_DEFINED
+#  define intptr_t int
+# endif
+#endif
+
+#ifndef DIR_SEPARATOR
+# define DIR_SEPARATOR '/'
+# define PATH_SEPARATOR ':'
+#endif
+
+#if defined (_WIN32) || defined (__MSDOS__) || defined (__DJGPP__) || \
+  defined (__OS2__)
+# define HAVE_DOS_BASED_FILE_SYSTEM
+# define FOPEN_WB "wb"
+# ifndef DIR_SEPARATOR_2
+#  define DIR_SEPARATOR_2 '\\'
+# endif
+# ifndef PATH_SEPARATOR_2
+#  define PATH_SEPARATOR_2 ';'
+# endif
+#endif
+
+#ifndef DIR_SEPARATOR_2
+# define IS_DIR_SEPARATOR(ch) ((ch) == DIR_SEPARATOR)
+#else /* DIR_SEPARATOR_2 */
+# define IS_DIR_SEPARATOR(ch) \
+       (((ch) == DIR_SEPARATOR) || ((ch) == DIR_SEPARATOR_2))
+#endif /* DIR_SEPARATOR_2 */
+
+#ifndef PATH_SEPARATOR_2
+# define IS_PATH_SEPARATOR(ch) ((ch) == PATH_SEPARATOR)
+#else /* PATH_SEPARATOR_2 */
+# define IS_PATH_SEPARATOR(ch) ((ch) == PATH_SEPARATOR_2)
+#endif /* PATH_SEPARATOR_2 */
+
+#ifdef __CYGWIN__
+# define FOPEN_WB "wb"
+#endif
+
+#ifndef FOPEN_WB
+# define FOPEN_WB "w"
+#endif
+#ifndef _O_BINARY
+# define _O_BINARY 0
+#endif
+
+#define XMALLOC(type, num)      ((type *) xmalloc ((num) * sizeof(type)))
+#define XFREE(stale) do { \
+  if (stale) { free ((void *) stale); stale = 0; } \
+} while (0)
+
+#undef LTWRAPPER_DEBUGPRINTF
+#if defined DEBUGWRAPPER
+# define LTWRAPPER_DEBUGPRINTF(args) ltwrapper_debugprintf args
+static void
+ltwrapper_debugprintf (const char *fmt, ...)
+{
+    va_list args;
+    va_start (args, fmt);
+    (void) vfprintf (stderr, fmt, args);
+    va_end (args);
+}
+#else
+# define LTWRAPPER_DEBUGPRINTF(args)
+#endif
+
+const char *program_name = NULL;
+
+void *xmalloc (size_t num);
+char *xstrdup (const char *string);
+const char *base_name (const char *name);
+char *find_executable (const char *wrapper);
+char *chase_symlinks (const char *pathspec);
+int make_executable (const char *path);
+int check_executable (const char *path);
+char *strendzap (char *str, const char *pat);
+void lt_fatal (const char *message, ...);
+void lt_setenv (const char *name, const char *value);
+char *lt_extend_str (const char *orig_value, const char *add, int to_end);
+void lt_opt_process_env_set (const char *arg);
+void lt_opt_process_env_prepend (const char *arg);
+void lt_opt_process_env_append (const char *arg);
+int lt_split_name_value (const char *arg, char** name, char** value);
+void lt_update_exe_path (const char *name, const char *value);
+void lt_update_lib_path (const char *name, const char *value);
+
+static const char *script_text_part1 =
+EOF
+
+           func_emit_wrapper_part1 yes |
+               $SED -e 's/\([\\"]\)/\\\1/g' \
+                    -e 's/^/  "/' -e 's/$/\\n"/'
+           echo ";"
+           cat <<EOF
+
+static const char *script_text_part2 =
+EOF
+           func_emit_wrapper_part2 yes |
+               $SED -e 's/\([\\"]\)/\\\1/g' \
+                    -e 's/^/  "/' -e 's/$/\\n"/'
+           echo ";"
+
+           cat <<EOF
+const char * MAGIC_EXE = "$magic_exe";
+const char * LIB_PATH_VARNAME = "$shlibpath_var";
+EOF
+
+           if test "$shlibpath_overrides_runpath" = yes && test -n "$shlibpath_var" && test -n "$temp_rpath"; then
+              func_to_host_pathlist "$temp_rpath"
+             cat <<EOF
+const char * LIB_PATH_VALUE   = "$func_to_host_pathlist_result";
+EOF
+           else
+             cat <<"EOF"
+const char * LIB_PATH_VALUE   = "";
+EOF
+           fi
+
+           if test -n "$dllsearchpath"; then
+              func_to_host_pathlist "$dllsearchpath:"
+             cat <<EOF
+const char * EXE_PATH_VARNAME = "PATH";
+const char * EXE_PATH_VALUE   = "$func_to_host_pathlist_result";
+EOF
+           else
+             cat <<"EOF"
+const char * EXE_PATH_VARNAME = "";
+const char * EXE_PATH_VALUE   = "";
+EOF
+           fi
+
+           if test "$fast_install" = yes; then
+             cat <<EOF
+const char * TARGET_PROGRAM_NAME = "lt-$outputname"; /* hopefully, no .exe */
+EOF
+           else
+             cat <<EOF
+const char * TARGET_PROGRAM_NAME = "$outputname"; /* hopefully, no .exe */
+EOF
+           fi
+
+
+           cat <<"EOF"
+
+#define LTWRAPPER_OPTION_PREFIX         "--lt-"
+#define LTWRAPPER_OPTION_PREFIX_LENGTH  5
+
+static const size_t opt_prefix_len         = LTWRAPPER_OPTION_PREFIX_LENGTH;
+static const char *ltwrapper_option_prefix = LTWRAPPER_OPTION_PREFIX;
+
+static const char *dumpscript_opt       = LTWRAPPER_OPTION_PREFIX "dump-script";
+
+static const size_t env_set_opt_len     = LTWRAPPER_OPTION_PREFIX_LENGTH + 7;
+static const char *env_set_opt          = LTWRAPPER_OPTION_PREFIX "env-set";
+  /* argument is putenv-style "foo=bar", value of foo is set to bar */
+
+static const size_t env_prepend_opt_len = LTWRAPPER_OPTION_PREFIX_LENGTH + 11;
+static const char *env_prepend_opt      = LTWRAPPER_OPTION_PREFIX "env-prepend";
+  /* argument is putenv-style "foo=bar", new value of foo is bar${foo} */
+
+static const size_t env_append_opt_len  = LTWRAPPER_OPTION_PREFIX_LENGTH + 10;
+static const char *env_append_opt       = LTWRAPPER_OPTION_PREFIX "env-append";
+  /* argument is putenv-style "foo=bar", new value of foo is ${foo}bar */
+
+int
+main (int argc, char *argv[])
+{
+  char **newargz;
+  int  newargc;
+  char *tmp_pathspec;
+  char *actual_cwrapper_path;
+  char *actual_cwrapper_name;
+  char *target_name;
+  char *lt_argv_zero;
+  intptr_t rval = 127;
+
+  int i;
+
+  program_name = (char *) xstrdup (base_name (argv[0]));
+  LTWRAPPER_DEBUGPRINTF (("(main) argv[0]      : %s\n", argv[0]));
+  LTWRAPPER_DEBUGPRINTF (("(main) program_name : %s\n", program_name));
+
+  /* very simple arg parsing; don't want to rely on getopt */
+  for (i = 1; i < argc; i++)
+    {
+      if (strcmp (argv[i], dumpscript_opt) == 0)
+       {
+EOF
+           case "$host" in
+             *mingw* | *cygwin* )
+               # make stdout use "unix" line endings
+               echo "          setmode(1,_O_BINARY);"
+               ;;
+             esac
+
+           cat <<"EOF"
+         printf ("%s", script_text_part1);
+         printf ("%s", script_text_part2);
+         return 0;
+       }
+    }
+
+  newargz = XMALLOC (char *, argc + 1);
+  tmp_pathspec = find_executable (argv[0]);
+  if (tmp_pathspec == NULL)
+    lt_fatal ("Couldn't find %s", argv[0]);
+  LTWRAPPER_DEBUGPRINTF (("(main) found exe (before symlink chase) at : %s\n",
+                         tmp_pathspec));
+
+  actual_cwrapper_path = chase_symlinks (tmp_pathspec);
+  LTWRAPPER_DEBUGPRINTF (("(main) found exe (after symlink chase) at : %s\n",
+                         actual_cwrapper_path));
+  XFREE (tmp_pathspec);
+
+  actual_cwrapper_name = xstrdup( base_name (actual_cwrapper_path));
+  strendzap (actual_cwrapper_path, actual_cwrapper_name);
+
+  /* wrapper name transforms */
+  strendzap (actual_cwrapper_name, ".exe");
+  tmp_pathspec = lt_extend_str (actual_cwrapper_name, ".exe", 1);
+  XFREE (actual_cwrapper_name);
+  actual_cwrapper_name = tmp_pathspec;
+  tmp_pathspec = 0;
+
+  /* target_name transforms -- use actual target program name; might have lt- prefix */
+  target_name = xstrdup (base_name (TARGET_PROGRAM_NAME));
+  strendzap (target_name, ".exe");
+  tmp_pathspec = lt_extend_str (target_name, ".exe", 1);
+  XFREE (target_name);
+  target_name = tmp_pathspec;
+  tmp_pathspec = 0;
+
+  LTWRAPPER_DEBUGPRINTF (("(main) libtool target name: %s\n",
+                         target_name));
+EOF
+
+           cat <<EOF
+  newargz[0] =
+    XMALLOC (char, (strlen (actual_cwrapper_path) +
+                   strlen ("$objdir") + 1 + strlen (actual_cwrapper_name) + 1));
+  strcpy (newargz[0], actual_cwrapper_path);
+  strcat (newargz[0], "$objdir");
+  strcat (newargz[0], "/");
+EOF
+
+           cat <<"EOF"
+  /* stop here, and copy so we don't have to do this twice */
+  tmp_pathspec = xstrdup (newargz[0]);
+
+  /* do NOT want the lt- prefix here, so use actual_cwrapper_name */
+  strcat (newargz[0], actual_cwrapper_name);
+
+  /* DO want the lt- prefix here if it exists, so use target_name */
+  lt_argv_zero = lt_extend_str (tmp_pathspec, target_name, 1);
+  XFREE (tmp_pathspec);
+  tmp_pathspec = NULL;
+EOF
+
+           case $host_os in
+             mingw*)
+           cat <<"EOF"
+  {
+    char* p;
+    while ((p = strchr (newargz[0], '\\')) != NULL)
+      {
+       *p = '/';
+      }
+    while ((p = strchr (lt_argv_zero, '\\')) != NULL)
+      {
+       *p = '/';
+      }
+  }
+EOF
+           ;;
+           esac
+
+           cat <<"EOF"
+  XFREE (target_name);
+  XFREE (actual_cwrapper_path);
+  XFREE (actual_cwrapper_name);
+
+  lt_setenv ("BIN_SH", "xpg4"); /* for Tru64 */
+  lt_setenv ("DUALCASE", "1");  /* for MSK sh */
+  lt_update_lib_path (LIB_PATH_VARNAME, LIB_PATH_VALUE);
+  lt_update_exe_path (EXE_PATH_VARNAME, EXE_PATH_VALUE);
+
+  newargc=0;
+  for (i = 1; i < argc; i++)
+    {
+      if (strncmp (argv[i], env_set_opt, env_set_opt_len) == 0)
+        {
+          if (argv[i][env_set_opt_len] == '=')
+            {
+              const char *p = argv[i] + env_set_opt_len + 1;
+              lt_opt_process_env_set (p);
+            }
+          else if (argv[i][env_set_opt_len] == '\0' && i + 1 < argc)
+            {
+              lt_opt_process_env_set (argv[++i]); /* don't copy */
+            }
+          else
+            lt_fatal ("%s missing required argument", env_set_opt);
+          continue;
+        }
+      if (strncmp (argv[i], env_prepend_opt, env_prepend_opt_len) == 0)
+        {
+          if (argv[i][env_prepend_opt_len] == '=')
+            {
+              const char *p = argv[i] + env_prepend_opt_len + 1;
+              lt_opt_process_env_prepend (p);
+            }
+          else if (argv[i][env_prepend_opt_len] == '\0' && i + 1 < argc)
+            {
+              lt_opt_process_env_prepend (argv[++i]); /* don't copy */
+            }
+          else
+            lt_fatal ("%s missing required argument", env_prepend_opt);
+          continue;
+        }
+      if (strncmp (argv[i], env_append_opt, env_append_opt_len) == 0)
+        {
+          if (argv[i][env_append_opt_len] == '=')
+            {
+              const char *p = argv[i] + env_append_opt_len + 1;
+              lt_opt_process_env_append (p);
+            }
+          else if (argv[i][env_append_opt_len] == '\0' && i + 1 < argc)
+            {
+              lt_opt_process_env_append (argv[++i]); /* don't copy */
+            }
+          else
+            lt_fatal ("%s missing required argument", env_append_opt);
+          continue;
+        }
+      if (strncmp (argv[i], ltwrapper_option_prefix, opt_prefix_len) == 0)
+        {
+          /* however, if there is an option in the LTWRAPPER_OPTION_PREFIX
+             namespace, but it is not one of the ones we know about and
+             have already dealt with, above (inluding dump-script), then
+             report an error. Otherwise, targets might begin to believe
+             they are allowed to use options in the LTWRAPPER_OPTION_PREFIX
+             namespace. The first time any user complains about this, we'll
+             need to make LTWRAPPER_OPTION_PREFIX a configure-time option
+             or a configure.ac-settable value.
+           */
+          lt_fatal ("Unrecognized option in %s namespace: '%s'",
+                    ltwrapper_option_prefix, argv[i]);
+        }
+      /* otherwise ... */
+      newargz[++newargc] = xstrdup (argv[i]);
+    }
+  newargz[++newargc] = NULL;
+
+  LTWRAPPER_DEBUGPRINTF     (("(main) lt_argv_zero : %s\n", (lt_argv_zero ? lt_argv_zero : "<NULL>")));
+  for (i = 0; i < newargc; i++)
+    {
+      LTWRAPPER_DEBUGPRINTF (("(main) newargz[%d]   : %s\n", i, (newargz[i] ? newargz[i] : "<NULL>")));
+    }
+
+EOF
+
+           case $host_os in
+             mingw*)
+               cat <<"EOF"
+  /* execv doesn't actually work on mingw as expected on unix */
+  rval = _spawnv (_P_WAIT, lt_argv_zero, (const char * const *) newargz);
+  if (rval == -1)
+    {
+      /* failed to start process */
+      LTWRAPPER_DEBUGPRINTF (("(main) failed to launch target \"%s\": errno = %d\n", lt_argv_zero, errno));
+      return 127;
+    }
+  return rval;
+EOF
+               ;;
+             *)
+               cat <<"EOF"
+  execv (lt_argv_zero, newargz);
+  return rval; /* =127, but avoids unused variable warning */
+EOF
+               ;;
+           esac
+
+           cat <<"EOF"
+}
+
+void *
+xmalloc (size_t num)
+{
+  void *p = (void *) malloc (num);
+  if (!p)
+    lt_fatal ("Memory exhausted");
+
+  return p;
+}
+
+char *
+xstrdup (const char *string)
+{
+  return string ? strcpy ((char *) xmalloc (strlen (string) + 1),
+                         string) : NULL;
+}
+
+const char *
+base_name (const char *name)
+{
+  const char *base;
+
+#if defined (HAVE_DOS_BASED_FILE_SYSTEM)
+  /* Skip over the disk name in MSDOS pathnames. */
+  if (isalpha ((unsigned char) name[0]) && name[1] == ':')
+    name += 2;
+#endif
+
+  for (base = name; *name; name++)
+    if (IS_DIR_SEPARATOR (*name))
+      base = name + 1;
+  return base;
+}
+
+int
+check_executable (const char *path)
+{
+  struct stat st;
+
+  LTWRAPPER_DEBUGPRINTF (("(check_executable)  : %s\n",
+                         path ? (*path ? path : "EMPTY!") : "NULL!"));
+  if ((!path) || (!*path))
+    return 0;
+
+  if ((stat (path, &st) >= 0)
+      && (st.st_mode & (S_IXUSR | S_IXGRP | S_IXOTH)))
+    return 1;
+  else
+    return 0;
+}
+
+int
+make_executable (const char *path)
+{
+  int rval = 0;
+  struct stat st;
+
+  LTWRAPPER_DEBUGPRINTF (("(make_executable)   : %s\n",
+                         path ? (*path ? path : "EMPTY!") : "NULL!"));
+  if ((!path) || (!*path))
+    return 0;
+
+  if (stat (path, &st) >= 0)
+    {
+      rval = chmod (path, st.st_mode | S_IXOTH | S_IXGRP | S_IXUSR);
+    }
+  return rval;
+}
+
+/* Searches for the full path of the wrapper.  Returns
+   newly allocated full path name if found, NULL otherwise
+   Does not chase symlinks, even on platforms that support them.
+*/
+char *
+find_executable (const char *wrapper)
+{
+  int has_slash = 0;
+  const char *p;
+  const char *p_next;
+  /* static buffer for getcwd */
+  char tmp[LT_PATHMAX + 1];
+  int tmp_len;
+  char *concat_name;
+
+  LTWRAPPER_DEBUGPRINTF (("(find_executable)   : %s\n",
+                         wrapper ? (*wrapper ? wrapper : "EMPTY!") : "NULL!"));
+
+  if ((wrapper == NULL) || (*wrapper == '\0'))
+    return NULL;
+
+  /* Absolute path? */
+#if defined (HAVE_DOS_BASED_FILE_SYSTEM)
+  if (isalpha ((unsigned char) wrapper[0]) && wrapper[1] == ':')
+    {
+      concat_name = xstrdup (wrapper);
+      if (check_executable (concat_name))
+       return concat_name;
+      XFREE (concat_name);
+    }
+  else
+    {
+#endif
+      if (IS_DIR_SEPARATOR (wrapper[0]))
+       {
+         concat_name = xstrdup (wrapper);
+         if (check_executable (concat_name))
+           return concat_name;
+         XFREE (concat_name);
+       }
+#if defined (HAVE_DOS_BASED_FILE_SYSTEM)
+    }
+#endif
+
+  for (p = wrapper; *p; p++)
+    if (*p == '/')
+      {
+       has_slash = 1;
+       break;
+      }
+  if (!has_slash)
+    {
+      /* no slashes; search PATH */
+      const char *path = getenv ("PATH");
+      if (path != NULL)
+       {
+         for (p = path; *p; p = p_next)
+           {
+             const char *q;
+             size_t p_len;
+             for (q = p; *q; q++)
+               if (IS_PATH_SEPARATOR (*q))
+                 break;
+             p_len = q - p;
+             p_next = (*q == '\0' ? q : q + 1);
+             if (p_len == 0)
+               {
+                 /* empty path: current directory */
+                 if (getcwd (tmp, LT_PATHMAX) == NULL)
+                   lt_fatal ("getcwd failed");
+                 tmp_len = strlen (tmp);
+                 concat_name =
+                   XMALLOC (char, tmp_len + 1 + strlen (wrapper) + 1);
+                 memcpy (concat_name, tmp, tmp_len);
+                 concat_name[tmp_len] = '/';
+                 strcpy (concat_name + tmp_len + 1, wrapper);
+               }
+             else
+               {
+                 concat_name =
+                   XMALLOC (char, p_len + 1 + strlen (wrapper) + 1);
+                 memcpy (concat_name, p, p_len);
+                 concat_name[p_len] = '/';
+                 strcpy (concat_name + p_len + 1, wrapper);
+               }
+             if (check_executable (concat_name))
+               return concat_name;
+             XFREE (concat_name);
+           }
+       }
+      /* not found in PATH; assume curdir */
+    }
+  /* Relative path | not found in path: prepend cwd */
+  if (getcwd (tmp, LT_PATHMAX) == NULL)
+    lt_fatal ("getcwd failed");
+  tmp_len = strlen (tmp);
+  concat_name = XMALLOC (char, tmp_len + 1 + strlen (wrapper) + 1);
+  memcpy (concat_name, tmp, tmp_len);
+  concat_name[tmp_len] = '/';
+  strcpy (concat_name + tmp_len + 1, wrapper);
+
+  if (check_executable (concat_name))
+    return concat_name;
+  XFREE (concat_name);
+  return NULL;
+}
+
+char *
+chase_symlinks (const char *pathspec)
+{
+#ifndef S_ISLNK
+  return xstrdup (pathspec);
+#else
+  char buf[LT_PATHMAX];
+  struct stat s;
+  char *tmp_pathspec = xstrdup (pathspec);
+  char *p;
+  int has_symlinks = 0;
+  while (strlen (tmp_pathspec) && !has_symlinks)
+    {
+      LTWRAPPER_DEBUGPRINTF (("checking path component for symlinks: %s\n",
+                             tmp_pathspec));
+      if (lstat (tmp_pathspec, &s) == 0)
+       {
+         if (S_ISLNK (s.st_mode) != 0)
+           {
+             has_symlinks = 1;
+             break;
+           }
+
+         /* search backwards for last DIR_SEPARATOR */
+         p = tmp_pathspec + strlen (tmp_pathspec) - 1;
+         while ((p > tmp_pathspec) && (!IS_DIR_SEPARATOR (*p)))
+           p--;
+         if ((p == tmp_pathspec) && (!IS_DIR_SEPARATOR (*p)))
+           {
+             /* no more DIR_SEPARATORS left */
+             break;
+           }
+         *p = '\0';
+       }
+      else
+       {
+         char *errstr = strerror (errno);
+         lt_fatal ("Error accessing file %s (%s)", tmp_pathspec, errstr);
+       }
+    }
+  XFREE (tmp_pathspec);
+
+  if (!has_symlinks)
+    {
+      return xstrdup (pathspec);
+    }
+
+  tmp_pathspec = realpath (pathspec, buf);
+  if (tmp_pathspec == 0)
+    {
+      lt_fatal ("Could not follow symlinks for %s", pathspec);
+    }
+  return xstrdup (tmp_pathspec);
+#endif
+}
+
+char *
+strendzap (char *str, const char *pat)
+{
+  size_t len, patlen;
+
+  assert (str != NULL);
+  assert (pat != NULL);
+
+  len = strlen (str);
+  patlen = strlen (pat);
+
+  if (patlen <= len)
+    {
+      str += len - patlen;
+      if (strcmp (str, pat) == 0)
+       *str = '\0';
+    }
+  return str;
+}
+
+static void
+lt_error_core (int exit_status, const char *mode,
+              const char *message, va_list ap)
+{
+  fprintf (stderr, "%s: %s: ", program_name, mode);
+  vfprintf (stderr, message, ap);
+  fprintf (stderr, ".\n");
+
+  if (exit_status >= 0)
+    exit (exit_status);
+}
+
+void
+lt_fatal (const char *message, ...)
+{
+  va_list ap;
+  va_start (ap, message);
+  lt_error_core (EXIT_FAILURE, "FATAL", message, ap);
+  va_end (ap);
+}
+
+void
+lt_setenv (const char *name, const char *value)
+{
+  LTWRAPPER_DEBUGPRINTF (("(lt_setenv) setting '%s' to '%s'\n",
+                          (name ? name : "<NULL>"),
+                          (value ? value : "<NULL>")));
+  {
+#ifdef HAVE_SETENV
+    /* always make a copy, for consistency with !HAVE_SETENV */
+    char *str = xstrdup (value);
+    setenv (name, str, 1);
+#else
+    int len = strlen (name) + 1 + strlen (value) + 1;
+    char *str = XMALLOC (char, len);
+    sprintf (str, "%s=%s", name, value);
+    if (putenv (str) != EXIT_SUCCESS)
+      {
+        XFREE (str);
+      }
+#endif
+  }
+}
+
+char *
+lt_extend_str (const char *orig_value, const char *add, int to_end)
+{
+  char *new_value;
+  if (orig_value && *orig_value)
+    {
+      int orig_value_len = strlen (orig_value);
+      int add_len = strlen (add);
+      new_value = XMALLOC (char, add_len + orig_value_len + 1);
+      if (to_end)
+        {
+          strcpy (new_value, orig_value);
+          strcpy (new_value + orig_value_len, add);
+        }
+      else
+        {
+          strcpy (new_value, add);
+          strcpy (new_value + add_len, orig_value);
+        }
+    }
+  else
+    {
+      new_value = xstrdup (add);
+    }
+  return new_value;
+}
+
+int
+lt_split_name_value (const char *arg, char** name, char** value)
+{
+  const char *p;
+  int len;
+  if (!arg || !*arg)
+    return 1;
+
+  p = strchr (arg, (int)'=');
+
+  if (!p)
+    return 1;
+
+  *value = xstrdup (++p);
+
+  len = strlen (arg) - strlen (*value);
+  *name = XMALLOC (char, len);
+  strncpy (*name, arg, len-1);
+  (*name)[len - 1] = '\0';
+
+  return 0;
+}
+
+void
+lt_opt_process_env_set (const char *arg)
+{
+  char *name = NULL;
+  char *value = NULL;
+
+  if (lt_split_name_value (arg, &name, &value) != 0)
+    {
+      XFREE (name);
+      XFREE (value);
+      lt_fatal ("bad argument for %s: '%s'", env_set_opt, arg);
+    }
+
+  lt_setenv (name, value);
+  XFREE (name);
+  XFREE (value);
+}
+
+void
+lt_opt_process_env_prepend (const char *arg)
+{
+  char *name = NULL;
+  char *value = NULL;
+  char *new_value = NULL;
+
+  if (lt_split_name_value (arg, &name, &value) != 0)
+    {
+      XFREE (name);
+      XFREE (value);
+      lt_fatal ("bad argument for %s: '%s'", env_prepend_opt, arg);
+    }
+
+  new_value = lt_extend_str (getenv (name), value, 0);
+  lt_setenv (name, new_value);
+  XFREE (new_value);
+  XFREE (name);
+  XFREE (value);
+}
+
+void
+lt_opt_process_env_append (const char *arg)
+{
+  char *name = NULL;
+  char *value = NULL;
+  char *new_value = NULL;
+
+  if (lt_split_name_value (arg, &name, &value) != 0)
+    {
+      XFREE (name);
+      XFREE (value);
+      lt_fatal ("bad argument for %s: '%s'", env_append_opt, arg);
+    }
+
+  new_value = lt_extend_str (getenv (name), value, 1);
+  lt_setenv (name, new_value);
+  XFREE (new_value);
+  XFREE (name);
+  XFREE (value);
+}
+
+void
+lt_update_exe_path (const char *name, const char *value)
+{
+  LTWRAPPER_DEBUGPRINTF (("(lt_update_exe_path) modifying '%s' by prepending '%s'\n",
+                          (name ? name : "<NULL>"),
+                          (value ? value : "<NULL>")));
+
+  if (name && *name && value && *value)
+    {
+      char *new_value = lt_extend_str (getenv (name), value, 0);
+      /* some systems can't cope with a ':'-terminated path #' */
+      int len = strlen (new_value);
+      while (((len = strlen (new_value)) > 0) && IS_PATH_SEPARATOR (new_value[len-1]))
+        {
+          new_value[len-1] = '\0';
+        }
+      lt_setenv (name, new_value);
+      XFREE (new_value);
+    }
+}
+
+void
+lt_update_lib_path (const char *name, const char *value)
+{
+  LTWRAPPER_DEBUGPRINTF (("(lt_update_lib_path) modifying '%s' by prepending '%s'\n",
+                          (name ? name : "<NULL>"),
+                          (value ? value : "<NULL>")));
+
+  if (name && *name && value && *value)
+    {
+      char *new_value = lt_extend_str (getenv (name), value, 0);
+      lt_setenv (name, new_value);
+      XFREE (new_value);
+    }
+}
+
+
+EOF
+}
+# end: func_emit_cwrapperexe_src
+
+# func_mode_link arg...
+func_mode_link ()
+{
+    $opt_debug
+    case $host in
+    *-*-cygwin* | *-*-mingw* | *-*-pw32* | *-*-os2* | *-cegcc*)
+      # It is impossible to link a dll without this setting, and
+      # we shouldn't force the makefile maintainer to figure out
+      # which system we are compiling for in order to pass an extra
+      # flag for every libtool invocation.
+      # allow_undefined=no
+
+      # FIXME: Unfortunately, there are problems with the above when trying
+      # to make a dll which has undefined symbols, in which case not
+      # even a static library is built.  For now, we need to specify
+      # -no-undefined on the libtool link line when we can be certain
+      # that all symbols are satisfied, otherwise we get a static library.
+      allow_undefined=yes
+      ;;
+    *)
+      allow_undefined=yes
+      ;;
+    esac
+    libtool_args=$nonopt
+    base_compile="$nonopt $@"
+    compile_command=$nonopt
+    finalize_command=$nonopt
+
+    compile_rpath=
+    finalize_rpath=
+    compile_shlibpath=
+    finalize_shlibpath=
+    convenience=
+    old_convenience=
+    deplibs=
+    old_deplibs=
+    compiler_flags=
+    linker_flags=
+    dllsearchpath=
+    lib_search_path=`pwd`
+    inst_prefix_dir=
+    new_inherited_linker_flags=
+
+    avoid_version=no
+    dlfiles=
+    dlprefiles=
+    dlself=no
+    export_dynamic=no
+    export_symbols=
+    export_symbols_regex=
+    generated=
+    libobjs=
+    ltlibs=
+    module=no
+    no_install=no
+    objs=
+    non_pic_objects=
+    precious_files_regex=
+    prefer_static_libs=no
+    preload=no
+    prev=
+    prevarg=
+    release=
+    rpath=
+    xrpath=
+    perm_rpath=
+    temp_rpath=
+    thread_safe=no
+    vinfo=
+    vinfo_number=no
+    weak_libs=
+    single_module="${wl}-single_module"
+    func_infer_tag $base_compile
+
+    # We need to know -static, to get the right output filenames.
+    for arg
+    do
+      case $arg in
+      -shared)
+       test "$build_libtool_libs" != yes && \
+         func_fatal_configuration "can not build a shared library"
+       build_old_libs=no
+       break
+       ;;
+      -all-static | -static | -static-libtool-libs)
+       case $arg in
+       -all-static)
+         if test "$build_libtool_libs" = yes && test -z "$link_static_flag"; then
+           func_warning "complete static linking is impossible in this configuration"
+         fi
+         if test -n "$link_static_flag"; then
+           dlopen_self=$dlopen_self_static
+         fi
+         prefer_static_libs=yes
+         ;;
+       -static)
+         if test -z "$pic_flag" && test -n "$link_static_flag"; then
+           dlopen_self=$dlopen_self_static
+         fi
+         prefer_static_libs=built
+         ;;
+       -static-libtool-libs)
+         if test -z "$pic_flag" && test -n "$link_static_flag"; then
+           dlopen_self=$dlopen_self_static
+         fi
+         prefer_static_libs=yes
+         ;;
+       esac
+       build_libtool_libs=no
+       build_old_libs=yes
+       break
+       ;;
+      esac
+    done
+
+    # See if our shared archives depend on static archives.
+    test -n "$old_archive_from_new_cmds" && build_old_libs=yes
+
+    # Go through the arguments, transforming them on the way.
+    while test "$#" -gt 0; do
+      arg="$1"
+      shift
+      func_quote_for_eval "$arg"
+      qarg=$func_quote_for_eval_unquoted_result
+      func_append libtool_args " $func_quote_for_eval_result"
+
+      # If the previous option needs an argument, assign it.
+      if test -n "$prev"; then
+       case $prev in
+       output)
+         func_append compile_command " @OUTPUT@"
+         func_append finalize_command " @OUTPUT@"
+         ;;
+       esac
+
+       case $prev in
+       dlfiles|dlprefiles)
+         if test "$preload" = no; then
+           # Add the symbol object into the linking commands.
+           func_append compile_command " @SYMFILE@"
+           func_append finalize_command " @SYMFILE@"
+           preload=yes
+         fi
+         case $arg in
+         *.la | *.lo) ;;  # We handle these cases below.
+         force)
+           if test "$dlself" = no; then
+             dlself=needless
+             export_dynamic=yes
+           fi
+           prev=
+           continue
+           ;;
+         self)
+           if test "$prev" = dlprefiles; then
+             dlself=yes
+           elif test "$prev" = dlfiles && test "$dlopen_self" != yes; then
+             dlself=yes
+           else
+             dlself=needless
+             export_dynamic=yes
+           fi
+           prev=
+           continue
+           ;;
+         *)
+           if test "$prev" = dlfiles; then
+             dlfiles="$dlfiles $arg"
+           else
+             dlprefiles="$dlprefiles $arg"
+           fi
+           prev=
+           continue
+           ;;
+         esac
+         ;;
+       expsyms)
+         export_symbols="$arg"
+         test -f "$arg" \
+           || func_fatal_error "symbol file \`$arg' does not exist"
+         prev=
+         continue
+         ;;
+       expsyms_regex)
+         export_symbols_regex="$arg"
+         prev=
+         continue
+         ;;
+       framework)
+         case $host in
+           *-*-darwin*)
+             case "$deplibs " in
+               *" $qarg.ltframework "*) ;;
+               *) deplibs="$deplibs $qarg.ltframework" # this is fixed later
+                  ;;
+             esac
+             ;;
+         esac
+         prev=
+         continue
+         ;;
+       inst_prefix)
+         inst_prefix_dir="$arg"
+         prev=
+         continue
+         ;;
+       objectlist)
+         if test -f "$arg"; then
+           save_arg=$arg
+           moreargs=
+           for fil in `cat "$save_arg"`
+           do
+#            moreargs="$moreargs $fil"
+             arg=$fil
+             # A libtool-controlled object.
+
+             # Check to see that this really is a libtool object.
+             if func_lalib_unsafe_p "$arg"; then
+               pic_object=
+               non_pic_object=
+
+               # Read the .lo file
+               func_source "$arg"
+
+               if test -z "$pic_object" ||
+                  test -z "$non_pic_object" ||
+                  test "$pic_object" = none &&
+                  test "$non_pic_object" = none; then
+                 func_fatal_error "cannot find name of object for \`$arg'"
+               fi
+
+               # Extract subdirectory from the argument.
+               func_dirname "$arg" "/" ""
+               xdir="$func_dirname_result"
+
+               if test "$pic_object" != none; then
+                 # Prepend the subdirectory the object is found in.
+                 pic_object="$xdir$pic_object"
+
+                 if test "$prev" = dlfiles; then
+                   if test "$build_libtool_libs" = yes && test "$dlopen_support" = yes; then
+                     dlfiles="$dlfiles $pic_object"
+                     prev=
+                     continue
+                   else
+                     # If libtool objects are unsupported, then we need to preload.
+                     prev=dlprefiles
+                   fi
+                 fi
+
+                 # CHECK ME:  I think I busted this.  -Ossama
+                 if test "$prev" = dlprefiles; then
+                   # Preload the old-style object.
+                   dlprefiles="$dlprefiles $pic_object"
+                   prev=
+                 fi
+
+                 # A PIC object.
+                 func_append libobjs " $pic_object"
+                 arg="$pic_object"
+               fi
+
+               # Non-PIC object.
+               if test "$non_pic_object" != none; then
+                 # Prepend the subdirectory the object is found in.
+                 non_pic_object="$xdir$non_pic_object"
+
+                 # A standard non-PIC object
+                 func_append non_pic_objects " $non_pic_object"
+                 if test -z "$pic_object" || test "$pic_object" = none ; then
+                   arg="$non_pic_object"
+                 fi
+               else
+                 # If the PIC object exists, use it instead.
+                 # $xdir was prepended to $pic_object above.
+                 non_pic_object="$pic_object"
+                 func_append non_pic_objects " $non_pic_object"
+               fi
+             else
+               # Only an error if not doing a dry-run.
+               if $opt_dry_run; then
+                 # Extract subdirectory from the argument.
+                 func_dirname "$arg" "/" ""
+                 xdir="$func_dirname_result"
+
+                 func_lo2o "$arg"
+                 pic_object=$xdir$objdir/$func_lo2o_result
+                 non_pic_object=$xdir$func_lo2o_result
+                 func_append libobjs " $pic_object"
+                 func_append non_pic_objects " $non_pic_object"
+               else
+                 func_fatal_error "\`$arg' is not a valid libtool object"
+               fi
+             fi
+           done
+         else
+           func_fatal_error "link input file \`$arg' does not exist"
+         fi
+         arg=$save_arg
+         prev=
+         continue
+         ;;
+       precious_regex)
+         precious_files_regex="$arg"
+         prev=
+         continue
+         ;;
+       release)
+         release="-$arg"
+         prev=
+         continue
+         ;;
+       rpath | xrpath)
+         # We need an absolute path.
+         case $arg in
+         [\\/]* | [A-Za-z]:[\\/]*) ;;
+         *)
+           func_fatal_error "only absolute run-paths are allowed"
+           ;;
+         esac
+         if test "$prev" = rpath; then
+           case "$rpath " in
+           *" $arg "*) ;;
+           *) rpath="$rpath $arg" ;;
+           esac
+         else
+           case "$xrpath " in
+           *" $arg "*) ;;
+           *) xrpath="$xrpath $arg" ;;
+           esac
+         fi
+         prev=
+         continue
+         ;;
+       shrext)
+         shrext_cmds="$arg"
+         prev=
+         continue
+         ;;
+       weak)
+         weak_libs="$weak_libs $arg"
+         prev=
+         continue
+         ;;
+       xcclinker)
+         linker_flags="$linker_flags $qarg"
+         compiler_flags="$compiler_flags $qarg"
+         prev=
+         func_append compile_command " $qarg"
+         func_append finalize_command " $qarg"
+         continue
+         ;;
+       xcompiler)
+         compiler_flags="$compiler_flags $qarg"
+         prev=
+         func_append compile_command " $qarg"
+         func_append finalize_command " $qarg"
+         continue
+         ;;
+       xlinker)
+         linker_flags="$linker_flags $qarg"
+         compiler_flags="$compiler_flags $wl$qarg"
+         prev=
+         func_append compile_command " $wl$qarg"
+         func_append finalize_command " $wl$qarg"
+         continue
+         ;;
+       *)
+         eval "$prev=\"\$arg\""
+         prev=
+         continue
+         ;;
+       esac
+      fi # test -n "$prev"
+
+      prevarg="$arg"
+
+      case $arg in
+      -all-static)
+       if test -n "$link_static_flag"; then
+         # See comment for -static flag below, for more details.
+         func_append compile_command " $link_static_flag"
+         func_append finalize_command " $link_static_flag"
+       fi
+       continue
+       ;;
+
+      -allow-undefined)
+       # FIXME: remove this flag sometime in the future.
+       func_fatal_error "\`-allow-undefined' must not be used because it is the default"
+       ;;
+
+      -avoid-version)
+       avoid_version=yes
+       continue
+       ;;
+
+      -dlopen)
+       prev=dlfiles
+       continue
+       ;;
+
+      -dlpreopen)
+       prev=dlprefiles
+       continue
+       ;;
+
+      -export-dynamic)
+       export_dynamic=yes
+       continue
+       ;;
+
+      -export-symbols | -export-symbols-regex)
+       if test -n "$export_symbols" || test -n "$export_symbols_regex"; then
+         func_fatal_error "more than one -exported-symbols argument is not allowed"
+       fi
+       if test "X$arg" = "X-export-symbols"; then
+         prev=expsyms
+       else
+         prev=expsyms_regex
+       fi
+       continue
+       ;;
+
+      -framework)
+       prev=framework
+       continue
+       ;;
+
+      -inst-prefix-dir)
+       prev=inst_prefix
+       continue
+       ;;
+
+      # The native IRIX linker understands -LANG:*, -LIST:* and -LNO:*
+      # so, if we see these flags be careful not to treat them like -L
+      -L[A-Z][A-Z]*:*)
+       case $with_gcc/$host in
+       no/*-*-irix* | /*-*-irix*)
+         func_append compile_command " $arg"
+         func_append finalize_command " $arg"
+         ;;
+       esac
+       continue
+       ;;
+
+      -L*)
+       func_stripname '-L' '' "$arg"
+       dir=$func_stripname_result
+       if test -z "$dir"; then
+         if test "$#" -gt 0; then
+           func_fatal_error "require no space between \`-L' and \`$1'"
+         else
+           func_fatal_error "need path for \`-L' option"
+         fi
+       fi
+       # We need an absolute path.
+       case $dir in
+       [\\/]* | [A-Za-z]:[\\/]*) ;;
+       *)
+         absdir=`cd "$dir" && pwd`
+         test -z "$absdir" && \
+           func_fatal_error "cannot determine absolute directory name of \`$dir'"
+         dir="$absdir"
+         ;;
+       esac
+       case "$deplibs " in
+       *" -L$dir "*) ;;
+       *)
+         deplibs="$deplibs -L$dir"
+         lib_search_path="$lib_search_path $dir"
+         ;;
+       esac
+       case $host in
+       *-*-cygwin* | *-*-mingw* | *-*-pw32* | *-*-os2* | *-cegcc*)
+         testbindir=`$ECHO "X$dir" | $Xsed -e 's*/lib$*/bin*'`
+         case :$dllsearchpath: in
+         *":$dir:"*) ;;
+         ::) dllsearchpath=$dir;;
+         *) dllsearchpath="$dllsearchpath:$dir";;
+         esac
+         case :$dllsearchpath: in
+         *":$testbindir:"*) ;;
+         ::) dllsearchpath=$testbindir;;
+         *) dllsearchpath="$dllsearchpath:$testbindir";;
+         esac
+         ;;
+       esac
+       continue
+       ;;
+
+      -l*)
+       if test "X$arg" = "X-lc" || test "X$arg" = "X-lm"; then
+         case $host in
+         *-*-cygwin* | *-*-mingw* | *-*-pw32* | *-*-beos* | *-cegcc*)
+           # These systems don't actually have a C or math library (as such)
+           continue
+           ;;
+         *-*-os2*)
+           # These systems don't actually have a C library (as such)
+           test "X$arg" = "X-lc" && continue
+           ;;
+         *-*-openbsd* | *-*-freebsd* | *-*-dragonfly*)
+           # Do not include libc due to us having libc/libc_r.
+           test "X$arg" = "X-lc" && continue
+           ;;
+         *-*-rhapsody* | *-*-darwin1.[012])
+           # Rhapsody C and math libraries are in the System framework
+           deplibs="$deplibs System.ltframework"
+           continue
+           ;;
+         *-*-sco3.2v5* | *-*-sco5v6*)
+           # Causes problems with __ctype
+           test "X$arg" = "X-lc" && continue
+           ;;
+         *-*-sysv4.2uw2* | *-*-sysv5* | *-*-unixware* | *-*-OpenUNIX*)
+           # Compiler inserts libc in the correct place for threads to work
+           test "X$arg" = "X-lc" && continue
+           ;;
+         esac
+       elif test "X$arg" = "X-lc_r"; then
+        case $host in
+        *-*-openbsd* | *-*-freebsd* | *-*-dragonfly*)
+          # Do not include libc_r directly, use -pthread flag.
+          continue
+          ;;
+        esac
+       fi
+       deplibs="$deplibs $arg"
+       continue
+       ;;
+
+      -module)
+       module=yes
+       continue
+       ;;
+
+      # Tru64 UNIX uses -model [arg] to determine the layout of C++
+      # classes, name mangling, and exception handling.
+      # Darwin uses the -arch flag to determine output architecture.
+      -model|-arch|-isysroot)
+       compiler_flags="$compiler_flags $arg"
+       func_append compile_command " $arg"
+       func_append finalize_command " $arg"
+       prev=xcompiler
+       continue
+       ;;
+
+      -mt|-mthreads|-kthread|-Kthread|-pthread|-pthreads|--thread-safe|-threads)
+       compiler_flags="$compiler_flags $arg"
+       func_append compile_command " $arg"
+       func_append finalize_command " $arg"
+       case "$new_inherited_linker_flags " in
+           *" $arg "*) ;;
+           * ) new_inherited_linker_flags="$new_inherited_linker_flags $arg" ;;
+       esac
+       continue
+       ;;
+
+      -multi_module)
+       single_module="${wl}-multi_module"
+       continue
+       ;;
+
+      -no-fast-install)
+       fast_install=no
+       continue
+       ;;
+
+      -no-install)
+       case $host in
+       *-*-cygwin* | *-*-mingw* | *-*-pw32* | *-*-os2* | *-*-darwin* | *-cegcc*)
+         # The PATH hackery in wrapper scripts is required on Windows
+         # and Darwin in order for the loader to find any dlls it needs.
+         func_warning "\`-no-install' is ignored for $host"
+         func_warning "assuming \`-no-fast-install' instead"
+         fast_install=no
+         ;;
+       *) no_install=yes ;;
+       esac
+       continue
+       ;;
+
+      -no-undefined)
+       allow_undefined=no
+       continue
+       ;;
+
+      -objectlist)
+       prev=objectlist
+       continue
+       ;;
+
+      -o) prev=output ;;
+
+      -precious-files-regex)
+       prev=precious_regex
+       continue
+       ;;
+
+      -release)
+       prev=release
+       continue
+       ;;
+
+      -rpath)
+       prev=rpath
+       continue
+       ;;
+
+      -R)
+       prev=xrpath
+       continue
+       ;;
+
+      -R*)
+       func_stripname '-R' '' "$arg"
+       dir=$func_stripname_result
+       # We need an absolute path.
+       case $dir in
+       [\\/]* | [A-Za-z]:[\\/]*) ;;
+       *)
+         func_fatal_error "only absolute run-paths are allowed"
+         ;;
+       esac
+       case "$xrpath " in
+       *" $dir "*) ;;
+       *) xrpath="$xrpath $dir" ;;
+       esac
+       continue
+       ;;
+
+      -shared)
+       # The effects of -shared are defined in a previous loop.
+       continue
+       ;;
+
+      -shrext)
+       prev=shrext
+       continue
+       ;;
+
+      -static | -static-libtool-libs)
+       # The effects of -static are defined in a previous loop.
+       # We used to do the same as -all-static on platforms that
+       # didn't have a PIC flag, but the assumption that the effects
+       # would be equivalent was wrong.  It would break on at least
+       # Digital Unix and AIX.
+       continue
+       ;;
+
+      -thread-safe)
+       thread_safe=yes
+       continue
+       ;;
+
+      -version-info)
+       prev=vinfo
+       continue
+       ;;
+
+      -version-number)
+       prev=vinfo
+       vinfo_number=yes
+       continue
+       ;;
+
+      -weak)
+        prev=weak
+       continue
+       ;;
+
+      -Wc,*)
+       func_stripname '-Wc,' '' "$arg"
+       args=$func_stripname_result
+       arg=
+       save_ifs="$IFS"; IFS=','
+       for flag in $args; do
+         IFS="$save_ifs"
+          func_quote_for_eval "$flag"
+         arg="$arg $wl$func_quote_for_eval_result"
+         compiler_flags="$compiler_flags $func_quote_for_eval_result"
+       done
+       IFS="$save_ifs"
+       func_stripname ' ' '' "$arg"
+       arg=$func_stripname_result
+       ;;
+
+      -Wl,*)
+       func_stripname '-Wl,' '' "$arg"
+       args=$func_stripname_result
+       arg=
+       save_ifs="$IFS"; IFS=','
+       for flag in $args; do
+         IFS="$save_ifs"
+          func_quote_for_eval "$flag"
+         arg="$arg $wl$func_quote_for_eval_result"
+         compiler_flags="$compiler_flags $wl$func_quote_for_eval_result"
+         linker_flags="$linker_flags $func_quote_for_eval_result"
+       done
+       IFS="$save_ifs"
+       func_stripname ' ' '' "$arg"
+       arg=$func_stripname_result
+       ;;
+
+      -Xcompiler)
+       prev=xcompiler
+       continue
+       ;;
+
+      -Xlinker)
+       prev=xlinker
+       continue
+       ;;
+
+      -XCClinker)
+       prev=xcclinker
+       continue
+       ;;
+
+      # -msg_* for osf cc
+      -msg_*)
+       func_quote_for_eval "$arg"
+       arg="$func_quote_for_eval_result"
+       ;;
+
+      # -64, -mips[0-9] enable 64-bit mode on the SGI compiler
+      # -r[0-9][0-9]* specifies the processor on the SGI compiler
+      # -xarch=*, -xtarget=* enable 64-bit mode on the Sun compiler
+      # +DA*, +DD* enable 64-bit mode on the HP compiler
+      # -q* pass through compiler args for the IBM compiler
+      # -m*, -t[45]*, -txscale* pass through architecture-specific
+      # compiler args for GCC
+      # -F/path gives path to uninstalled frameworks, gcc on darwin
+      # -p, -pg, --coverage, -fprofile-* pass through profiling flag for GCC
+      # @file GCC response files
+      -64|-mips[0-9]|-r[0-9][0-9]*|-xarch=*|-xtarget=*|+DA*|+DD*|-q*|-m*| \
+      -t[45]*|-txscale*|-p|-pg|--coverage|-fprofile-*|-F*|@*)
+        func_quote_for_eval "$arg"
+       arg="$func_quote_for_eval_result"
+        func_append compile_command " $arg"
+        func_append finalize_command " $arg"
+        compiler_flags="$compiler_flags $arg"
+        continue
+        ;;
+
+      # Some other compiler flag.
+      -* | +*)
+        func_quote_for_eval "$arg"
+       arg="$func_quote_for_eval_result"
+       ;;
+
+      *.$objext)
+       # A standard object.
+       objs="$objs $arg"
+       ;;
+
+      *.lo)
+       # A libtool-controlled object.
+
+       # Check to see that this really is a libtool object.
+       if func_lalib_unsafe_p "$arg"; then
+         pic_object=
+         non_pic_object=
+
+         # Read the .lo file
+         func_source "$arg"
+
+         if test -z "$pic_object" ||
+            test -z "$non_pic_object" ||
+            test "$pic_object" = none &&
+            test "$non_pic_object" = none; then
+           func_fatal_error "cannot find name of object for \`$arg'"
+         fi
+
+         # Extract subdirectory from the argument.
+         func_dirname "$arg" "/" ""
+         xdir="$func_dirname_result"
+
+         if test "$pic_object" != none; then
+           # Prepend the subdirectory the object is found in.
+           pic_object="$xdir$pic_object"
+
+           if test "$prev" = dlfiles; then
+             if test "$build_libtool_libs" = yes && test "$dlopen_support" = yes; then
+               dlfiles="$dlfiles $pic_object"
+               prev=
+               continue
+             else
+               # If libtool objects are unsupported, then we need to preload.
+               prev=dlprefiles
+             fi
+           fi
+
+           # CHECK ME:  I think I busted this.  -Ossama
+           if test "$prev" = dlprefiles; then
+             # Preload the old-style object.
+             dlprefiles="$dlprefiles $pic_object"
+             prev=
+           fi
+
+           # A PIC object.
+           func_append libobjs " $pic_object"
+           arg="$pic_object"
+         fi
+
+         # Non-PIC object.
+         if test "$non_pic_object" != none; then
+           # Prepend the subdirectory the object is found in.
+           non_pic_object="$xdir$non_pic_object"
+
+           # A standard non-PIC object
+           func_append non_pic_objects " $non_pic_object"
+           if test -z "$pic_object" || test "$pic_object" = none ; then
+             arg="$non_pic_object"
+           fi
+         else
+           # If the PIC object exists, use it instead.
+           # $xdir was prepended to $pic_object above.
+           non_pic_object="$pic_object"
+           func_append non_pic_objects " $non_pic_object"
+         fi
+       else
+         # Only an error if not doing a dry-run.
+         if $opt_dry_run; then
+           # Extract subdirectory from the argument.
+           func_dirname "$arg" "/" ""
+           xdir="$func_dirname_result"
+
+           func_lo2o "$arg"
+           pic_object=$xdir$objdir/$func_lo2o_result
+           non_pic_object=$xdir$func_lo2o_result
+           func_append libobjs " $pic_object"
+           func_append non_pic_objects " $non_pic_object"
+         else
+           func_fatal_error "\`$arg' is not a valid libtool object"
+         fi
+       fi
+       ;;
+
+      *.$libext)
+       # An archive.
+       deplibs="$deplibs $arg"
+       old_deplibs="$old_deplibs $arg"
+       continue
+       ;;
+
+      *.la)
+       # A libtool-controlled library.
+
+       if test "$prev" = dlfiles; then
+         # This library was specified with -dlopen.
+         dlfiles="$dlfiles $arg"
+         prev=
+       elif test "$prev" = dlprefiles; then
+         # The library was specified with -dlpreopen.
+         dlprefiles="$dlprefiles $arg"
+         prev=
+       else
+         deplibs="$deplibs $arg"
+       fi
+       continue
+       ;;
+
+      # Some other compiler argument.
+      *)
+       # Unknown arguments in both finalize_command and compile_command need
+       # to be aesthetically quoted because they are evaled later.
+       func_quote_for_eval "$arg"
+       arg="$func_quote_for_eval_result"
+       ;;
+      esac # arg
+
+      # Now actually substitute the argument into the commands.
+      if test -n "$arg"; then
+       func_append compile_command " $arg"
+       func_append finalize_command " $arg"
+      fi
+    done # argument parsing loop
+
+    test -n "$prev" && \
+      func_fatal_help "the \`$prevarg' option requires an argument"
+
+    if test "$export_dynamic" = yes && test -n "$export_dynamic_flag_spec"; then
+      eval arg=\"$export_dynamic_flag_spec\"
+      func_append compile_command " $arg"
+      func_append finalize_command " $arg"
+    fi
+
+    oldlibs=
+    # calculate the name of the file, without its directory
+    func_basename "$output"
+    outputname="$func_basename_result"
+    libobjs_save="$libobjs"
+
+    if test -n "$shlibpath_var"; then
+      # get the directories listed in $shlibpath_var
+      eval shlib_search_path=\`\$ECHO \"X\${$shlibpath_var}\" \| \$Xsed -e \'s/:/ /g\'\`
+    else
+      shlib_search_path=
+    fi
+    eval sys_lib_search_path=\"$sys_lib_search_path_spec\"
+    eval sys_lib_dlsearch_path=\"$sys_lib_dlsearch_path_spec\"
+
+    func_dirname "$output" "/" ""
+    output_objdir="$func_dirname_result$objdir"
+    # Create the object directory.
+    func_mkdir_p "$output_objdir"
+
+    # Determine the type of output
+    case $output in
+    "")
+      func_fatal_help "you must specify an output file"
+      ;;
+    *.$libext) linkmode=oldlib ;;
+    *.lo | *.$objext) linkmode=obj ;;
+    *.la) linkmode=lib ;;
+    *) linkmode=prog ;; # Anything else should be a program.
+    esac
+
+    specialdeplibs=
+
+    libs=
+    # Find all interdependent deplibs by searching for libraries
+    # that are linked more than once (e.g. -la -lb -la)
+    for deplib in $deplibs; do
+      if $opt_duplicate_deps ; then
+       case "$libs " in
+       *" $deplib "*) specialdeplibs="$specialdeplibs $deplib" ;;
+       esac
+      fi
+      libs="$libs $deplib"
+    done
+
+    if test "$linkmode" = lib; then
+      libs="$predeps $libs $compiler_lib_search_path $postdeps"
+
+      # Compute libraries that are listed more than once in $predeps
+      # $postdeps and mark them as special (i.e., whose duplicates are
+      # not to be eliminated).
+      pre_post_deps=
+      if $opt_duplicate_compiler_generated_deps; then
+       for pre_post_dep in $predeps $postdeps; do
+         case "$pre_post_deps " in
+         *" $pre_post_dep "*) specialdeplibs="$specialdeplibs $pre_post_deps" ;;
+         esac
+         pre_post_deps="$pre_post_deps $pre_post_dep"
+       done
+      fi
+      pre_post_deps=
+    fi
+
+    deplibs=
+    newdependency_libs=
+    newlib_search_path=
+    need_relink=no # whether we're linking any uninstalled libtool libraries
+    notinst_deplibs= # not-installed libtool libraries
+    notinst_path= # paths that contain not-installed libtool libraries
+
+    case $linkmode in
+    lib)
+       passes="conv dlpreopen link"
+       for file in $dlfiles $dlprefiles; do
+         case $file in
+         *.la) ;;
+         *)
+           func_fatal_help "libraries can \`-dlopen' only libtool libraries: $file"
+           ;;
+         esac
+       done
+       ;;
+    prog)
+       compile_deplibs=
+       finalize_deplibs=
+       alldeplibs=no
+       newdlfiles=
+       newdlprefiles=
+       passes="conv scan dlopen dlpreopen link"
+       ;;
+    *)  passes="conv"
+       ;;
+    esac
+
+    for pass in $passes; do
+      # The preopen pass in lib mode reverses $deplibs; put it back here
+      # so that -L comes before libs that need it for instance...
+      if test "$linkmode,$pass" = "lib,link"; then
+       ## FIXME: Find the place where the list is rebuilt in the wrong
+       ##        order, and fix it there properly
+        tmp_deplibs=
+       for deplib in $deplibs; do
+         tmp_deplibs="$deplib $tmp_deplibs"
+       done
+       deplibs="$tmp_deplibs"
+      fi
+
+      if test "$linkmode,$pass" = "lib,link" ||
+        test "$linkmode,$pass" = "prog,scan"; then
+       libs="$deplibs"
+       deplibs=
+      fi
+      if test "$linkmode" = prog; then
+       case $pass in
+       dlopen) libs="$dlfiles" ;;
+       dlpreopen) libs="$dlprefiles" ;;
+       link)
+         libs="$deplibs %DEPLIBS%"
+         test "X$link_all_deplibs" != Xno && libs="$libs $dependency_libs"
+         ;;
+       esac
+      fi
+      if test "$linkmode,$pass" = "lib,dlpreopen"; then
+       # Collect and forward deplibs of preopened libtool libs
+       for lib in $dlprefiles; do
+         # Ignore non-libtool-libs
+         dependency_libs=
+         case $lib in
+         *.la) func_source "$lib" ;;
+         esac
+
+         # Collect preopened libtool deplibs, except any this library
+         # has declared as weak libs
+         for deplib in $dependency_libs; do
+            deplib_base=`$ECHO "X$deplib" | $Xsed -e "$basename"`
+           case " $weak_libs " in
+           *" $deplib_base "*) ;;
+           *) deplibs="$deplibs $deplib" ;;
+           esac
+         done
+       done
+       libs="$dlprefiles"
+      fi
+      if test "$pass" = dlopen; then
+       # Collect dlpreopened libraries
+       save_deplibs="$deplibs"
+       deplibs=
+      fi
+
+      for deplib in $libs; do
+       lib=
+       found=no
+       case $deplib in
+       -mt|-mthreads|-kthread|-Kthread|-pthread|-pthreads|--thread-safe|-threads)
+         if test "$linkmode,$pass" = "prog,link"; then
+           compile_deplibs="$deplib $compile_deplibs"
+           finalize_deplibs="$deplib $finalize_deplibs"
+         else
+           compiler_flags="$compiler_flags $deplib"
+           if test "$linkmode" = lib ; then
+               case "$new_inherited_linker_flags " in
+                   *" $deplib "*) ;;
+                   * ) new_inherited_linker_flags="$new_inherited_linker_flags $deplib" ;;
+               esac
+           fi
+         fi
+         continue
+         ;;
+       -l*)
+         if test "$linkmode" != lib && test "$linkmode" != prog; then
+           func_warning "\`-l' is ignored for archives/objects"
+           continue
+         fi
+         func_stripname '-l' '' "$deplib"
+         name=$func_stripname_result
+         if test "$linkmode" = lib; then
+           searchdirs="$newlib_search_path $lib_search_path $compiler_lib_search_dirs $sys_lib_search_path $shlib_search_path"
+         else
+           searchdirs="$newlib_search_path $lib_search_path $sys_lib_search_path $shlib_search_path"
+         fi
+         for searchdir in $searchdirs; do
+           for search_ext in .la $std_shrext .so .a; do
+             # Search the libtool library
+             lib="$searchdir/lib${name}${search_ext}"
+             if test -f "$lib"; then
+               if test "$search_ext" = ".la"; then
+                 found=yes
+               else
+                 found=no
+               fi
+               break 2
+             fi
+           done
+         done
+         if test "$found" != yes; then
+           # deplib doesn't seem to be a libtool library
+           if test "$linkmode,$pass" = "prog,link"; then
+             compile_deplibs="$deplib $compile_deplibs"
+             finalize_deplibs="$deplib $finalize_deplibs"
+           else
+             deplibs="$deplib $deplibs"
+             test "$linkmode" = lib && newdependency_libs="$deplib $newdependency_libs"
+           fi
+           continue
+         else # deplib is a libtool library
+           # If $allow_libtool_libs_with_static_runtimes && $deplib is a stdlib,
+           # We need to do some special things here, and not later.
+           if test "X$allow_libtool_libs_with_static_runtimes" = "Xyes" ; then
+             case " $predeps $postdeps " in
+             *" $deplib "*)
+               if func_lalib_p "$lib"; then
+                 library_names=
+                 old_library=
+                 func_source "$lib"
+                 for l in $old_library $library_names; do
+                   ll="$l"
+                 done
+                 if test "X$ll" = "X$old_library" ; then # only static version available
+                   found=no
+                   func_dirname "$lib" "" "."
+                   ladir="$func_dirname_result"
+                   lib=$ladir/$old_library
+                   if test "$linkmode,$pass" = "prog,link"; then
+                     compile_deplibs="$deplib $compile_deplibs"
+                     finalize_deplibs="$deplib $finalize_deplibs"
+                   else
+                     deplibs="$deplib $deplibs"
+                     test "$linkmode" = lib && newdependency_libs="$deplib $newdependency_libs"
+                   fi
+                   continue
+                 fi
+               fi
+               ;;
+             *) ;;
+             esac
+           fi
+         fi
+         ;; # -l
+       *.ltframework)
+         if test "$linkmode,$pass" = "prog,link"; then
+           compile_deplibs="$deplib $compile_deplibs"
+           finalize_deplibs="$deplib $finalize_deplibs"
+         else
+           deplibs="$deplib $deplibs"
+           if test "$linkmode" = lib ; then
+               case "$new_inherited_linker_flags " in
+                   *" $deplib "*) ;;
+                   * ) new_inherited_linker_flags="$new_inherited_linker_flags $deplib" ;;
+               esac
+           fi
+         fi
+         continue
+         ;;
+       -L*)
+         case $linkmode in
+         lib)
+           deplibs="$deplib $deplibs"
+           test "$pass" = conv && continue
+           newdependency_libs="$deplib $newdependency_libs"
+           func_stripname '-L' '' "$deplib"
+           newlib_search_path="$newlib_search_path $func_stripname_result"
+           ;;
+         prog)
+           if test "$pass" = conv; then
+             deplibs="$deplib $deplibs"
+             continue
+           fi
+           if test "$pass" = scan; then
+             deplibs="$deplib $deplibs"
+           else
+             compile_deplibs="$deplib $compile_deplibs"
+             finalize_deplibs="$deplib $finalize_deplibs"
+           fi
+           func_stripname '-L' '' "$deplib"
+           newlib_search_path="$newlib_search_path $func_stripname_result"
+           ;;
+         *)
+           func_warning "\`-L' is ignored for archives/objects"
+           ;;
+         esac # linkmode
+         continue
+         ;; # -L
+       -R*)
+         if test "$pass" = link; then
+           func_stripname '-R' '' "$deplib"
+           dir=$func_stripname_result
+           # Make sure the xrpath contains only unique directories.
+           case "$xrpath " in
+           *" $dir "*) ;;
+           *) xrpath="$xrpath $dir" ;;
+           esac
+         fi
+         deplibs="$deplib $deplibs"
+         continue
+         ;;
+       *.la) lib="$deplib" ;;
+       *.$libext)
+         if test "$pass" = conv; then
+           deplibs="$deplib $deplibs"
+           continue
+         fi
+         case $linkmode in
+         lib)
+           # Linking convenience modules into shared libraries is allowed,
+           # but linking other static libraries is non-portable.
+           case " $dlpreconveniencelibs " in
+           *" $deplib "*) ;;
+           *)
+             valid_a_lib=no
+             case $deplibs_check_method in
+               match_pattern*)
+                 set dummy $deplibs_check_method; shift
+                 match_pattern_regex=`expr "$deplibs_check_method" : "$1 \(.*\)"`
+                 if eval "\$ECHO \"X$deplib\"" 2>/dev/null | $Xsed -e 10q \
+                   | $EGREP "$match_pattern_regex" > /dev/null; then
+                   valid_a_lib=yes
+                 fi
+               ;;
+               pass_all)
+                 valid_a_lib=yes
+               ;;
+             esac
+             if test "$valid_a_lib" != yes; then
+               $ECHO
+               $ECHO "*** Warning: Trying to link with static lib archive $deplib."
+               $ECHO "*** I have the capability to make that library automatically link in when"
+               $ECHO "*** you link to this library.  But I can only do this if you have a"
+               $ECHO "*** shared version of the library, which you do not appear to have"
+               $ECHO "*** because the file extensions .$libext of this argument makes me believe"
+               $ECHO "*** that it is just a static archive that I should not use here."
+             else
+               $ECHO
+               $ECHO "*** Warning: Linking the shared library $output against the"
+               $ECHO "*** static library $deplib is not portable!"
+               deplibs="$deplib $deplibs"
+             fi
+             ;;
+           esac
+           continue
+           ;;
+         prog)
+           if test "$pass" != link; then
+             deplibs="$deplib $deplibs"
+           else
+             compile_deplibs="$deplib $compile_deplibs"
+             finalize_deplibs="$deplib $finalize_deplibs"
+           fi
+           continue
+           ;;
+         esac # linkmode
+         ;; # *.$libext
+       *.lo | *.$objext)
+         if test "$pass" = conv; then
+           deplibs="$deplib $deplibs"
+         elif test "$linkmode" = prog; then
+           if test "$pass" = dlpreopen || test "$dlopen_support" != yes || test "$build_libtool_libs" = no; then
+             # If there is no dlopen support or we're linking statically,
+             # we need to preload.
+             newdlprefiles="$newdlprefiles $deplib"
+             compile_deplibs="$deplib $compile_deplibs"
+             finalize_deplibs="$deplib $finalize_deplibs"
+           else
+             newdlfiles="$newdlfiles $deplib"
+           fi
+         fi
+         continue
+         ;;
+       %DEPLIBS%)
+         alldeplibs=yes
+         continue
+         ;;
+       esac # case $deplib
+
+       if test "$found" = yes || test -f "$lib"; then :
+       else
+         func_fatal_error "cannot find the library \`$lib' or unhandled argument \`$deplib'"
+       fi
+
+       # Check to see that this really is a libtool archive.
+       func_lalib_unsafe_p "$lib" \
+         || func_fatal_error "\`$lib' is not a valid libtool archive"
+
+       func_dirname "$lib" "" "."
+       ladir="$func_dirname_result"
+
+       dlname=
+       dlopen=
+       dlpreopen=
+       libdir=
+       library_names=
+       old_library=
+       inherited_linker_flags=
+       # If the library was installed with an old release of libtool,
+       # it will not redefine variables installed, or shouldnotlink
+       installed=yes
+       shouldnotlink=no
+       avoidtemprpath=
+
+
+       # Read the .la file
+       func_source "$lib"
+
+       # Convert "-framework foo" to "foo.ltframework"
+       if test -n "$inherited_linker_flags"; then
+         tmp_inherited_linker_flags=`$ECHO "X$inherited_linker_flags" | $Xsed -e 's/-framework \([^ $]*\)/\1.ltframework/g'`
+         for tmp_inherited_linker_flag in $tmp_inherited_linker_flags; do
+           case " $new_inherited_linker_flags " in
+             *" $tmp_inherited_linker_flag "*) ;;
+             *) new_inherited_linker_flags="$new_inherited_linker_flags $tmp_inherited_linker_flag";;
+           esac
+         done
+       fi
+       dependency_libs=`$ECHO "X $dependency_libs" | $Xsed -e 's% \([^ $]*\).ltframework% -framework \1%g'`
+       if test "$linkmode,$pass" = "lib,link" ||
+          test "$linkmode,$pass" = "prog,scan" ||
+          { test "$linkmode" != prog && test "$linkmode" != lib; }; then
+         test -n "$dlopen" && dlfiles="$dlfiles $dlopen"
+         test -n "$dlpreopen" && dlprefiles="$dlprefiles $dlpreopen"
+       fi
+
+       if test "$pass" = conv; then
+         # Only check for convenience libraries
+         deplibs="$lib $deplibs"
+         if test -z "$libdir"; then
+           if test -z "$old_library"; then
+             func_fatal_error "cannot find name of link library for \`$lib'"
+           fi
+           # It is a libtool convenience library, so add in its objects.
+           convenience="$convenience $ladir/$objdir/$old_library"
+           old_convenience="$old_convenience $ladir/$objdir/$old_library"
+         elif test "$linkmode" != prog && test "$linkmode" != lib; then
+           func_fatal_error "\`$lib' is not a convenience library"
+         fi
+         tmp_libs=
+         for deplib in $dependency_libs; do
+           deplibs="$deplib $deplibs"
+           if $opt_duplicate_deps ; then
+             case "$tmp_libs " in
+             *" $deplib "*) specialdeplibs="$specialdeplibs $deplib" ;;
+             esac
+           fi
+           tmp_libs="$tmp_libs $deplib"
+         done
+         continue
+       fi # $pass = conv
+
+
+       # Get the name of the library we link against.
+       linklib=
+       for l in $old_library $library_names; do
+         linklib="$l"
+       done
+       if test -z "$linklib"; then
+         func_fatal_error "cannot find name of link library for \`$lib'"
+       fi
+
+       # This library was specified with -dlopen.
+       if test "$pass" = dlopen; then
+         if test -z "$libdir"; then
+           func_fatal_error "cannot -dlopen a convenience library: \`$lib'"
+         fi
+         if test -z "$dlname" ||
+            test "$dlopen_support" != yes ||
+            test "$build_libtool_libs" = no; then
+           # If there is no dlname, no dlopen support or we're linking
+           # statically, we need to preload.  We also need to preload any
+           # dependent libraries so libltdl's deplib preloader doesn't
+           # bomb out in the load deplibs phase.
+           dlprefiles="$dlprefiles $lib $dependency_libs"
+         else
+           newdlfiles="$newdlfiles $lib"
+         fi
+         continue
+       fi # $pass = dlopen
+
+       # We need an absolute path.
+       case $ladir in
+       [\\/]* | [A-Za-z]:[\\/]*) abs_ladir="$ladir" ;;
+       *)
+         abs_ladir=`cd "$ladir" && pwd`
+         if test -z "$abs_ladir"; then
+           func_warning "cannot determine absolute directory name of \`$ladir'"
+           func_warning "passing it literally to the linker, although it might fail"
+           abs_ladir="$ladir"
+         fi
+         ;;
+       esac
+       func_basename "$lib"
+       laname="$func_basename_result"
+
+       # Find the relevant object directory and library name.
+       if test "X$installed" = Xyes; then
+         if test ! -f "$libdir/$linklib" && test -f "$abs_ladir/$linklib"; then
+           func_warning "library \`$lib' was moved."
+           dir="$ladir"
+           absdir="$abs_ladir"
+           libdir="$abs_ladir"
+         else
+           dir="$libdir"
+           absdir="$libdir"
+         fi
+         test "X$hardcode_automatic" = Xyes && avoidtemprpath=yes
+       else
+         if test ! -f "$ladir/$objdir/$linklib" && test -f "$abs_ladir/$linklib"; then
+           dir="$ladir"
+           absdir="$abs_ladir"
+           # Remove this search path later
+           notinst_path="$notinst_path $abs_ladir"
+         else
+           dir="$ladir/$objdir"
+           absdir="$abs_ladir/$objdir"
+           # Remove this search path later
+           notinst_path="$notinst_path $abs_ladir"
+         fi
+       fi # $installed = yes
+       func_stripname 'lib' '.la' "$laname"
+       name=$func_stripname_result
+
+       # This library was specified with -dlpreopen.
+       if test "$pass" = dlpreopen; then
+         if test -z "$libdir" && test "$linkmode" = prog; then
+           func_fatal_error "only libraries may -dlpreopen a convenience library: \`$lib'"
+         fi
+         # Prefer using a static library (so that no silly _DYNAMIC symbols
+         # are required to link).
+         if test -n "$old_library"; then
+           newdlprefiles="$newdlprefiles $dir/$old_library"
+           # Keep a list of preopened convenience libraries to check
+           # that they are being used correctly in the link pass.
+           test -z "$libdir" && \
+               dlpreconveniencelibs="$dlpreconveniencelibs $dir/$old_library"
+         # Otherwise, use the dlname, so that lt_dlopen finds it.
+         elif test -n "$dlname"; then
+           newdlprefiles="$newdlprefiles $dir/$dlname"
+         else
+           newdlprefiles="$newdlprefiles $dir/$linklib"
+         fi
+       fi # $pass = dlpreopen
+
+       if test -z "$libdir"; then
+         # Link the convenience library
+         if test "$linkmode" = lib; then
+           deplibs="$dir/$old_library $deplibs"
+         elif test "$linkmode,$pass" = "prog,link"; then
+           compile_deplibs="$dir/$old_library $compile_deplibs"
+           finalize_deplibs="$dir/$old_library $finalize_deplibs"
+         else
+           deplibs="$lib $deplibs" # used for prog,scan pass
+         fi
+         continue
+       fi
+
+
+       if test "$linkmode" = prog && test "$pass" != link; then
+         newlib_search_path="$newlib_search_path $ladir"
+         deplibs="$lib $deplibs"
+
+         linkalldeplibs=no
+         if test "$link_all_deplibs" != no || test -z "$library_names" ||
+            test "$build_libtool_libs" = no; then
+           linkalldeplibs=yes
+         fi
+
+         tmp_libs=
+         for deplib in $dependency_libs; do
+           case $deplib in
+           -L*) func_stripname '-L' '' "$deplib"
+                newlib_search_path="$newlib_search_path $func_stripname_result"
+                ;;
+           esac
+           # Need to link against all dependency_libs?
+           if test "$linkalldeplibs" = yes; then
+             deplibs="$deplib $deplibs"
+           else
+             # Need to hardcode shared library paths
+             # or/and link against static libraries
+             newdependency_libs="$deplib $newdependency_libs"
+           fi
+           if $opt_duplicate_deps ; then
+             case "$tmp_libs " in
+             *" $deplib "*) specialdeplibs="$specialdeplibs $deplib" ;;
+             esac
+           fi
+           tmp_libs="$tmp_libs $deplib"
+         done # for deplib
+         continue
+       fi # $linkmode = prog...
+
+       if test "$linkmode,$pass" = "prog,link"; then
+         if test -n "$library_names" &&
+            { { test "$prefer_static_libs" = no ||
+                test "$prefer_static_libs,$installed" = "built,yes"; } ||
+              test -z "$old_library"; }; then
+           # We need to hardcode the library path
+           if test -n "$shlibpath_var" && test -z "$avoidtemprpath" ; then
+             # Make sure the rpath contains only unique directories.
+             case "$temp_rpath:" in
+             *"$absdir:"*) ;;
+             *) temp_rpath="$temp_rpath$absdir:" ;;
+             esac
+           fi
+
+           # Hardcode the library path.
+           # Skip directories that are in the system default run-time
+           # search path.
+           case " $sys_lib_dlsearch_path " in
+           *" $absdir "*) ;;
+           *)
+             case "$compile_rpath " in
+             *" $absdir "*) ;;
+             *) compile_rpath="$compile_rpath $absdir"
+             esac
+             ;;
+           esac
+           case " $sys_lib_dlsearch_path " in
+           *" $libdir "*) ;;
+           *)
+             case "$finalize_rpath " in
+             *" $libdir "*) ;;
+             *) finalize_rpath="$finalize_rpath $libdir"
+             esac
+             ;;
+           esac
+         fi # $linkmode,$pass = prog,link...
+
+         if test "$alldeplibs" = yes &&
+            { test "$deplibs_check_method" = pass_all ||
+              { test "$build_libtool_libs" = yes &&
+                test -n "$library_names"; }; }; then
+           # We only need to search for static libraries
+           continue
+         fi
+       fi
+
+       link_static=no # Whether the deplib will be linked statically
+       use_static_libs=$prefer_static_libs
+       if test "$use_static_libs" = built && test "$installed" = yes; then
+         use_static_libs=no
+       fi
+       if test -n "$library_names" &&
+          { test "$use_static_libs" = no || test -z "$old_library"; }; then
+         case $host in
+         *cygwin* | *mingw* | *cegcc*)
+             # No point in relinking DLLs because paths are not encoded
+             notinst_deplibs="$notinst_deplibs $lib"
+             need_relink=no
+           ;;
+         *)
+           if test "$installed" = no; then
+             notinst_deplibs="$notinst_deplibs $lib"
+             need_relink=yes
+           fi
+           ;;
+         esac
+         # This is a shared library
+
+         # Warn about portability, can't link against -module's on some
+         # systems (darwin).  Don't bleat about dlopened modules though!
+         dlopenmodule=""
+         for dlpremoduletest in $dlprefiles; do
+           if test "X$dlpremoduletest" = "X$lib"; then
+             dlopenmodule="$dlpremoduletest"
+             break
+           fi
+         done
+         if test -z "$dlopenmodule" && test "$shouldnotlink" = yes && test "$pass" = link; then
+           $ECHO
+           if test "$linkmode" = prog; then
+             $ECHO "*** Warning: Linking the executable $output against the loadable module"
+           else
+             $ECHO "*** Warning: Linking the shared library $output against the loadable module"
+           fi
+           $ECHO "*** $linklib is not portable!"
+         fi
+         if test "$linkmode" = lib &&
+            test "$hardcode_into_libs" = yes; then
+           # Hardcode the library path.
+           # Skip directories that are in the system default run-time
+           # search path.
+           case " $sys_lib_dlsearch_path " in
+           *" $absdir "*) ;;
+           *)
+             case "$compile_rpath " in
+             *" $absdir "*) ;;
+             *) compile_rpath="$compile_rpath $absdir"
+             esac
+             ;;
+           esac
+           case " $sys_lib_dlsearch_path " in
+           *" $libdir "*) ;;
+           *)
+             case "$finalize_rpath " in
+             *" $libdir "*) ;;
+             *) finalize_rpath="$finalize_rpath $libdir"
+             esac
+             ;;
+           esac
+         fi
+
+         if test -n "$old_archive_from_expsyms_cmds"; then
+           # figure out the soname
+           set dummy $library_names
+           shift
+           realname="$1"
+           shift
+           libname=`eval "\\$ECHO \"$libname_spec\""`
+           # use dlname if we got it. it's perfectly good, no?
+           if test -n "$dlname"; then
+             soname="$dlname"
+           elif test -n "$soname_spec"; then
+             # bleh windows
+             case $host in
+             *cygwin* | mingw* | *cegcc*)
+               func_arith $current - $age
+               major=$func_arith_result
+               versuffix="-$major"
+               ;;
+             esac
+             eval soname=\"$soname_spec\"
+           else
+             soname="$realname"
+           fi
+
+           # Make a new name for the extract_expsyms_cmds to use
+           soroot="$soname"
+           func_basename "$soroot"
+           soname="$func_basename_result"
+           func_stripname 'lib' '.dll' "$soname"
+           newlib=libimp-$func_stripname_result.a
+
+           # If the library has no export list, then create one now
+           if test -f "$output_objdir/$soname-def"; then :
+           else
+             func_verbose "extracting exported symbol list from \`$soname'"
+             func_execute_cmds "$extract_expsyms_cmds" 'exit $?'
+           fi
+
+           # Create $newlib
+           if test -f "$output_objdir/$newlib"; then :; else
+             func_verbose "generating import library for \`$soname'"
+             func_execute_cmds "$old_archive_from_expsyms_cmds" 'exit $?'
+           fi
+           # make sure the library variables are pointing to the new library
+           dir=$output_objdir
+           linklib=$newlib
+         fi # test -n "$old_archive_from_expsyms_cmds"
+
+         if test "$linkmode" = prog || test "$mode" != relink; then
+           add_shlibpath=
+           add_dir=
+           add=
+           lib_linked=yes
+           case $hardcode_action in
+           immediate | unsupported)
+             if test "$hardcode_direct" = no; then
+               add="$dir/$linklib"
+               case $host in
+                 *-*-sco3.2v5.0.[024]*) add_dir="-L$dir" ;;
+                 *-*-sysv4*uw2*) add_dir="-L$dir" ;;
+                 *-*-sysv5OpenUNIX* | *-*-sysv5UnixWare7.[01].[10]* | \
+                   *-*-unixware7*) add_dir="-L$dir" ;;
+                 *-*-darwin* )
+                   # if the lib is a (non-dlopened) module then we can not
+                   # link against it, someone is ignoring the earlier warnings
+                   if /usr/bin/file -L $add 2> /dev/null |
+                        $GREP ": [^:]* bundle" >/dev/null ; then
+                     if test "X$dlopenmodule" != "X$lib"; then
+                       $ECHO "*** Warning: lib $linklib is a module, not a shared library"
+                       if test -z "$old_library" ; then
+                         $ECHO
+                         $ECHO "*** And there doesn't seem to be a static archive available"
+                         $ECHO "*** The link will probably fail, sorry"
+                       else
+                         add="$dir/$old_library"
+                       fi
+                     elif test -n "$old_library"; then
+                       add="$dir/$old_library"
+                     fi
+                   fi
+               esac
+             elif test "$hardcode_minus_L" = no; then
+               case $host in
+               *-*-sunos*) add_shlibpath="$dir" ;;
+               esac
+               add_dir="-L$dir"
+               add="-l$name"
+             elif test "$hardcode_shlibpath_var" = no; then
+               add_shlibpath="$dir"
+               add="-l$name"
+             else
+               lib_linked=no
+             fi
+             ;;
+           relink)
+             if test "$hardcode_direct" = yes &&
+                test "$hardcode_direct_absolute" = no; then
+               add="$dir/$linklib"
+             elif test "$hardcode_minus_L" = yes; then
+               add_dir="-L$dir"
+               # Try looking first in the location we're being installed to.
+               if test -n "$inst_prefix_dir"; then
+                 case $libdir in
+                   [\\/]*)
+                     add_dir="$add_dir -L$inst_prefix_dir$libdir"
+                     ;;
+                 esac
+               fi
+               add="-l$name"
+             elif test "$hardcode_shlibpath_var" = yes; then
+               add_shlibpath="$dir"
+               add="-l$name"
+             else
+               lib_linked=no
+             fi
+             ;;
+           *) lib_linked=no ;;
+           esac
+
+           if test "$lib_linked" != yes; then
+             func_fatal_configuration "unsupported hardcode properties"
+           fi
+
+           if test -n "$add_shlibpath"; then
+             case :$compile_shlibpath: in
+             *":$add_shlibpath:"*) ;;
+             *) compile_shlibpath="$compile_shlibpath$add_shlibpath:" ;;
+             esac
+           fi
+           if test "$linkmode" = prog; then
+             test -n "$add_dir" && compile_deplibs="$add_dir $compile_deplibs"
+             test -n "$add" && compile_deplibs="$add $compile_deplibs"
+           else
+             test -n "$add_dir" && deplibs="$add_dir $deplibs"
+             test -n "$add" && deplibs="$add $deplibs"
+             if test "$hardcode_direct" != yes &&
+                test "$hardcode_minus_L" != yes &&
+                test "$hardcode_shlibpath_var" = yes; then
+               case :$finalize_shlibpath: in
+               *":$libdir:"*) ;;
+               *) finalize_shlibpath="$finalize_shlibpath$libdir:" ;;
+               esac
+             fi
+           fi
+         fi
+
+         if test "$linkmode" = prog || test "$mode" = relink; then
+           add_shlibpath=
+           add_dir=
+           add=
+           # Finalize command for both is simple: just hardcode it.
+           if test "$hardcode_direct" = yes &&
+              test "$hardcode_direct_absolute" = no; then
+             add="$libdir/$linklib"
+           elif test "$hardcode_minus_L" = yes; then
+             add_dir="-L$libdir"
+             add="-l$name"
+           elif test "$hardcode_shlibpath_var" = yes; then
+             case :$finalize_shlibpath: in
+             *":$libdir:"*) ;;
+             *) finalize_shlibpath="$finalize_shlibpath$libdir:" ;;
+             esac
+             add="-l$name"
+           elif test "$hardcode_automatic" = yes; then
+             if test -n "$inst_prefix_dir" &&
+                test -f "$inst_prefix_dir$libdir/$linklib" ; then
+               add="$inst_prefix_dir$libdir/$linklib"
+             else
+               add="$libdir/$linklib"
+             fi
+           else
+             # We cannot seem to hardcode it, guess we'll fake it.
+             add_dir="-L$libdir"
+             # Try looking first in the location we're being installed to.
+             if test -n "$inst_prefix_dir"; then
+               case $libdir in
+                 [\\/]*)
+                   add_dir="$add_dir -L$inst_prefix_dir$libdir"
+                   ;;
+               esac
+             fi
+             add="-l$name"
+           fi
+
+           if test "$linkmode" = prog; then
+             test -n "$add_dir" && finalize_deplibs="$add_dir $finalize_deplibs"
+             test -n "$add" && finalize_deplibs="$add $finalize_deplibs"
+           else
+             test -n "$add_dir" && deplibs="$add_dir $deplibs"
+             test -n "$add" && deplibs="$add $deplibs"
+           fi
+         fi
+       elif test "$linkmode" = prog; then
+         # Here we assume that one of hardcode_direct or hardcode_minus_L
+         # is not unsupported.  This is valid on all known static and
+         # shared platforms.
+         if test "$hardcode_direct" != unsupported; then
+           test -n "$old_library" && linklib="$old_library"
+           compile_deplibs="$dir/$linklib $compile_deplibs"
+           finalize_deplibs="$dir/$linklib $finalize_deplibs"
+         else
+           compile_deplibs="-l$name -L$dir $compile_deplibs"
+           finalize_deplibs="-l$name -L$dir $finalize_deplibs"
+         fi
+       elif test "$build_libtool_libs" = yes; then
+         # Not a shared library
+         if test "$deplibs_check_method" != pass_all; then
+           # We're trying link a shared library against a static one
+           # but the system doesn't support it.
+
+           # Just print a warning and add the library to dependency_libs so
+           # that the program can be linked against the static library.
+           $ECHO
+           $ECHO "*** Warning: This system can not link to static lib archive $lib."
+           $ECHO "*** I have the capability to make that library automatically link in when"
+           $ECHO "*** you link to this library.  But I can only do this if you have a"
+           $ECHO "*** shared version of the library, which you do not appear to have."
+           if test "$module" = yes; then
+             $ECHO "*** But as you try to build a module library, libtool will still create "
+             $ECHO "*** a static module, that should work as long as the dlopening application"
+             $ECHO "*** is linked with the -dlopen flag to resolve symbols at runtime."
+             if test -z "$global_symbol_pipe"; then
+               $ECHO
+               $ECHO "*** However, this would only work if libtool was able to extract symbol"
+               $ECHO "*** lists from a program, using \`nm' or equivalent, but libtool could"
+               $ECHO "*** not find such a program.  So, this module is probably useless."
+               $ECHO "*** \`nm' from GNU binutils and a full rebuild may help."
+             fi
+             if test "$build_old_libs" = no; then
+               build_libtool_libs=module
+               build_old_libs=yes
+             else
+               build_libtool_libs=no
+             fi
+           fi
+         else
+           deplibs="$dir/$old_library $deplibs"
+           link_static=yes
+         fi
+       fi # link shared/static library?
+
+       if test "$linkmode" = lib; then
+         if test -n "$dependency_libs" &&
+            { test "$hardcode_into_libs" != yes ||
+              test "$build_old_libs" = yes ||
+              test "$link_static" = yes; }; then
+           # Extract -R from dependency_libs
+           temp_deplibs=
+           for libdir in $dependency_libs; do
+             case $libdir in
+             -R*) func_stripname '-R' '' "$libdir"
+                  temp_xrpath=$func_stripname_result
+                  case " $xrpath " in
+                  *" $temp_xrpath "*) ;;
+                  *) xrpath="$xrpath $temp_xrpath";;
+                  esac;;
+             *) temp_deplibs="$temp_deplibs $libdir";;
+             esac
+           done
+           dependency_libs="$temp_deplibs"
+         fi
+
+         newlib_search_path="$newlib_search_path $absdir"
+         # Link against this library
+         test "$link_static" = no && newdependency_libs="$abs_ladir/$laname $newdependency_libs"
+         # ... and its dependency_libs
+         tmp_libs=
+         for deplib in $dependency_libs; do
+           newdependency_libs="$deplib $newdependency_libs"
+           if $opt_duplicate_deps ; then
+             case "$tmp_libs " in
+             *" $deplib "*) specialdeplibs="$specialdeplibs $deplib" ;;
+             esac
+           fi
+           tmp_libs="$tmp_libs $deplib"
+         done
+
+         if test "$link_all_deplibs" != no; then
+           # Add the search paths of all dependency libraries
+           for deplib in $dependency_libs; do
+             case $deplib in
+             -L*) path="$deplib" ;;
+             *.la)
+               func_dirname "$deplib" "" "."
+               dir="$func_dirname_result"
+               # We need an absolute path.
+               case $dir in
+               [\\/]* | [A-Za-z]:[\\/]*) absdir="$dir" ;;
+               *)
+                 absdir=`cd "$dir" && pwd`
+                 if test -z "$absdir"; then
+                   func_warning "cannot determine absolute directory name of \`$dir'"
+                   absdir="$dir"
+                 fi
+                 ;;
+               esac
+               if $GREP "^installed=no" $deplib > /dev/null; then
+               case $host in
+               *-*-darwin*)
+                 depdepl=
+                 eval deplibrary_names=`${SED} -n -e 's/^library_names=\(.*\)$/\1/p' $deplib`
+                 if test -n "$deplibrary_names" ; then
+                   for tmp in $deplibrary_names ; do
+                     depdepl=$tmp
+                   done
+                   if test -f "$absdir/$objdir/$depdepl" ; then
+                     depdepl="$absdir/$objdir/$depdepl"
+                     darwin_install_name=`${OTOOL} -L $depdepl | awk '{if (NR == 2) {print $1;exit}}'`
+                      if test -z "$darwin_install_name"; then
+                          darwin_install_name=`${OTOOL64} -L $depdepl  | awk '{if (NR == 2) {print $1;exit}}'`
+                      fi
+                     compiler_flags="$compiler_flags ${wl}-dylib_file ${wl}${darwin_install_name}:${depdepl}"
+                     linker_flags="$linker_flags -dylib_file ${darwin_install_name}:${depdepl}"
+                     path=
+                   fi
+                 fi
+                 ;;
+               *)
+                 path="-L$absdir/$objdir"
+                 ;;
+               esac
+               else
+                 eval libdir=`${SED} -n -e 's/^libdir=\(.*\)$/\1/p' $deplib`
+                 test -z "$libdir" && \
+                   func_fatal_error "\`$deplib' is not a valid libtool archive"
+                 test "$absdir" != "$libdir" && \
+                   func_warning "\`$deplib' seems to be moved"
+
+                 path="-L$absdir"
+               fi
+               ;;
+             esac
+             case " $deplibs " in
+             *" $path "*) ;;
+             *) deplibs="$path $deplibs" ;;
+             esac
+           done
+         fi # link_all_deplibs != no
+       fi # linkmode = lib
+      done # for deplib in $libs
+      if test "$pass" = link; then
+       if test "$linkmode" = "prog"; then
+         compile_deplibs="$new_inherited_linker_flags $compile_deplibs"
+         finalize_deplibs="$new_inherited_linker_flags $finalize_deplibs"
+       else
+         compiler_flags="$compiler_flags "`$ECHO "X $new_inherited_linker_flags" | $Xsed -e 's% \([^ $]*\).ltframework% -framework \1%g'`
+       fi
+      fi
+      dependency_libs="$newdependency_libs"
+      if test "$pass" = dlpreopen; then
+       # Link the dlpreopened libraries before other libraries
+       for deplib in $save_deplibs; do
+         deplibs="$deplib $deplibs"
+       done
+      fi
+      if test "$pass" != dlopen; then
+       if test "$pass" != conv; then
+         # Make sure lib_search_path contains only unique directories.
+         lib_search_path=
+         for dir in $newlib_search_path; do
+           case "$lib_search_path " in
+           *" $dir "*) ;;
+           *) lib_search_path="$lib_search_path $dir" ;;
+           esac
+         done
+         newlib_search_path=
+       fi
+
+       if test "$linkmode,$pass" != "prog,link"; then
+         vars="deplibs"
+       else
+         vars="compile_deplibs finalize_deplibs"
+       fi
+       for var in $vars dependency_libs; do
+         # Add libraries to $var in reverse order
+         eval tmp_libs=\"\$$var\"
+         new_libs=
+         for deplib in $tmp_libs; do
+           # FIXME: Pedantically, this is the right thing to do, so
+           #        that some nasty dependency loop isn't accidentally
+           #        broken:
+           #new_libs="$deplib $new_libs"
+           # Pragmatically, this seems to cause very few problems in
+           # practice:
+           case $deplib in
+           -L*) new_libs="$deplib $new_libs" ;;
+           -R*) ;;
+           *)
+             # And here is the reason: when a library appears more
+             # than once as an explicit dependence of a library, or
+             # is implicitly linked in more than once by the
+             # compiler, it is considered special, and multiple
+             # occurrences thereof are not removed.  Compare this
+             # with having the same library being listed as a
+             # dependency of multiple other libraries: in this case,
+             # we know (pedantically, we assume) the library does not
+             # need to be listed more than once, so we keep only the
+             # last copy.  This is not always right, but it is rare
+             # enough that we require users that really mean to play
+             # such unportable linking tricks to link the library
+             # using -Wl,-lname, so that libtool does not consider it
+             # for duplicate removal.
+             case " $specialdeplibs " in
+             *" $deplib "*) new_libs="$deplib $new_libs" ;;
+             *)
+               case " $new_libs " in
+               *" $deplib "*) ;;
+               *) new_libs="$deplib $new_libs" ;;
+               esac
+               ;;
+             esac
+             ;;
+           esac
+         done
+         tmp_libs=
+         for deplib in $new_libs; do
+           case $deplib in
+           -L*)
+             case " $tmp_libs " in
+             *" $deplib "*) ;;
+             *) tmp_libs="$tmp_libs $deplib" ;;
+             esac
+             ;;
+           *) tmp_libs="$tmp_libs $deplib" ;;
+           esac
+         done
+         eval $var=\"$tmp_libs\"
+       done # for var
+      fi
+      # Last step: remove runtime libs from dependency_libs
+      # (they stay in deplibs)
+      tmp_libs=
+      for i in $dependency_libs ; do
+       case " $predeps $postdeps $compiler_lib_search_path " in
+       *" $i "*)
+         i=""
+         ;;
+       esac
+       if test -n "$i" ; then
+         tmp_libs="$tmp_libs $i"
+       fi
+      done
+      dependency_libs=$tmp_libs
+    done # for pass
+    if test "$linkmode" = prog; then
+      dlfiles="$newdlfiles"
+    fi
+    if test "$linkmode" = prog || test "$linkmode" = lib; then
+      dlprefiles="$newdlprefiles"
+    fi
+
+    case $linkmode in
+    oldlib)
+      if test -n "$dlfiles$dlprefiles" || test "$dlself" != no; then
+       func_warning "\`-dlopen' is ignored for archives"
+      fi
+
+      case " $deplibs" in
+      *\ -l* | *\ -L*)
+       func_warning "\`-l' and \`-L' are ignored for archives" ;;
+      esac
+
+      test -n "$rpath" && \
+       func_warning "\`-rpath' is ignored for archives"
+
+      test -n "$xrpath" && \
+       func_warning "\`-R' is ignored for archives"
+
+      test -n "$vinfo" && \
+       func_warning "\`-version-info/-version-number' is ignored for archives"
+
+      test -n "$release" && \
+       func_warning "\`-release' is ignored for archives"
+
+      test -n "$export_symbols$export_symbols_regex" && \
+       func_warning "\`-export-symbols' is ignored for archives"
+
+      # Now set the variables for building old libraries.
+      build_libtool_libs=no
+      oldlibs="$output"
+      objs="$objs$old_deplibs"
+      ;;
+
+    lib)
+      # Make sure we only generate libraries of the form `libNAME.la'.
+      case $outputname in
+      lib*)
+       func_stripname 'lib' '.la' "$outputname"
+       name=$func_stripname_result
+       eval shared_ext=\"$shrext_cmds\"
+       eval libname=\"$libname_spec\"
+       ;;
+      *)
+       test "$module" = no && \
+         func_fatal_help "libtool library \`$output' must begin with \`lib'"
+
+       if test "$need_lib_prefix" != no; then
+         # Add the "lib" prefix for modules if required
+         func_stripname '' '.la' "$outputname"
+         name=$func_stripname_result
+         eval shared_ext=\"$shrext_cmds\"
+         eval libname=\"$libname_spec\"
+       else
+         func_stripname '' '.la' "$outputname"
+         libname=$func_stripname_result
+       fi
+       ;;
+      esac
+
+      if test -n "$objs"; then
+       if test "$deplibs_check_method" != pass_all; then
+         func_fatal_error "cannot build libtool library \`$output' from non-libtool objects on this host:$objs"
+       else
+         $ECHO
+         $ECHO "*** Warning: Linking the shared library $output against the non-libtool"
+         $ECHO "*** objects $objs is not portable!"
+         libobjs="$libobjs $objs"
+       fi
+      fi
+
+      test "$dlself" != no && \
+       func_warning "\`-dlopen self' is ignored for libtool libraries"
+
+      set dummy $rpath
+      shift
+      test "$#" -gt 1 && \
+       func_warning "ignoring multiple \`-rpath's for a libtool library"
+
+      install_libdir="$1"
+
+      oldlibs=
+      if test -z "$rpath"; then
+       if test "$build_libtool_libs" = yes; then
+         # Building a libtool convenience library.
+         # Some compilers have problems with a `.al' extension so
+         # convenience libraries should have the same extension an
+         # archive normally would.
+         oldlibs="$output_objdir/$libname.$libext $oldlibs"
+         build_libtool_libs=convenience
+         build_old_libs=yes
+       fi
+
+       test -n "$vinfo" && \
+         func_warning "\`-version-info/-version-number' is ignored for convenience libraries"
+
+       test -n "$release" && \
+         func_warning "\`-release' is ignored for convenience libraries"
+      else
+
+       # Parse the version information argument.
+       save_ifs="$IFS"; IFS=':'
+       set dummy $vinfo 0 0 0
+       shift
+       IFS="$save_ifs"
+
+       test -n "$7" && \
+         func_fatal_help "too many parameters to \`-version-info'"
+
+       # convert absolute version numbers to libtool ages
+       # this retains compatibility with .la files and attempts
+       # to make the code below a bit more comprehensible
+
+       case $vinfo_number in
+       yes)
+         number_major="$1"
+         number_minor="$2"
+         number_revision="$3"
+         #
+         # There are really only two kinds -- those that
+         # use the current revision as the major version
+         # and those that subtract age and use age as
+         # a minor version.  But, then there is irix
+         # which has an extra 1 added just for fun
+         #
+         case $version_type in
+         darwin|linux|osf|windows|none)
+           func_arith $number_major + $number_minor
+           current=$func_arith_result
+           age="$number_minor"
+           revision="$number_revision"
+           ;;
+         freebsd-aout|freebsd-elf|sunos)
+           current="$number_major"
+           revision="$number_minor"
+           age="0"
+           ;;
+         irix|nonstopux)
+           func_arith $number_major + $number_minor
+           current=$func_arith_result
+           age="$number_minor"
+           revision="$number_minor"
+           lt_irix_increment=no
+           ;;
+         *)
+           func_fatal_configuration "$modename: unknown library version type \`$version_type'"
+           ;;
+         esac
+         ;;
+       no)
+         current="$1"
+         revision="$2"
+         age="$3"
+         ;;
+       esac
+
+       # Check that each of the things are valid numbers.
+       case $current in
+       0|[1-9]|[1-9][0-9]|[1-9][0-9][0-9]|[1-9][0-9][0-9][0-9]|[1-9][0-9][0-9][0-9][0-9]) ;;
+       *)
+         func_error "CURRENT \`$current' must be a nonnegative integer"
+         func_fatal_error "\`$vinfo' is not valid version information"
+         ;;
+       esac
+
+       case $revision in
+       0|[1-9]|[1-9][0-9]|[1-9][0-9][0-9]|[1-9][0-9][0-9][0-9]|[1-9][0-9][0-9][0-9][0-9]) ;;
+       *)
+         func_error "REVISION \`$revision' must be a nonnegative integer"
+         func_fatal_error "\`$vinfo' is not valid version information"
+         ;;
+       esac
+
+       case $age in
+       0|[1-9]|[1-9][0-9]|[1-9][0-9][0-9]|[1-9][0-9][0-9][0-9]|[1-9][0-9][0-9][0-9][0-9]) ;;
+       *)
+         func_error "AGE \`$age' must be a nonnegative integer"
+         func_fatal_error "\`$vinfo' is not valid version information"
+         ;;
+       esac
+
+       if test "$age" -gt "$current"; then
+         func_error "AGE \`$age' is greater than the current interface number \`$current'"
+         func_fatal_error "\`$vinfo' is not valid version information"
+       fi
+
+       # Calculate the version variables.
+       major=
+       versuffix=
+       verstring=
+       case $version_type in
+       none) ;;
+
+       darwin)
+         # Like Linux, but with the current version available in
+         # verstring for coding it into the library header
+         func_arith $current - $age
+         major=.$func_arith_result
+         versuffix="$major.$age.$revision"
+         # Darwin ld doesn't like 0 for these options...
+         func_arith $current + 1
+         minor_current=$func_arith_result
+         xlcverstring="${wl}-compatibility_version ${wl}$minor_current ${wl}-current_version ${wl}$minor_current.$revision"
+         verstring="-compatibility_version $minor_current -current_version $minor_current.$revision"
+         ;;
+
+       freebsd-aout)
+         major=".$current"
+         versuffix=".$current.$revision";
+         ;;
+
+       freebsd-elf)
+         major=".$current"
+         versuffix=".$current"
+         ;;
+
+       irix | nonstopux)
+         if test "X$lt_irix_increment" = "Xno"; then
+           func_arith $current - $age
+         else
+           func_arith $current - $age + 1
+         fi
+         major=$func_arith_result
+
+         case $version_type in
+           nonstopux) verstring_prefix=nonstopux ;;
+           *)         verstring_prefix=sgi ;;
+         esac
+         verstring="$verstring_prefix$major.$revision"
+
+         # Add in all the interfaces that we are compatible with.
+         loop=$revision
+         while test "$loop" -ne 0; do
+           func_arith $revision - $loop
+           iface=$func_arith_result
+           func_arith $loop - 1
+           loop=$func_arith_result
+           verstring="$verstring_prefix$major.$iface:$verstring"
+         done
+
+         # Before this point, $major must not contain `.'.
+         major=.$major
+         versuffix="$major.$revision"
+         ;;
+
+       linux)
+         func_arith $current - $age
+         major=.$func_arith_result
+         versuffix="$major.$age.$revision"
+         ;;
+
+       osf)
+         func_arith $current - $age
+         major=.$func_arith_result
+         versuffix=".$current.$age.$revision"
+         verstring="$current.$age.$revision"
+
+         # Add in all the interfaces that we are compatible with.
+         loop=$age
+         while test "$loop" -ne 0; do
+           func_arith $current - $loop
+           iface=$func_arith_result
+           func_arith $loop - 1
+           loop=$func_arith_result
+           verstring="$verstring:${iface}.0"
+         done
+
+         # Make executables depend on our current version.
+         verstring="$verstring:${current}.0"
+         ;;
+
+       qnx)
+         major=".$current"
+         versuffix=".$current"
+         ;;
+
+       sunos)
+         major=".$current"
+         versuffix=".$current.$revision"
+         ;;
+
+       windows)
+         # Use '-' rather than '.', since we only want one
+         # extension on DOS 8.3 filesystems.
+         func_arith $current - $age
+         major=$func_arith_result
+         versuffix="-$major"
+         ;;
+
+       *)
+         func_fatal_configuration "unknown library version type \`$version_type'"
+         ;;
+       esac
+
+       # Clear the version info if we defaulted, and they specified a release.
+       if test -z "$vinfo" && test -n "$release"; then
+         major=
+         case $version_type in
+         darwin)
+           # we can't check for "0.0" in archive_cmds due to quoting
+           # problems, so we reset it completely
+           verstring=
+           ;;
+         *)
+           verstring="0.0"
+           ;;
+         esac
+         if test "$need_version" = no; then
+           versuffix=
+         else
+           versuffix=".0.0"
+         fi
+       fi
+
+       # Remove version info from name if versioning should be avoided
+       if test "$avoid_version" = yes && test "$need_version" = no; then
+         major=
+         versuffix=
+         verstring=""
+       fi
+
+       # Check to see if the archive will have undefined symbols.
+       if test "$allow_undefined" = yes; then
+         if test "$allow_undefined_flag" = unsupported; then
+           func_warning "undefined symbols not allowed in $host shared libraries"
+           build_libtool_libs=no
+           build_old_libs=yes
+         fi
+       else
+         # Don't allow undefined symbols.
+         allow_undefined_flag="$no_undefined_flag"
+       fi
+
+      fi
+
+      func_generate_dlsyms "$libname" "$libname" "yes"
+      libobjs="$libobjs $symfileobj"
+      test "X$libobjs" = "X " && libobjs=
+
+      if test "$mode" != relink; then
+       # Remove our outputs, but don't remove object files since they
+       # may have been created when compiling PIC objects.
+       removelist=
+       tempremovelist=`$ECHO "$output_objdir/*"`
+       for p in $tempremovelist; do
+         case $p in
+           *.$objext | *.gcno)
+              ;;
+           $output_objdir/$outputname | $output_objdir/$libname.* | $output_objdir/${libname}${release}.*)
+              if test "X$precious_files_regex" != "X"; then
+                if $ECHO "$p" | $EGREP -e "$precious_files_regex" >/dev/null 2>&1
+                then
+                  continue
+                fi
+              fi
+              removelist="$removelist $p"
+              ;;
+           *) ;;
+         esac
+       done
+       test -n "$removelist" && \
+         func_show_eval "${RM}r \$removelist"
+      fi
+
+      # Now set the variables for building old libraries.
+      if test "$build_old_libs" = yes && test "$build_libtool_libs" != convenience ; then
+       oldlibs="$oldlibs $output_objdir/$libname.$libext"
+
+       # Transform .lo files to .o files.
+       oldobjs="$objs "`$ECHO "X$libobjs" | $SP2NL | $Xsed -e '/\.'${libext}'$/d' -e "$lo2o" | $NL2SP`
+      fi
+
+      # Eliminate all temporary directories.
+      #for path in $notinst_path; do
+      #        lib_search_path=`$ECHO "X$lib_search_path " | $Xsed -e "s% $path % %g"`
+      #        deplibs=`$ECHO "X$deplibs " | $Xsed -e "s% -L$path % %g"`
+      #        dependency_libs=`$ECHO "X$dependency_libs " | $Xsed -e "s% -L$path % %g"`
+      #done
+
+      if test -n "$xrpath"; then
+       # If the user specified any rpath flags, then add them.
+       temp_xrpath=
+       for libdir in $xrpath; do
+         temp_xrpath="$temp_xrpath -R$libdir"
+         case "$finalize_rpath " in
+         *" $libdir "*) ;;
+         *) finalize_rpath="$finalize_rpath $libdir" ;;
+         esac
+       done
+       if test "$hardcode_into_libs" != yes || test "$build_old_libs" = yes; then
+         dependency_libs="$temp_xrpath $dependency_libs"
+       fi
+      fi
+
+      # Make sure dlfiles contains only unique files that won't be dlpreopened
+      old_dlfiles="$dlfiles"
+      dlfiles=
+      for lib in $old_dlfiles; do
+       case " $dlprefiles $dlfiles " in
+       *" $lib "*) ;;
+       *) dlfiles="$dlfiles $lib" ;;
+       esac
+      done
+
+      # Make sure dlprefiles contains only unique files
+      old_dlprefiles="$dlprefiles"
+      dlprefiles=
+      for lib in $old_dlprefiles; do
+       case "$dlprefiles " in
+       *" $lib "*) ;;
+       *) dlprefiles="$dlprefiles $lib" ;;
+       esac
+      done
+
+      if test "$build_libtool_libs" = yes; then
+       if test -n "$rpath"; then
+         case $host in
+         *-*-cygwin* | *-*-mingw* | *-*-pw32* | *-*-os2* | *-*-beos* | *-cegcc*)
+           # these systems don't actually have a c library (as such)!
+           ;;
+         *-*-rhapsody* | *-*-darwin1.[012])
+           # Rhapsody C library is in the System framework
+           deplibs="$deplibs System.ltframework"
+           ;;
+         *-*-netbsd*)
+           # Don't link with libc until the a.out ld.so is fixed.
+           ;;
+         *-*-openbsd* | *-*-freebsd* | *-*-dragonfly*)
+           # Do not include libc due to us having libc/libc_r.
+           ;;
+         *-*-sco3.2v5* | *-*-sco5v6*)
+           # Causes problems with __ctype
+           ;;
+         *-*-sysv4.2uw2* | *-*-sysv5* | *-*-unixware* | *-*-OpenUNIX*)
+           # Compiler inserts libc in the correct place for threads to work
+           ;;
+         *)
+           # Add libc to deplibs on all other systems if necessary.
+           if test "$build_libtool_need_lc" = "yes"; then
+             deplibs="$deplibs -lc"
+           fi
+           ;;
+         esac
+       fi
+
+       # Transform deplibs into only deplibs that can be linked in shared.
+       name_save=$name
+       libname_save=$libname
+       release_save=$release
+       versuffix_save=$versuffix
+       major_save=$major
+       # I'm not sure if I'm treating the release correctly.  I think
+       # release should show up in the -l (ie -lgmp5) so we don't want to
+       # add it in twice.  Is that correct?
+       release=""
+       versuffix=""
+       major=""
+       newdeplibs=
+       droppeddeps=no
+       case $deplibs_check_method in
+       pass_all)
+         # Don't check for shared/static.  Everything works.
+         # This might be a little naive.  We might want to check
+         # whether the library exists or not.  But this is on
+         # osf3 & osf4 and I'm not really sure... Just
+         # implementing what was already the behavior.
+         newdeplibs=$deplibs
+         ;;
+       test_compile)
+         # This code stresses the "libraries are programs" paradigm to its
+         # limits. Maybe even breaks it.  We compile a program, linking it
+         # against the deplibs as a proxy for the library.  Then we can check
+         # whether they linked in statically or dynamically with ldd.
+         $opt_dry_run || $RM conftest.c
+         cat > conftest.c <<EOF
+         int main() { return 0; }
+EOF
+         $opt_dry_run || $RM conftest
+         if $LTCC $LTCFLAGS -o conftest conftest.c $deplibs; then
+           ldd_output=`ldd conftest`
+           for i in $deplibs; do
+             case $i in
+             -l*)
+               func_stripname -l '' "$i"
+               name=$func_stripname_result
+               if test "X$allow_libtool_libs_with_static_runtimes" = "Xyes" ; then
+                 case " $predeps $postdeps " in
+                 *" $i "*)
+                   newdeplibs="$newdeplibs $i"
+                   i=""
+                   ;;
+                 esac
+               fi
+               if test -n "$i" ; then
+                 libname=`eval "\\$ECHO \"$libname_spec\""`
+                 deplib_matches=`eval "\\$ECHO \"$library_names_spec\""`
+                 set dummy $deplib_matches; shift
+                 deplib_match=$1
+                 if test `expr "$ldd_output" : ".*$deplib_match"` -ne 0 ; then
+                   newdeplibs="$newdeplibs $i"
+                 else
+                   droppeddeps=yes
+                   $ECHO
+                   $ECHO "*** Warning: dynamic linker does not accept needed library $i."
+                   $ECHO "*** I have the capability to make that library automatically link in when"
+                   $ECHO "*** you link to this library.  But I can only do this if you have a"
+                   $ECHO "*** shared version of the library, which I believe you do not have"
+                   $ECHO "*** because a test_compile did reveal that the linker did not use it for"
+                   $ECHO "*** its dynamic dependency list that programs get resolved with at runtime."
+                 fi
+               fi
+               ;;
+             *)
+               newdeplibs="$newdeplibs $i"
+               ;;
+             esac
+           done
+         else
+           # Error occurred in the first compile.  Let's try to salvage
+           # the situation: Compile a separate program for each library.
+           for i in $deplibs; do
+             case $i in
+             -l*)
+               func_stripname -l '' "$i"
+               name=$func_stripname_result
+               $opt_dry_run || $RM conftest
+               if $LTCC $LTCFLAGS -o conftest conftest.c $i; then
+                 ldd_output=`ldd conftest`
+                 if test "X$allow_libtool_libs_with_static_runtimes" = "Xyes" ; then
+                   case " $predeps $postdeps " in
+                   *" $i "*)
+                     newdeplibs="$newdeplibs $i"
+                     i=""
+                     ;;
+                   esac
+                 fi
+                 if test -n "$i" ; then
+                   libname=`eval "\\$ECHO \"$libname_spec\""`
+                   deplib_matches=`eval "\\$ECHO \"$library_names_spec\""`
+                   set dummy $deplib_matches; shift
+                   deplib_match=$1
+                   if test `expr "$ldd_output" : ".*$deplib_match"` -ne 0 ; then
+                     newdeplibs="$newdeplibs $i"
+                   else
+                     droppeddeps=yes
+                     $ECHO
+                     $ECHO "*** Warning: dynamic linker does not accept needed library $i."
+                     $ECHO "*** I have the capability to make that library automatically link in when"
+                     $ECHO "*** you link to this library.  But I can only do this if you have a"
+                     $ECHO "*** shared version of the library, which you do not appear to have"
+                     $ECHO "*** because a test_compile did reveal that the linker did not use this one"
+                     $ECHO "*** as a dynamic dependency that programs can get resolved with at runtime."
+                   fi
+                 fi
+               else
+                 droppeddeps=yes
+                 $ECHO
+                 $ECHO "*** Warning!  Library $i is needed by this library but I was not able to"
+                 $ECHO "*** make it link in!  You will probably need to install it or some"
+                 $ECHO "*** library that it depends on before this library will be fully"
+                 $ECHO "*** functional.  Installing it before continuing would be even better."
+               fi
+               ;;
+             *)
+               newdeplibs="$newdeplibs $i"
+               ;;
+             esac
+           done
+         fi
+         ;;
+       file_magic*)
+         set dummy $deplibs_check_method; shift
+         file_magic_regex=`expr "$deplibs_check_method" : "$1 \(.*\)"`
+         for a_deplib in $deplibs; do
+           case $a_deplib in
+           -l*)
+             func_stripname -l '' "$a_deplib"
+             name=$func_stripname_result
+             if test "X$allow_libtool_libs_with_static_runtimes" = "Xyes" ; then
+               case " $predeps $postdeps " in
+               *" $a_deplib "*)
+                 newdeplibs="$newdeplibs $a_deplib"
+                 a_deplib=""
+                 ;;
+               esac
+             fi
+             if test -n "$a_deplib" ; then
+               libname=`eval "\\$ECHO \"$libname_spec\""`
+               for i in $lib_search_path $sys_lib_search_path $shlib_search_path; do
+                 potential_libs=`ls $i/$libname[.-]* 2>/dev/null`
+                 for potent_lib in $potential_libs; do
+                     # Follow soft links.
+                     if ls -lLd "$potent_lib" 2>/dev/null |
+                        $GREP " -> " >/dev/null; then
+                       continue
+                     fi
+                     # The statement above tries to avoid entering an
+                     # endless loop below, in case of cyclic links.
+                     # We might still enter an endless loop, since a link
+                     # loop can be closed while we follow links,
+                     # but so what?
+                     potlib="$potent_lib"
+                     while test -h "$potlib" 2>/dev/null; do
+                       potliblink=`ls -ld $potlib | ${SED} 's/.* -> //'`
+                       case $potliblink in
+                       [\\/]* | [A-Za-z]:[\\/]*) potlib="$potliblink";;
+                       *) potlib=`$ECHO "X$potlib" | $Xsed -e 's,[^/]*$,,'`"$potliblink";;
+                       esac
+                     done
+                     if eval $file_magic_cmd \"\$potlib\" 2>/dev/null |
+                        $SED -e 10q |
+                        $EGREP "$file_magic_regex" > /dev/null; then
+                       newdeplibs="$newdeplibs $a_deplib"
+                       a_deplib=""
+                       break 2
+                     fi
+                 done
+               done
+             fi
+             if test -n "$a_deplib" ; then
+               droppeddeps=yes
+               $ECHO
+               $ECHO "*** Warning: linker path does not have real file for library $a_deplib."
+               $ECHO "*** I have the capability to make that library automatically link in when"
+               $ECHO "*** you link to this library.  But I can only do this if you have a"
+               $ECHO "*** shared version of the library, which you do not appear to have"
+               $ECHO "*** because I did check the linker path looking for a file starting"
+               if test -z "$potlib" ; then
+                 $ECHO "*** with $libname but no candidates were found. (...for file magic test)"
+               else
+                 $ECHO "*** with $libname and none of the candidates passed a file format test"
+                 $ECHO "*** using a file magic. Last file checked: $potlib"
+               fi
+             fi
+             ;;
+           *)
+             # Add a -L argument.
+             newdeplibs="$newdeplibs $a_deplib"
+             ;;
+           esac
+         done # Gone through all deplibs.
+         ;;
+       match_pattern*)
+         set dummy $deplibs_check_method; shift
+         match_pattern_regex=`expr "$deplibs_check_method" : "$1 \(.*\)"`
+         for a_deplib in $deplibs; do
+           case $a_deplib in
+           -l*)
+             func_stripname -l '' "$a_deplib"
+             name=$func_stripname_result
+             if test "X$allow_libtool_libs_with_static_runtimes" = "Xyes" ; then
+               case " $predeps $postdeps " in
+               *" $a_deplib "*)
+                 newdeplibs="$newdeplibs $a_deplib"
+                 a_deplib=""
+                 ;;
+               esac
+             fi
+             if test -n "$a_deplib" ; then
+               libname=`eval "\\$ECHO \"$libname_spec\""`
+               for i in $lib_search_path $sys_lib_search_path $shlib_search_path; do
+                 potential_libs=`ls $i/$libname[.-]* 2>/dev/null`
+                 for potent_lib in $potential_libs; do
+                   potlib="$potent_lib" # see symlink-check above in file_magic test
+                   if eval "\$ECHO \"X$potent_lib\"" 2>/dev/null | $Xsed -e 10q | \
+                      $EGREP "$match_pattern_regex" > /dev/null; then
+                     newdeplibs="$newdeplibs $a_deplib"
+                     a_deplib=""
+                     break 2
+                   fi
+                 done
+               done
+             fi
+             if test -n "$a_deplib" ; then
+               droppeddeps=yes
+               $ECHO
+               $ECHO "*** Warning: linker path does not have real file for library $a_deplib."
+               $ECHO "*** I have the capability to make that library automatically link in when"
+               $ECHO "*** you link to this library.  But I can only do this if you have a"
+               $ECHO "*** shared version of the library, which you do not appear to have"
+               $ECHO "*** because I did check the linker path looking for a file starting"
+               if test -z "$potlib" ; then
+                 $ECHO "*** with $libname but no candidates were found. (...for regex pattern test)"
+               else
+                 $ECHO "*** with $libname and none of the candidates passed a file format test"
+                 $ECHO "*** using a regex pattern. Last file checked: $potlib"
+               fi
+             fi
+             ;;
+           *)
+             # Add a -L argument.
+             newdeplibs="$newdeplibs $a_deplib"
+             ;;
+           esac
+         done # Gone through all deplibs.
+         ;;
+       none | unknown | *)
+         newdeplibs=""
+         tmp_deplibs=`$ECHO "X $deplibs" | $Xsed \
+             -e 's/ -lc$//' -e 's/ -[LR][^ ]*//g'`
+         if test "X$allow_libtool_libs_with_static_runtimes" = "Xyes" ; then
+           for i in $predeps $postdeps ; do
+             # can't use Xsed below, because $i might contain '/'
+             tmp_deplibs=`$ECHO "X $tmp_deplibs" | $Xsed -e "s,$i,,"`
+           done
+         fi
+         if $ECHO "X $tmp_deplibs" | $Xsed -e 's/[      ]//g' |
+            $GREP . >/dev/null; then
+           $ECHO
+           if test "X$deplibs_check_method" = "Xnone"; then
+             $ECHO "*** Warning: inter-library dependencies are not supported in this platform."
+           else
+             $ECHO "*** Warning: inter-library dependencies are not known to be supported."
+           fi
+           $ECHO "*** All declared inter-library dependencies are being dropped."
+           droppeddeps=yes
+         fi
+         ;;
+       esac
+       versuffix=$versuffix_save
+       major=$major_save
+       release=$release_save
+       libname=$libname_save
+       name=$name_save
+
+       case $host in
+       *-*-rhapsody* | *-*-darwin1.[012])
+         # On Rhapsody replace the C library with the System framework
+         newdeplibs=`$ECHO "X $newdeplibs" | $Xsed -e 's/ -lc / System.ltframework /'`
+         ;;
+       esac
+
+       if test "$droppeddeps" = yes; then
+         if test "$module" = yes; then
+           $ECHO
+           $ECHO "*** Warning: libtool could not satisfy all declared inter-library"
+           $ECHO "*** dependencies of module $libname.  Therefore, libtool will create"
+           $ECHO "*** a static module, that should work as long as the dlopening"
+           $ECHO "*** application is linked with the -dlopen flag."
+           if test -z "$global_symbol_pipe"; then
+             $ECHO
+             $ECHO "*** However, this would only work if libtool was able to extract symbol"
+             $ECHO "*** lists from a program, using \`nm' or equivalent, but libtool could"
+             $ECHO "*** not find such a program.  So, this module is probably useless."
+             $ECHO "*** \`nm' from GNU binutils and a full rebuild may help."
+           fi
+           if test "$build_old_libs" = no; then
+             oldlibs="$output_objdir/$libname.$libext"
+             build_libtool_libs=module
+             build_old_libs=yes
+           else
+             build_libtool_libs=no
+           fi
+         else
+           $ECHO "*** The inter-library dependencies that have been dropped here will be"
+           $ECHO "*** automatically added whenever a program is linked with this library"
+           $ECHO "*** or is declared to -dlopen it."
+
+           if test "$allow_undefined" = no; then
+             $ECHO
+             $ECHO "*** Since this library must not contain undefined symbols,"
+             $ECHO "*** because either the platform does not support them or"
+             $ECHO "*** it was explicitly requested with -no-undefined,"
+             $ECHO "*** libtool will only create a static version of it."
+             if test "$build_old_libs" = no; then
+               oldlibs="$output_objdir/$libname.$libext"
+               build_libtool_libs=module
+               build_old_libs=yes
+             else
+               build_libtool_libs=no
+             fi
+           fi
+         fi
+       fi
+       # Done checking deplibs!
+       deplibs=$newdeplibs
+      fi
+      # Time to change all our "foo.ltframework" stuff back to "-framework foo"
+      case $host in
+       *-*-darwin*)
+         newdeplibs=`$ECHO "X $newdeplibs" | $Xsed -e 's% \([^ $]*\).ltframework% -framework \1%g'`
+         new_inherited_linker_flags=`$ECHO "X $new_inherited_linker_flags" | $Xsed -e 's% \([^ $]*\).ltframework% -framework \1%g'`
+         deplibs=`$ECHO "X $deplibs" | $Xsed -e 's% \([^ $]*\).ltframework% -framework \1%g'`
+         ;;
+      esac
+
+      # move library search paths that coincide with paths to not yet
+      # installed libraries to the beginning of the library search list
+      new_libs=
+      for path in $notinst_path; do
+       case " $new_libs " in
+       *" -L$path/$objdir "*) ;;
+       *)
+         case " $deplibs " in
+         *" -L$path/$objdir "*)
+           new_libs="$new_libs -L$path/$objdir" ;;
+         esac
+         ;;
+       esac
+      done
+      for deplib in $deplibs; do
+       case $deplib in
+       -L*)
+         case " $new_libs " in
+         *" $deplib "*) ;;
+         *) new_libs="$new_libs $deplib" ;;
+         esac
+         ;;
+       *) new_libs="$new_libs $deplib" ;;
+       esac
+      done
+      deplibs="$new_libs"
+
+      # All the library-specific variables (install_libdir is set above).
+      library_names=
+      old_library=
+      dlname=
+
+      # Test again, we may have decided not to build it any more
+      if test "$build_libtool_libs" = yes; then
+       if test "$hardcode_into_libs" = yes; then
+         # Hardcode the library paths
+         hardcode_libdirs=
+         dep_rpath=
+         rpath="$finalize_rpath"
+         test "$mode" != relink && rpath="$compile_rpath$rpath"
+         for libdir in $rpath; do
+           if test -n "$hardcode_libdir_flag_spec"; then
+             if test -n "$hardcode_libdir_separator"; then
+               if test -z "$hardcode_libdirs"; then
+                 hardcode_libdirs="$libdir"
+               else
+                 # Just accumulate the unique libdirs.
+                 case $hardcode_libdir_separator$hardcode_libdirs$hardcode_libdir_separator in
+                 *"$hardcode_libdir_separator$libdir$hardcode_libdir_separator"*)
+                   ;;
+                 *)
+                   hardcode_libdirs="$hardcode_libdirs$hardcode_libdir_separator$libdir"
+                   ;;
+                 esac
+               fi
+             else
+               eval flag=\"$hardcode_libdir_flag_spec\"
+               dep_rpath="$dep_rpath $flag"
+             fi
+           elif test -n "$runpath_var"; then
+             case "$perm_rpath " in
+             *" $libdir "*) ;;
+             *) perm_rpath="$perm_rpath $libdir" ;;
+             esac
+           fi
+         done
+         # Substitute the hardcoded libdirs into the rpath.
+         if test -n "$hardcode_libdir_separator" &&
+            test -n "$hardcode_libdirs"; then
+           libdir="$hardcode_libdirs"
+           if test -n "$hardcode_libdir_flag_spec_ld"; then
+             eval dep_rpath=\"$hardcode_libdir_flag_spec_ld\"
+           else
+             eval dep_rpath=\"$hardcode_libdir_flag_spec\"
+           fi
+         fi
+         if test -n "$runpath_var" && test -n "$perm_rpath"; then
+           # We should set the runpath_var.
+           rpath=
+           for dir in $perm_rpath; do
+             rpath="$rpath$dir:"
+           done
+           eval "$runpath_var='$rpath\$$runpath_var'; export $runpath_var"
+         fi
+         test -n "$dep_rpath" && deplibs="$dep_rpath $deplibs"
+       fi
+
+       shlibpath="$finalize_shlibpath"
+       test "$mode" != relink && shlibpath="$compile_shlibpath$shlibpath"
+       if test -n "$shlibpath"; then
+         eval "$shlibpath_var='$shlibpath\$$shlibpath_var'; export $shlibpath_var"
+       fi
+
+       # Get the real and link names of the library.
+       eval shared_ext=\"$shrext_cmds\"
+       eval library_names=\"$library_names_spec\"
+       set dummy $library_names
+       shift
+       realname="$1"
+       shift
+
+       if test -n "$soname_spec"; then
+         eval soname=\"$soname_spec\"
+       else
+         soname="$realname"
+       fi
+       if test -z "$dlname"; then
+         dlname=$soname
+       fi
+
+       lib="$output_objdir/$realname"
+       linknames=
+       for link
+       do
+         linknames="$linknames $link"
+       done
+
+       # Use standard objects if they are pic
+       test -z "$pic_flag" && libobjs=`$ECHO "X$libobjs" | $SP2NL | $Xsed -e "$lo2o" | $NL2SP`
+       test "X$libobjs" = "X " && libobjs=
+
+       delfiles=
+       if test -n "$export_symbols" && test -n "$include_expsyms"; then
+         $opt_dry_run || cp "$export_symbols" "$output_objdir/$libname.uexp"
+         export_symbols="$output_objdir/$libname.uexp"
+         delfiles="$delfiles $export_symbols"
+       fi
+
+       orig_export_symbols=
+       case $host_os in
+       cygwin* | mingw* | cegcc*)
+         if test -n "$export_symbols" && test -z "$export_symbols_regex"; then
+           # exporting using user supplied symfile
+           if test "x`$SED 1q $export_symbols`" != xEXPORTS; then
+             # and it's NOT already a .def file. Must figure out
+             # which of the given symbols are data symbols and tag
+             # them as such. So, trigger use of export_symbols_cmds.
+             # export_symbols gets reassigned inside the "prepare
+             # the list of exported symbols" if statement, so the
+             # include_expsyms logic still works.
+             orig_export_symbols="$export_symbols"
+             export_symbols=
+             always_export_symbols=yes
+           fi
+         fi
+         ;;
+       esac
+
+       # Prepare the list of exported symbols
+       if test -z "$export_symbols"; then
+         if test "$always_export_symbols" = yes || test -n "$export_symbols_regex"; then
+           func_verbose "generating symbol list for \`$libname.la'"
+           export_symbols="$output_objdir/$libname.exp"
+           $opt_dry_run || $RM $export_symbols
+           cmds=$export_symbols_cmds
+           save_ifs="$IFS"; IFS='~'
+           for cmd in $cmds; do
+             IFS="$save_ifs"
+             eval cmd=\"$cmd\"
+             func_len " $cmd"
+             len=$func_len_result
+             if test "$len" -lt "$max_cmd_len" || test "$max_cmd_len" -le -1; then
+               func_show_eval "$cmd" 'exit $?'
+               skipped_export=false
+             else
+               # The command line is too long to execute in one step.
+               func_verbose "using reloadable object file for export list..."
+               skipped_export=:
+               # Break out early, otherwise skipped_export may be
+               # set to false by a later but shorter cmd.
+               break
+             fi
+           done
+           IFS="$save_ifs"
+           if test -n "$export_symbols_regex" && test "X$skipped_export" != "X:"; then
+             func_show_eval '$EGREP -e "$export_symbols_regex" "$export_symbols" > "${export_symbols}T"'
+             func_show_eval '$MV "${export_symbols}T" "$export_symbols"'
+           fi
+         fi
+       fi
+
+       if test -n "$export_symbols" && test -n "$include_expsyms"; then
+         tmp_export_symbols="$export_symbols"
+         test -n "$orig_export_symbols" && tmp_export_symbols="$orig_export_symbols"
+         $opt_dry_run || eval '$ECHO "X$include_expsyms" | $Xsed | $SP2NL >> "$tmp_export_symbols"'
+       fi
+
+       if test "X$skipped_export" != "X:" && test -n "$orig_export_symbols"; then
+         # The given exports_symbols file has to be filtered, so filter it.
+         func_verbose "filter symbol list for \`$libname.la' to tag DATA exports"
+         # FIXME: $output_objdir/$libname.filter potentially contains lots of
+         # 's' commands which not all seds can handle. GNU sed should be fine
+         # though. Also, the filter scales superlinearly with the number of
+         # global variables. join(1) would be nice here, but unfortunately
+         # isn't a blessed tool.
+         $opt_dry_run || $SED -e '/[ ,]DATA/!d;s,\(.*\)\([ \,].*\),s|^\1$|\1\2|,' < $export_symbols > $output_objdir/$libname.filter
+         delfiles="$delfiles $export_symbols $output_objdir/$libname.filter"
+         export_symbols=$output_objdir/$libname.def
+         $opt_dry_run || $SED -f $output_objdir/$libname.filter < $orig_export_symbols > $export_symbols
+       fi
+
+       tmp_deplibs=
+       for test_deplib in $deplibs; do
+         case " $convenience " in
+         *" $test_deplib "*) ;;
+         *)
+           tmp_deplibs="$tmp_deplibs $test_deplib"
+           ;;
+         esac
+       done
+       deplibs="$tmp_deplibs"
+
+       if test -n "$convenience"; then
+         if test -n "$whole_archive_flag_spec" &&
+           test "$compiler_needs_object" = yes &&
+           test -z "$libobjs"; then
+           # extract the archives, so we have objects to list.
+           # TODO: could optimize this to just extract one archive.
+           whole_archive_flag_spec=
+         fi
+         if test -n "$whole_archive_flag_spec"; then
+           save_libobjs=$libobjs
+           eval libobjs=\"\$libobjs $whole_archive_flag_spec\"
+           test "X$libobjs" = "X " && libobjs=
+         else
+           gentop="$output_objdir/${outputname}x"
+           generated="$generated $gentop"
+
+           func_extract_archives $gentop $convenience
+           libobjs="$libobjs $func_extract_archives_result"
+           test "X$libobjs" = "X " && libobjs=
+         fi
+       fi
+
+       if test "$thread_safe" = yes && test -n "$thread_safe_flag_spec"; then
+         eval flag=\"$thread_safe_flag_spec\"
+         linker_flags="$linker_flags $flag"
+       fi
+
+       # Make a backup of the uninstalled library when relinking
+       if test "$mode" = relink; then
+         $opt_dry_run || eval '(cd $output_objdir && $RM ${realname}U && $MV $realname ${realname}U)' || exit $?
+       fi
+
+       # Do each of the archive commands.
+       if test "$module" = yes && test -n "$module_cmds" ; then
+         if test -n "$export_symbols" && test -n "$module_expsym_cmds"; then
+           eval test_cmds=\"$module_expsym_cmds\"
+           cmds=$module_expsym_cmds
+         else
+           eval test_cmds=\"$module_cmds\"
+           cmds=$module_cmds
+         fi
+       else
+         if test -n "$export_symbols" && test -n "$archive_expsym_cmds"; then
+           eval test_cmds=\"$archive_expsym_cmds\"
+           cmds=$archive_expsym_cmds
+         else
+           eval test_cmds=\"$archive_cmds\"
+           cmds=$archive_cmds
+         fi
+       fi
+
+       if test "X$skipped_export" != "X:" &&
+          func_len " $test_cmds" &&
+          len=$func_len_result &&
+          test "$len" -lt "$max_cmd_len" || test "$max_cmd_len" -le -1; then
+         :
+       else
+         # The command line is too long to link in one step, link piecewise
+         # or, if using GNU ld and skipped_export is not :, use a linker
+         # script.
+
+         # Save the value of $output and $libobjs because we want to
+         # use them later.  If we have whole_archive_flag_spec, we
+         # want to use save_libobjs as it was before
+         # whole_archive_flag_spec was expanded, because we can't
+         # assume the linker understands whole_archive_flag_spec.
+         # This may have to be revisited, in case too many
+         # convenience libraries get linked in and end up exceeding
+         # the spec.
+         if test -z "$convenience" || test -z "$whole_archive_flag_spec"; then
+           save_libobjs=$libobjs
+         fi
+         save_output=$output
+         output_la=`$ECHO "X$output" | $Xsed -e "$basename"`
+
+         # Clear the reloadable object creation command queue and
+         # initialize k to one.
+         test_cmds=
+         concat_cmds=
+         objlist=
+         last_robj=
+         k=1
+
+         if test -n "$save_libobjs" && test "X$skipped_export" != "X:" && test "$with_gnu_ld" = yes; then
+           output=${output_objdir}/${output_la}.lnkscript
+           func_verbose "creating GNU ld script: $output"
+           $ECHO 'INPUT (' > $output
+           for obj in $save_libobjs
+           do
+             $ECHO "$obj" >> $output
+           done
+           $ECHO ')' >> $output
+           delfiles="$delfiles $output"
+         elif test -n "$save_libobjs" && test "X$skipped_export" != "X:" && test "X$file_list_spec" != X; then
+           output=${output_objdir}/${output_la}.lnk
+           func_verbose "creating linker input file list: $output"
+           : > $output
+           set x $save_libobjs
+           shift
+           firstobj=
+           if test "$compiler_needs_object" = yes; then
+             firstobj="$1 "
+             shift
+           fi
+           for obj
+           do
+             $ECHO "$obj" >> $output
+           done
+           delfiles="$delfiles $output"
+           output=$firstobj\"$file_list_spec$output\"
+         else
+           if test -n "$save_libobjs"; then
+             func_verbose "creating reloadable object files..."
+             output=$output_objdir/$output_la-${k}.$objext
+             eval test_cmds=\"$reload_cmds\"
+             func_len " $test_cmds"
+             len0=$func_len_result
+             len=$len0
+
+             # Loop over the list of objects to be linked.
+             for obj in $save_libobjs
+             do
+               func_len " $obj"
+               func_arith $len + $func_len_result
+               len=$func_arith_result
+               if test "X$objlist" = X ||
+                  test "$len" -lt "$max_cmd_len"; then
+                 func_append objlist " $obj"
+               else
+                 # The command $test_cmds is almost too long, add a
+                 # command to the queue.
+                 if test "$k" -eq 1 ; then
+                   # The first file doesn't have a previous command to add.
+                   eval concat_cmds=\"$reload_cmds $objlist $last_robj\"
+                 else
+                   # All subsequent reloadable object files will link in
+                   # the last one created.
+                   eval concat_cmds=\"\$concat_cmds~$reload_cmds $objlist $last_robj~\$RM $last_robj\"
+                 fi
+                 last_robj=$output_objdir/$output_la-${k}.$objext
+                 func_arith $k + 1
+                 k=$func_arith_result
+                 output=$output_objdir/$output_la-${k}.$objext
+                 objlist=$obj
+                 func_len " $last_robj"
+                 func_arith $len0 + $func_len_result
+                 len=$func_arith_result
+               fi
+             done
+             # Handle the remaining objects by creating one last
+             # reloadable object file.  All subsequent reloadable object
+             # files will link in the last one created.
+             test -z "$concat_cmds" || concat_cmds=$concat_cmds~
+             eval concat_cmds=\"\${concat_cmds}$reload_cmds $objlist $last_robj\"
+             if test -n "$last_robj"; then
+               eval concat_cmds=\"\${concat_cmds}~\$RM $last_robj\"
+             fi
+             delfiles="$delfiles $output"
+
+           else
+             output=
+           fi
+
+           if ${skipped_export-false}; then
+             func_verbose "generating symbol list for \`$libname.la'"
+             export_symbols="$output_objdir/$libname.exp"
+             $opt_dry_run || $RM $export_symbols
+             libobjs=$output
+             # Append the command to create the export file.
+             test -z "$concat_cmds" || concat_cmds=$concat_cmds~
+             eval concat_cmds=\"\$concat_cmds$export_symbols_cmds\"
+             if test -n "$last_robj"; then
+               eval concat_cmds=\"\$concat_cmds~\$RM $last_robj\"
+             fi
+           fi
+
+           test -n "$save_libobjs" &&
+             func_verbose "creating a temporary reloadable object file: $output"
+
+           # Loop through the commands generated above and execute them.
+           save_ifs="$IFS"; IFS='~'
+           for cmd in $concat_cmds; do
+             IFS="$save_ifs"
+             $opt_silent || {
+                 func_quote_for_expand "$cmd"
+                 eval "func_echo $func_quote_for_expand_result"
+             }
+             $opt_dry_run || eval "$cmd" || {
+               lt_exit=$?
+
+               # Restore the uninstalled library and exit
+               if test "$mode" = relink; then
+                 ( cd "$output_objdir" && \
+                   $RM "${realname}T" && \
+                   $MV "${realname}U" "$realname" )
+               fi
+
+               exit $lt_exit
+             }
+           done
+           IFS="$save_ifs"
+
+           if test -n "$export_symbols_regex" && ${skipped_export-false}; then
+             func_show_eval '$EGREP -e "$export_symbols_regex" "$export_symbols" > "${export_symbols}T"'
+             func_show_eval '$MV "${export_symbols}T" "$export_symbols"'
+           fi
+         fi
+
+          if ${skipped_export-false}; then
+           if test -n "$export_symbols" && test -n "$include_expsyms"; then
+             tmp_export_symbols="$export_symbols"
+             test -n "$orig_export_symbols" && tmp_export_symbols="$orig_export_symbols"
+             $opt_dry_run || eval '$ECHO "X$include_expsyms" | $Xsed | $SP2NL >> "$tmp_export_symbols"'
+           fi
+
+           if test -n "$orig_export_symbols"; then
+             # The given exports_symbols file has to be filtered, so filter it.
+             func_verbose "filter symbol list for \`$libname.la' to tag DATA exports"
+             # FIXME: $output_objdir/$libname.filter potentially contains lots of
+             # 's' commands which not all seds can handle. GNU sed should be fine
+             # though. Also, the filter scales superlinearly with the number of
+             # global variables. join(1) would be nice here, but unfortunately
+             # isn't a blessed tool.
+             $opt_dry_run || $SED -e '/[ ,]DATA/!d;s,\(.*\)\([ \,].*\),s|^\1$|\1\2|,' < $export_symbols > $output_objdir/$libname.filter
+             delfiles="$delfiles $export_symbols $output_objdir/$libname.filter"
+             export_symbols=$output_objdir/$libname.def
+             $opt_dry_run || $SED -f $output_objdir/$libname.filter < $orig_export_symbols > $export_symbols
+           fi
+         fi
+
+         libobjs=$output
+         # Restore the value of output.
+         output=$save_output
+
+         if test -n "$convenience" && test -n "$whole_archive_flag_spec"; then
+           eval libobjs=\"\$libobjs $whole_archive_flag_spec\"
+           test "X$libobjs" = "X " && libobjs=
+         fi
+         # Expand the library linking commands again to reset the
+         # value of $libobjs for piecewise linking.
+
+         # Do each of the archive commands.
+         if test "$module" = yes && test -n "$module_cmds" ; then
+           if test -n "$export_symbols" && test -n "$module_expsym_cmds"; then
+             cmds=$module_expsym_cmds
+           else
+             cmds=$module_cmds
+           fi
+         else
+           if test -n "$export_symbols" && test -n "$archive_expsym_cmds"; then
+             cmds=$archive_expsym_cmds
+           else
+             cmds=$archive_cmds
+           fi
+         fi
+       fi
+
+       if test -n "$delfiles"; then
+         # Append the command to remove temporary files to $cmds.
+         eval cmds=\"\$cmds~\$RM $delfiles\"
+       fi
+
+       # Add any objects from preloaded convenience libraries
+       if test -n "$dlprefiles"; then
+         gentop="$output_objdir/${outputname}x"
+         generated="$generated $gentop"
+
+         func_extract_archives $gentop $dlprefiles
+         libobjs="$libobjs $func_extract_archives_result"
+         test "X$libobjs" = "X " && libobjs=
+       fi
+
+       save_ifs="$IFS"; IFS='~'
+       for cmd in $cmds; do
+         IFS="$save_ifs"
+         eval cmd=\"$cmd\"
+         $opt_silent || {
+           func_quote_for_expand "$cmd"
+           eval "func_echo $func_quote_for_expand_result"
+         }
+         $opt_dry_run || eval "$cmd" || {
+           lt_exit=$?
+
+           # Restore the uninstalled library and exit
+           if test "$mode" = relink; then
+             ( cd "$output_objdir" && \
+               $RM "${realname}T" && \
+               $MV "${realname}U" "$realname" )
+           fi
+
+           exit $lt_exit
+         }
+       done
+       IFS="$save_ifs"
+
+       # Restore the uninstalled library and exit
+       if test "$mode" = relink; then
+         $opt_dry_run || eval '(cd $output_objdir && $RM ${realname}T && $MV $realname ${realname}T && $MV ${realname}U $realname)' || exit $?
+
+         if test -n "$convenience"; then
+           if test -z "$whole_archive_flag_spec"; then
+             func_show_eval '${RM}r "$gentop"'
+           fi
+         fi
+
+         exit $EXIT_SUCCESS
+       fi
+
+       # Create links to the real library.
+       for linkname in $linknames; do
+         if test "$realname" != "$linkname"; then
+           func_show_eval '(cd "$output_objdir" && $RM "$linkname" && $LN_S "$realname" "$linkname")' 'exit $?'
+         fi
+       done
+
+       # If -module or -export-dynamic was specified, set the dlname.
+       if test "$module" = yes || test "$export_dynamic" = yes; then
+         # On all known operating systems, these are identical.
+         dlname="$soname"
+       fi
+      fi
+      ;;
+
+    obj)
+      if test -n "$dlfiles$dlprefiles" || test "$dlself" != no; then
+       func_warning "\`-dlopen' is ignored for objects"
+      fi
+
+      case " $deplibs" in
+      *\ -l* | *\ -L*)
+       func_warning "\`-l' and \`-L' are ignored for objects" ;;
+      esac
+
+      test -n "$rpath" && \
+       func_warning "\`-rpath' is ignored for objects"
+
+      test -n "$xrpath" && \
+       func_warning "\`-R' is ignored for objects"
+
+      test -n "$vinfo" && \
+       func_warning "\`-version-info' is ignored for objects"
+
+      test -n "$release" && \
+       func_warning "\`-release' is ignored for objects"
+
+      case $output in
+      *.lo)
+       test -n "$objs$old_deplibs" && \
+         func_fatal_error "cannot build library object \`$output' from non-libtool objects"
+
+       libobj=$output
+       func_lo2o "$libobj"
+       obj=$func_lo2o_result
+       ;;
+      *)
+       libobj=
+       obj="$output"
+       ;;
+      esac
+
+      # Delete the old objects.
+      $opt_dry_run || $RM $obj $libobj
+
+      # Objects from convenience libraries.  This assumes
+      # single-version convenience libraries.  Whenever we create
+      # different ones for PIC/non-PIC, this we'll have to duplicate
+      # the extraction.
+      reload_conv_objs=
+      gentop=
+      # reload_cmds runs $LD directly, so let us get rid of
+      # -Wl from whole_archive_flag_spec and hope we can get by with
+      # turning comma into space..
+      wl=
+
+      if test -n "$convenience"; then
+       if test -n "$whole_archive_flag_spec"; then
+         eval tmp_whole_archive_flags=\"$whole_archive_flag_spec\"
+         reload_conv_objs=$reload_objs\ `$ECHO "X$tmp_whole_archive_flags" | $Xsed -e 's|,| |g'`
+       else
+         gentop="$output_objdir/${obj}x"
+         generated="$generated $gentop"
+
+         func_extract_archives $gentop $convenience
+         reload_conv_objs="$reload_objs $func_extract_archives_result"
+       fi
+      fi
+
+      # Create the old-style object.
+      reload_objs="$objs$old_deplibs "`$ECHO "X$libobjs" | $SP2NL | $Xsed -e '/\.'${libext}$'/d' -e '/\.lib$/d' -e "$lo2o" | $NL2SP`" $reload_conv_objs" ### testsuite: skip nested quoting test
+
+      output="$obj"
+      func_execute_cmds "$reload_cmds" 'exit $?'
+
+      # Exit if we aren't doing a library object file.
+      if test -z "$libobj"; then
+       if test -n "$gentop"; then
+         func_show_eval '${RM}r "$gentop"'
+       fi
+
+       exit $EXIT_SUCCESS
+      fi
+
+      if test "$build_libtool_libs" != yes; then
+       if test -n "$gentop"; then
+         func_show_eval '${RM}r "$gentop"'
+       fi
+
+       # Create an invalid libtool object if no PIC, so that we don't
+       # accidentally link it into a program.
+       # $show "echo timestamp > $libobj"
+       # $opt_dry_run || eval "echo timestamp > $libobj" || exit $?
+       exit $EXIT_SUCCESS
+      fi
+
+      if test -n "$pic_flag" || test "$pic_mode" != default; then
+       # Only do commands if we really have different PIC objects.
+       reload_objs="$libobjs $reload_conv_objs"
+       output="$libobj"
+       func_execute_cmds "$reload_cmds" 'exit $?'
+      fi
+
+      if test -n "$gentop"; then
+       func_show_eval '${RM}r "$gentop"'
+      fi
+
+      exit $EXIT_SUCCESS
+      ;;
+
+    prog)
+      case $host in
+       *cygwin*) func_stripname '' '.exe' "$output"
+                 output=$func_stripname_result.exe;;
+      esac
+      test -n "$vinfo" && \
+       func_warning "\`-version-info' is ignored for programs"
+
+      test -n "$release" && \
+       func_warning "\`-release' is ignored for programs"
+
+      test "$preload" = yes \
+        && test "$dlopen_support" = unknown \
+       && test "$dlopen_self" = unknown \
+       && test "$dlopen_self_static" = unknown && \
+         func_warning "\`LT_INIT([dlopen])' not used. Assuming no dlopen support."
+
+      case $host in
+      *-*-rhapsody* | *-*-darwin1.[012])
+       # On Rhapsody replace the C library is the System framework
+       compile_deplibs=`$ECHO "X $compile_deplibs" | $Xsed -e 's/ -lc / System.ltframework /'`
+       finalize_deplibs=`$ECHO "X $finalize_deplibs" | $Xsed -e 's/ -lc / System.ltframework /'`
+       ;;
+      esac
+
+      case $host in
+      *-*-darwin*)
+       # Don't allow lazy linking, it breaks C++ global constructors
+       # But is supposedly fixed on 10.4 or later (yay!).
+       if test "$tagname" = CXX ; then
+         case ${MACOSX_DEPLOYMENT_TARGET-10.0} in
+           10.[0123])
+             compile_command="$compile_command ${wl}-bind_at_load"
+             finalize_command="$finalize_command ${wl}-bind_at_load"
+           ;;
+         esac
+       fi
+       # Time to change all our "foo.ltframework" stuff back to "-framework foo"
+       compile_deplibs=`$ECHO "X $compile_deplibs" | $Xsed -e 's% \([^ $]*\).ltframework% -framework \1%g'`
+       finalize_deplibs=`$ECHO "X $finalize_deplibs" | $Xsed -e 's% \([^ $]*\).ltframework% -framework \1%g'`
+       ;;
+      esac
+
+
+      # move library search paths that coincide with paths to not yet
+      # installed libraries to the beginning of the library search list
+      new_libs=
+      for path in $notinst_path; do
+       case " $new_libs " in
+       *" -L$path/$objdir "*) ;;
+       *)
+         case " $compile_deplibs " in
+         *" -L$path/$objdir "*)
+           new_libs="$new_libs -L$path/$objdir" ;;
+         esac
+         ;;
+       esac
+      done
+      for deplib in $compile_deplibs; do
+       case $deplib in
+       -L*)
+         case " $new_libs " in
+         *" $deplib "*) ;;
+         *) new_libs="$new_libs $deplib" ;;
+         esac
+         ;;
+       *) new_libs="$new_libs $deplib" ;;
+       esac
+      done
+      compile_deplibs="$new_libs"
+
+
+      compile_command="$compile_command $compile_deplibs"
+      finalize_command="$finalize_command $finalize_deplibs"
+
+      if test -n "$rpath$xrpath"; then
+       # If the user specified any rpath flags, then add them.
+       for libdir in $rpath $xrpath; do
+         # This is the magic to use -rpath.
+         case "$finalize_rpath " in
+         *" $libdir "*) ;;
+         *) finalize_rpath="$finalize_rpath $libdir" ;;
+         esac
+       done
+      fi
+
+      # Now hardcode the library paths
+      rpath=
+      hardcode_libdirs=
+      for libdir in $compile_rpath $finalize_rpath; do
+       if test -n "$hardcode_libdir_flag_spec"; then
+         if test -n "$hardcode_libdir_separator"; then
+           if test -z "$hardcode_libdirs"; then
+             hardcode_libdirs="$libdir"
+           else
+             # Just accumulate the unique libdirs.
+             case $hardcode_libdir_separator$hardcode_libdirs$hardcode_libdir_separator in
+             *"$hardcode_libdir_separator$libdir$hardcode_libdir_separator"*)
+               ;;
+             *)
+               hardcode_libdirs="$hardcode_libdirs$hardcode_libdir_separator$libdir"
+               ;;
+             esac
+           fi
+         else
+           eval flag=\"$hardcode_libdir_flag_spec\"
+           rpath="$rpath $flag"
+         fi
+       elif test -n "$runpath_var"; then
+         case "$perm_rpath " in
+         *" $libdir "*) ;;
+         *) perm_rpath="$perm_rpath $libdir" ;;
+         esac
+       fi
+       case $host in
+       *-*-cygwin* | *-*-mingw* | *-*-pw32* | *-*-os2* | *-cegcc*)
+         testbindir=`${ECHO} "$libdir" | ${SED} -e 's*/lib$*/bin*'`
+         case :$dllsearchpath: in
+         *":$libdir:"*) ;;
+         ::) dllsearchpath=$libdir;;
+         *) dllsearchpath="$dllsearchpath:$libdir";;
+         esac
+         case :$dllsearchpath: in
+         *":$testbindir:"*) ;;
+         ::) dllsearchpath=$testbindir;;
+         *) dllsearchpath="$dllsearchpath:$testbindir";;
+         esac
+         ;;
+       esac
+      done
+      # Substitute the hardcoded libdirs into the rpath.
+      if test -n "$hardcode_libdir_separator" &&
+        test -n "$hardcode_libdirs"; then
+       libdir="$hardcode_libdirs"
+       eval rpath=\" $hardcode_libdir_flag_spec\"
+      fi
+      compile_rpath="$rpath"
+
+      rpath=
+      hardcode_libdirs=
+      for libdir in $finalize_rpath; do
+       if test -n "$hardcode_libdir_flag_spec"; then
+         if test -n "$hardcode_libdir_separator"; then
+           if test -z "$hardcode_libdirs"; then
+             hardcode_libdirs="$libdir"
+           else
+             # Just accumulate the unique libdirs.
+             case $hardcode_libdir_separator$hardcode_libdirs$hardcode_libdir_separator in
+             *"$hardcode_libdir_separator$libdir$hardcode_libdir_separator"*)
+               ;;
+             *)
+               hardcode_libdirs="$hardcode_libdirs$hardcode_libdir_separator$libdir"
+               ;;
+             esac
+           fi
+         else
+           eval flag=\"$hardcode_libdir_flag_spec\"
+           rpath="$rpath $flag"
+         fi
+       elif test -n "$runpath_var"; then
+         case "$finalize_perm_rpath " in
+         *" $libdir "*) ;;
+         *) finalize_perm_rpath="$finalize_perm_rpath $libdir" ;;
+         esac
+       fi
+      done
+      # Substitute the hardcoded libdirs into the rpath.
+      if test -n "$hardcode_libdir_separator" &&
+        test -n "$hardcode_libdirs"; then
+       libdir="$hardcode_libdirs"
+       eval rpath=\" $hardcode_libdir_flag_spec\"
+      fi
+      finalize_rpath="$rpath"
+
+      if test -n "$libobjs" && test "$build_old_libs" = yes; then
+       # Transform all the library objects into standard objects.
+       compile_command=`$ECHO "X$compile_command" | $SP2NL | $Xsed -e "$lo2o" | $NL2SP`
+       finalize_command=`$ECHO "X$finalize_command" | $SP2NL | $Xsed -e "$lo2o" | $NL2SP`
+      fi
+
+      func_generate_dlsyms "$outputname" "@PROGRAM@" "no"
+
+      # template prelinking step
+      if test -n "$prelink_cmds"; then
+       func_execute_cmds "$prelink_cmds" 'exit $?'
+      fi
+
+      wrappers_required=yes
+      case $host in
+      *cygwin* | *mingw* )
+        if test "$build_libtool_libs" != yes; then
+          wrappers_required=no
+        fi
+        ;;
+      *cegcc)
+        # Disable wrappers for cegcc, we are cross compiling anyway.
+        wrappers_required=no
+        ;;
+      *)
+        if test "$need_relink" = no || test "$build_libtool_libs" != yes; then
+          wrappers_required=no
+        fi
+        ;;
+      esac
+      if test "$wrappers_required" = no; then
+       # Replace the output file specification.
+       compile_command=`$ECHO "X$compile_command" | $Xsed -e 's%@OUTPUT@%'"$output"'%g'`
+       link_command="$compile_command$compile_rpath"
+
+       # We have no uninstalled library dependencies, so finalize right now.
+       exit_status=0
+       func_show_eval "$link_command" 'exit_status=$?'
+
+       # Delete the generated files.
+       if test -f "$output_objdir/${outputname}S.${objext}"; then
+         func_show_eval '$RM "$output_objdir/${outputname}S.${objext}"'
+       fi
+
+       exit $exit_status
+      fi
+
+      if test -n "$compile_shlibpath$finalize_shlibpath"; then
+       compile_command="$shlibpath_var=\"$compile_shlibpath$finalize_shlibpath\$$shlibpath_var\" $compile_command"
+      fi
+      if test -n "$finalize_shlibpath"; then
+       finalize_command="$shlibpath_var=\"$finalize_shlibpath\$$shlibpath_var\" $finalize_command"
+      fi
+
+      compile_var=
+      finalize_var=
+      if test -n "$runpath_var"; then
+       if test -n "$perm_rpath"; then
+         # We should set the runpath_var.
+         rpath=
+         for dir in $perm_rpath; do
+           rpath="$rpath$dir:"
+         done
+         compile_var="$runpath_var=\"$rpath\$$runpath_var\" "
+       fi
+       if test -n "$finalize_perm_rpath"; then
+         # We should set the runpath_var.
+         rpath=
+         for dir in $finalize_perm_rpath; do
+           rpath="$rpath$dir:"
+         done
+         finalize_var="$runpath_var=\"$rpath\$$runpath_var\" "
+       fi
+      fi
+
+      if test "$no_install" = yes; then
+       # We don't need to create a wrapper script.
+       link_command="$compile_var$compile_command$compile_rpath"
+       # Replace the output file specification.
+       link_command=`$ECHO "X$link_command" | $Xsed -e 's%@OUTPUT@%'"$output"'%g'`
+       # Delete the old output file.
+       $opt_dry_run || $RM $output
+       # Link the executable and exit
+       func_show_eval "$link_command" 'exit $?'
+       exit $EXIT_SUCCESS
+      fi
+
+      if test "$hardcode_action" = relink; then
+       # Fast installation is not supported
+       link_command="$compile_var$compile_command$compile_rpath"
+       relink_command="$finalize_var$finalize_command$finalize_rpath"
+
+       func_warning "this platform does not like uninstalled shared libraries"
+       func_warning "\`$output' will be relinked during installation"
+      else
+       if test "$fast_install" != no; then
+         link_command="$finalize_var$compile_command$finalize_rpath"
+         if test "$fast_install" = yes; then
+           relink_command=`$ECHO "X$compile_var$compile_command$compile_rpath" | $Xsed -e 's%@OUTPUT@%\$progdir/\$file%g'`
+         else
+           # fast_install is set to needless
+           relink_command=
+         fi
+       else
+         link_command="$compile_var$compile_command$compile_rpath"
+         relink_command="$finalize_var$finalize_command$finalize_rpath"
+       fi
+      fi
+
+      # Replace the output file specification.
+      link_command=`$ECHO "X$link_command" | $Xsed -e 's%@OUTPUT@%'"$output_objdir/$outputname"'%g'`
+
+      # Delete the old output files.
+      $opt_dry_run || $RM $output $output_objdir/$outputname $output_objdir/lt-$outputname
+
+      func_show_eval "$link_command" 'exit $?'
+
+      # Now create the wrapper script.
+      func_verbose "creating $output"
+
+      # Quote the relink command for shipping.
+      if test -n "$relink_command"; then
+       # Preserve any variables that may affect compiler behavior
+       for var in $variables_saved_for_relink; do
+         if eval test -z \"\${$var+set}\"; then
+           relink_command="{ test -z \"\${$var+set}\" || $lt_unset $var || { $var=; export $var; }; }; $relink_command"
+         elif eval var_value=\$$var; test -z "$var_value"; then
+           relink_command="$var=; export $var; $relink_command"
+         else
+           func_quote_for_eval "$var_value"
+           relink_command="$var=$func_quote_for_eval_result; export $var; $relink_command"
+         fi
+       done
+       relink_command="(cd `pwd`; $relink_command)"
+       relink_command=`$ECHO "X$relink_command" | $Xsed -e "$sed_quote_subst"`
+      fi
+
+      # Quote $ECHO for shipping.
+      if test "X$ECHO" = "X$SHELL $progpath --fallback-echo"; then
+       case $progpath in
+       [\\/]* | [A-Za-z]:[\\/]*) qecho="$SHELL $progpath --fallback-echo";;
+       *) qecho="$SHELL `pwd`/$progpath --fallback-echo";;
+       esac
+       qecho=`$ECHO "X$qecho" | $Xsed -e "$sed_quote_subst"`
+      else
+       qecho=`$ECHO "X$ECHO" | $Xsed -e "$sed_quote_subst"`
+      fi
+
+      # Only actually do things if not in dry run mode.
+      $opt_dry_run || {
+       # win32 will think the script is a binary if it has
+       # a .exe suffix, so we strip it off here.
+       case $output in
+         *.exe) func_stripname '' '.exe' "$output"
+                output=$func_stripname_result ;;
+       esac
+       # test for cygwin because mv fails w/o .exe extensions
+       case $host in
+         *cygwin*)
+           exeext=.exe
+           func_stripname '' '.exe' "$outputname"
+           outputname=$func_stripname_result ;;
+         *) exeext= ;;
+       esac
+       case $host in
+         *cygwin* | *mingw* )
+           func_dirname_and_basename "$output" "" "."
+           output_name=$func_basename_result
+           output_path=$func_dirname_result
+           cwrappersource="$output_path/$objdir/lt-$output_name.c"
+           cwrapper="$output_path/$output_name.exe"
+           $RM $cwrappersource $cwrapper
+           trap "$RM $cwrappersource $cwrapper; exit $EXIT_FAILURE" 1 2 15
+
+           func_emit_cwrapperexe_src > $cwrappersource
+
+           # The wrapper executable is built using the $host compiler,
+           # because it contains $host paths and files. If cross-
+           # compiling, it, like the target executable, must be
+           # executed on the $host or under an emulation environment.
+           $opt_dry_run || {
+             $LTCC $LTCFLAGS -o $cwrapper $cwrappersource
+             $STRIP $cwrapper
+           }
+
+           # Now, create the wrapper script for func_source use:
+           func_ltwrapper_scriptname $cwrapper
+           $RM $func_ltwrapper_scriptname_result
+           trap "$RM $func_ltwrapper_scriptname_result; exit $EXIT_FAILURE" 1 2 15
+           $opt_dry_run || {
+             # note: this script will not be executed, so do not chmod.
+             if test "x$build" = "x$host" ; then
+               $cwrapper --lt-dump-script > $func_ltwrapper_scriptname_result
+             else
+               func_emit_wrapper no > $func_ltwrapper_scriptname_result
+             fi
+           }
+         ;;
+         * )
+           $RM $output
+           trap "$RM $output; exit $EXIT_FAILURE" 1 2 15
+
+           func_emit_wrapper no > $output
+           chmod +x $output
+         ;;
+       esac
+      }
+      exit $EXIT_SUCCESS
+      ;;
+    esac
+
+    # See if we need to build an old-fashioned archive.
+    for oldlib in $oldlibs; do
+
+      if test "$build_libtool_libs" = convenience; then
+       oldobjs="$libobjs_save $symfileobj"
+       addlibs="$convenience"
+       build_libtool_libs=no
+      else
+       if test "$build_libtool_libs" = module; then
+         oldobjs="$libobjs_save"
+         build_libtool_libs=no
+       else
+         oldobjs="$old_deplibs $non_pic_objects"
+         if test "$preload" = yes && test -f "$symfileobj"; then
+           oldobjs="$oldobjs $symfileobj"
+         fi
+       fi
+       addlibs="$old_convenience"
+      fi
+
+      if test -n "$addlibs"; then
+       gentop="$output_objdir/${outputname}x"
+       generated="$generated $gentop"
+
+       func_extract_archives $gentop $addlibs
+       oldobjs="$oldobjs $func_extract_archives_result"
+      fi
+
+      # Do each command in the archive commands.
+      if test -n "$old_archive_from_new_cmds" && test "$build_libtool_libs" = yes; then
+       cmds=$old_archive_from_new_cmds
+      else
+
+       # Add any objects from preloaded convenience libraries
+       if test -n "$dlprefiles"; then
+         gentop="$output_objdir/${outputname}x"
+         generated="$generated $gentop"
+
+         func_extract_archives $gentop $dlprefiles
+         oldobjs="$oldobjs $func_extract_archives_result"
+       fi
+
+       # POSIX demands no paths to be encoded in archives.  We have
+       # to avoid creating archives with duplicate basenames if we
+       # might have to extract them afterwards, e.g., when creating a
+       # static archive out of a convenience library, or when linking
+       # the entirety of a libtool archive into another (currently
+       # not supported by libtool).
+       if (for obj in $oldobjs
+           do
+             func_basename "$obj"
+             $ECHO "$func_basename_result"
+           done | sort | sort -uc >/dev/null 2>&1); then
+         :
+       else
+         $ECHO "copying selected object files to avoid basename conflicts..."
+         gentop="$output_objdir/${outputname}x"
+         generated="$generated $gentop"
+         func_mkdir_p "$gentop"
+         save_oldobjs=$oldobjs
+         oldobjs=
+         counter=1
+         for obj in $save_oldobjs
+         do
+           func_basename "$obj"
+           objbase="$func_basename_result"
+           case " $oldobjs " in
+           " ") oldobjs=$obj ;;
+           *[\ /]"$objbase "*)
+             while :; do
+               # Make sure we don't pick an alternate name that also
+               # overlaps.
+               newobj=lt$counter-$objbase
+               func_arith $counter + 1
+               counter=$func_arith_result
+               case " $oldobjs " in
+               *[\ /]"$newobj "*) ;;
+               *) if test ! -f "$gentop/$newobj"; then break; fi ;;
+               esac
+             done
+             func_show_eval "ln $obj $gentop/$newobj || cp $obj $gentop/$newobj"
+             oldobjs="$oldobjs $gentop/$newobj"
+             ;;
+           *) oldobjs="$oldobjs $obj" ;;
+           esac
+         done
+       fi
+       eval cmds=\"$old_archive_cmds\"
+
+       func_len " $cmds"
+       len=$func_len_result
+       if test "$len" -lt "$max_cmd_len" || test "$max_cmd_len" -le -1; then
+         cmds=$old_archive_cmds
+       else
+         # the command line is too long to link in one step, link in parts
+         func_verbose "using piecewise archive linking..."
+         save_RANLIB=$RANLIB
+         RANLIB=:
+         objlist=
+         concat_cmds=
+         save_oldobjs=$oldobjs
+         oldobjs=
+         # Is there a better way of finding the last object in the list?
+         for obj in $save_oldobjs
+         do
+           last_oldobj=$obj
+         done
+         eval test_cmds=\"$old_archive_cmds\"
+         func_len " $test_cmds"
+         len0=$func_len_result
+         len=$len0
+         for obj in $save_oldobjs
+         do
+           func_len " $obj"
+           func_arith $len + $func_len_result
+           len=$func_arith_result
+           func_append objlist " $obj"
+           if test "$len" -lt "$max_cmd_len"; then
+             :
+           else
+             # the above command should be used before it gets too long
+             oldobjs=$objlist
+             if test "$obj" = "$last_oldobj" ; then
+               RANLIB=$save_RANLIB
+             fi
+             test -z "$concat_cmds" || concat_cmds=$concat_cmds~
+             eval concat_cmds=\"\${concat_cmds}$old_archive_cmds\"
+             objlist=
+             len=$len0
+           fi
+         done
+         RANLIB=$save_RANLIB
+         oldobjs=$objlist
+         if test "X$oldobjs" = "X" ; then
+           eval cmds=\"\$concat_cmds\"
+         else
+           eval cmds=\"\$concat_cmds~\$old_archive_cmds\"
+         fi
+       fi
+      fi
+      func_execute_cmds "$cmds" 'exit $?'
+    done
+
+    test -n "$generated" && \
+      func_show_eval "${RM}r$generated"
+
+    # Now create the libtool archive.
+    case $output in
+    *.la)
+      old_library=
+      test "$build_old_libs" = yes && old_library="$libname.$libext"
+      func_verbose "creating $output"
+
+      # Preserve any variables that may affect compiler behavior
+      for var in $variables_saved_for_relink; do
+       if eval test -z \"\${$var+set}\"; then
+         relink_command="{ test -z \"\${$var+set}\" || $lt_unset $var || { $var=; export $var; }; }; $relink_command"
+       elif eval var_value=\$$var; test -z "$var_value"; then
+         relink_command="$var=; export $var; $relink_command"
+       else
+         func_quote_for_eval "$var_value"
+         relink_command="$var=$func_quote_for_eval_result; export $var; $relink_command"
+       fi
+      done
+      # Quote the link command for shipping.
+      relink_command="(cd `pwd`; $SHELL $progpath $preserve_args --mode=relink $libtool_args @inst_prefix_dir@)"
+      relink_command=`$ECHO "X$relink_command" | $Xsed -e "$sed_quote_subst"`
+      if test "$hardcode_automatic" = yes ; then
+       relink_command=
+      fi
+
+      # Only create the output if not a dry run.
+      $opt_dry_run || {
+       for installed in no yes; do
+         if test "$installed" = yes; then
+           if test -z "$install_libdir"; then
+             break
+           fi
+           output="$output_objdir/$outputname"i
+           # Replace all uninstalled libtool libraries with the installed ones
+           newdependency_libs=
+           for deplib in $dependency_libs; do
+             case $deplib in
+             *.la)
+               func_basename "$deplib"
+               name="$func_basename_result"
+               eval libdir=`${SED} -n -e 's/^libdir=\(.*\)$/\1/p' $deplib`
+               test -z "$libdir" && \
+                 func_fatal_error "\`$deplib' is not a valid libtool archive"
+               newdependency_libs="$newdependency_libs $libdir/$name"
+               ;;
+             *) newdependency_libs="$newdependency_libs $deplib" ;;
+             esac
+           done
+           dependency_libs="$newdependency_libs"
+           newdlfiles=
+
+           for lib in $dlfiles; do
+             case $lib in
+             *.la)
+               func_basename "$lib"
+               name="$func_basename_result"
+               eval libdir=`${SED} -n -e 's/^libdir=\(.*\)$/\1/p' $lib`
+               test -z "$libdir" && \
+                 func_fatal_error "\`$lib' is not a valid libtool archive"
+               newdlfiles="$newdlfiles $libdir/$name"
+               ;;
+             *) newdlfiles="$newdlfiles $lib" ;;
+             esac
+           done
+           dlfiles="$newdlfiles"
+           newdlprefiles=
+           for lib in $dlprefiles; do
+             case $lib in
+             *.la)
+               # Only pass preopened files to the pseudo-archive (for
+               # eventual linking with the app. that links it) if we
+               # didn't already link the preopened objects directly into
+               # the library:
+               func_basename "$lib"
+               name="$func_basename_result"
+               eval libdir=`${SED} -n -e 's/^libdir=\(.*\)$/\1/p' $lib`
+               test -z "$libdir" && \
+                 func_fatal_error "\`$lib' is not a valid libtool archive"
+               newdlprefiles="$newdlprefiles $libdir/$name"
+               ;;
+             esac
+           done
+           dlprefiles="$newdlprefiles"
+         else
+           newdlfiles=
+           for lib in $dlfiles; do
+             case $lib in
+               [\\/]* | [A-Za-z]:[\\/]*) abs="$lib" ;;
+               *) abs=`pwd`"/$lib" ;;
+             esac
+             newdlfiles="$newdlfiles $abs"
+           done
+           dlfiles="$newdlfiles"
+           newdlprefiles=
+           for lib in $dlprefiles; do
+             case $lib in
+               [\\/]* | [A-Za-z]:[\\/]*) abs="$lib" ;;
+               *) abs=`pwd`"/$lib" ;;
+             esac
+             newdlprefiles="$newdlprefiles $abs"
+           done
+           dlprefiles="$newdlprefiles"
+         fi
+         $RM $output
+         # place dlname in correct position for cygwin
+         tdlname=$dlname
+         case $host,$output,$installed,$module,$dlname in
+           *cygwin*,*lai,yes,no,*.dll | *mingw*,*lai,yes,no,*.dll | *cegcc*,*lai,yes,no,*.dll) tdlname=../bin/$dlname ;;
+         esac
+         $ECHO > $output "\
+# $outputname - a libtool library file
+# Generated by $PROGRAM (GNU $PACKAGE$TIMESTAMP) $VERSION
+#
+# Please DO NOT delete this file!
+# It is necessary for linking the library.
+
+# The name that we can dlopen(3).
+dlname='$tdlname'
+
+# Names of this library.
+library_names='$library_names'
+
+# The name of the static archive.
+old_library='$old_library'
+
+# Linker flags that can not go in dependency_libs.
+inherited_linker_flags='$new_inherited_linker_flags'
+
+# Libraries that this one depends upon.
+dependency_libs='$dependency_libs'
+
+# Names of additional weak libraries provided by this library
+weak_library_names='$weak_libs'
+
+# Version information for $libname.
+current=$current
+age=$age
+revision=$revision
+
+# Is this an already installed library?
+installed=$installed
+
+# Should we warn about portability when linking against -modules?
+shouldnotlink=$module
+
+# Files to dlopen/dlpreopen
+dlopen='$dlfiles'
+dlpreopen='$dlprefiles'
+
+# Directory that this library needs to be installed in:
+libdir='$install_libdir'"
+         if test "$installed" = no && test "$need_relink" = yes; then
+           $ECHO >> $output "\
+relink_command=\"$relink_command\""
+         fi
+       done
+      }
+
+      # Do a symbolic link so that the libtool archive can be found in
+      # LD_LIBRARY_PATH before the program is installed.
+      func_show_eval '( cd "$output_objdir" && $RM "$outputname" && $LN_S "../$outputname" "$outputname" )' 'exit $?'
+      ;;
+    esac
+    exit $EXIT_SUCCESS
+}
+
+{ test "$mode" = link || test "$mode" = relink; } &&
+    func_mode_link ${1+"$@"}
+
+
+# func_mode_uninstall arg...
+func_mode_uninstall ()
+{
+    $opt_debug
+    RM="$nonopt"
+    files=
+    rmforce=
+    exit_status=0
+
+    # This variable tells wrapper scripts just to set variables rather
+    # than running their programs.
+    libtool_install_magic="$magic"
+
+    for arg
+    do
+      case $arg in
+      -f) RM="$RM $arg"; rmforce=yes ;;
+      -*) RM="$RM $arg" ;;
+      *) files="$files $arg" ;;
+      esac
+    done
+
+    test -z "$RM" && \
+      func_fatal_help "you must specify an RM program"
+
+    rmdirs=
+
+    origobjdir="$objdir"
+    for file in $files; do
+      func_dirname "$file" "" "."
+      dir="$func_dirname_result"
+      if test "X$dir" = X.; then
+       objdir="$origobjdir"
+      else
+       objdir="$dir/$origobjdir"
+      fi
+      func_basename "$file"
+      name="$func_basename_result"
+      test "$mode" = uninstall && objdir="$dir"
+
+      # Remember objdir for removal later, being careful to avoid duplicates
+      if test "$mode" = clean; then
+       case " $rmdirs " in
+         *" $objdir "*) ;;
+         *) rmdirs="$rmdirs $objdir" ;;
+       esac
+      fi
+
+      # Don't error if the file doesn't exist and rm -f was used.
+      if { test -L "$file"; } >/dev/null 2>&1 ||
+        { test -h "$file"; } >/dev/null 2>&1 ||
+        test -f "$file"; then
+       :
+      elif test -d "$file"; then
+       exit_status=1
+       continue
+      elif test "$rmforce" = yes; then
+       continue
+      fi
+
+      rmfiles="$file"
+
+      case $name in
+      *.la)
+       # Possibly a libtool archive, so verify it.
+       if func_lalib_p "$file"; then
+         func_source $dir/$name
+
+         # Delete the libtool libraries and symlinks.
+         for n in $library_names; do
+           rmfiles="$rmfiles $objdir/$n"
+         done
+         test -n "$old_library" && rmfiles="$rmfiles $objdir/$old_library"
+
+         case "$mode" in
+         clean)
+           case "  $library_names " in
+           # "  " in the beginning catches empty $dlname
+           *" $dlname "*) ;;
+           *) rmfiles="$rmfiles $objdir/$dlname" ;;
+           esac
+           test -n "$libdir" && rmfiles="$rmfiles $objdir/$name $objdir/${name}i"
+           ;;
+         uninstall)
+           if test -n "$library_names"; then
+             # Do each command in the postuninstall commands.
+             func_execute_cmds "$postuninstall_cmds" 'test "$rmforce" = yes || exit_status=1'
+           fi
+
+           if test -n "$old_library"; then
+             # Do each command in the old_postuninstall commands.
+             func_execute_cmds "$old_postuninstall_cmds" 'test "$rmforce" = yes || exit_status=1'
+           fi
+           # FIXME: should reinstall the best remaining shared library.
+           ;;
+         esac
+       fi
+       ;;
+
+      *.lo)
+       # Possibly a libtool object, so verify it.
+       if func_lalib_p "$file"; then
+
+         # Read the .lo file
+         func_source $dir/$name
+
+         # Add PIC object to the list of files to remove.
+         if test -n "$pic_object" &&
+            test "$pic_object" != none; then
+           rmfiles="$rmfiles $dir/$pic_object"
+         fi
+
+         # Add non-PIC object to the list of files to remove.
+         if test -n "$non_pic_object" &&
+            test "$non_pic_object" != none; then
+           rmfiles="$rmfiles $dir/$non_pic_object"
+         fi
+       fi
+       ;;
+
+      *)
+       if test "$mode" = clean ; then
+         noexename=$name
+         case $file in
+         *.exe)
+           func_stripname '' '.exe' "$file"
+           file=$func_stripname_result
+           func_stripname '' '.exe' "$name"
+           noexename=$func_stripname_result
+           # $file with .exe has already been added to rmfiles,
+           # add $file without .exe
+           rmfiles="$rmfiles $file"
+           ;;
+         esac
+         # Do a test to see if this is a libtool program.
+         if func_ltwrapper_p "$file"; then
+           if func_ltwrapper_executable_p "$file"; then
+             func_ltwrapper_scriptname "$file"
+             relink_command=
+             func_source $func_ltwrapper_scriptname_result
+             rmfiles="$rmfiles $func_ltwrapper_scriptname_result"
+           else
+             relink_command=
+             func_source $dir/$noexename
+           fi
+
+           # note $name still contains .exe if it was in $file originally
+           # as does the version of $file that was added into $rmfiles
+           rmfiles="$rmfiles $objdir/$name $objdir/${name}S.${objext}"
+           if test "$fast_install" = yes && test -n "$relink_command"; then
+             rmfiles="$rmfiles $objdir/lt-$name"
+           fi
+           if test "X$noexename" != "X$name" ; then
+             rmfiles="$rmfiles $objdir/lt-${noexename}.c"
+           fi
+         fi
+       fi
+       ;;
+      esac
+      func_show_eval "$RM $rmfiles" 'exit_status=1'
+    done
+    objdir="$origobjdir"
+
+    # Try to remove the ${objdir}s in the directories where we deleted files
+    for dir in $rmdirs; do
+      if test -d "$dir"; then
+       func_show_eval "rmdir $dir >/dev/null 2>&1"
+      fi
+    done
+
+    exit $exit_status
+}
+
+{ test "$mode" = uninstall || test "$mode" = clean; } &&
+    func_mode_uninstall ${1+"$@"}
+
+test -z "$mode" && {
+  help="$generic_help"
+  func_fatal_help "you must specify a MODE"
+}
+
+test -z "$exec_cmd" && \
+  func_fatal_help "invalid operation mode \`$mode'"
+
+if test -n "$exec_cmd"; then
+  eval exec "$exec_cmd"
+  exit $EXIT_FAILURE
+fi
+
+exit $exit_status
+
+
+# The TAGs below are defined such that we never get into a situation
+# in which we disable both kinds of libraries.  Given conflicting
+# choices, we go for a static library, that is the most portable,
+# since we can't tell whether shared libraries were disabled because
+# the user asked for that or because the platform doesn't support
+# them.  This is particularly important on AIX, because we don't
+# support having both static and shared libraries enabled at the same
+# time on that platform, so we default to a shared-only configuration.
+# If a disable-shared tag is given, we'll fallback to a static-only
+# configuration.  But we'll never go from static-only to shared-only.
+
+# ### BEGIN LIBTOOL TAG CONFIG: disable-shared
+build_libtool_libs=no
+build_old_libs=yes
+# ### END LIBTOOL TAG CONFIG: disable-shared
+
+# ### BEGIN LIBTOOL TAG CONFIG: disable-static
+build_old_libs=`case $build_libtool_libs in yes) echo no;; *) echo yes;; esac`
+# ### END LIBTOOL TAG CONFIG: disable-static
+
+# Local Variables:
+# mode:shell-script
+# sh-indentation:2
+# End:
+# vi:sw=2
+
diff --git a/mblock/Makefile.am b/mblock/Makefile.am
new file mode 100644 (file)
index 0000000..69e2f22
--- /dev/null
@@ -0,0 +1,31 @@
+#
+# Copyright 2004 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+include $(top_srcdir)/Makefile.common
+
+EXTRA_DIST = \
+    mblock.pc.in
+
+SUBDIRS = src
+DIST_SUBDIRS = src doc
+
+pkgconfigdir = $(libdir)/pkgconfig
+pkgconfig_DATA = mblock.pc
diff --git a/mblock/Makefile.in b/mblock/Makefile.in
new file mode 100644 (file)
index 0000000..05a2259
--- /dev/null
@@ -0,0 +1,1068 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = README $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(srcdir)/mblock.pc.in $(top_srcdir)/Makefile.common
+subdir = mblock
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES = mblock.pc
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(pkgconfigdir)"
+pkgconfigDATA_INSTALL = $(INSTALL_DATA)
+DATA = $(pkgconfig_DATA)
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+EXTRA_DIST = \
+    mblock.pc.in
+
+SUBDIRS = src
+DIST_SUBDIRS = src doc
+pkgconfigdir = $(libdir)/pkgconfig
+pkgconfig_DATA = mblock.pc
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  mblock/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  mblock/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+mblock.pc: $(top_builddir)/config.status $(srcdir)/mblock.pc.in
+       cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-pkgconfigDATA: $(pkgconfig_DATA)
+       @$(NORMAL_INSTALL)
+       test -z "$(pkgconfigdir)" || $(MKDIR_P) "$(DESTDIR)$(pkgconfigdir)"
+       @list='$(pkgconfig_DATA)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(pkgconfigDATA_INSTALL) '$$d$$p' '$(DESTDIR)$(pkgconfigdir)/$$f'"; \
+         $(pkgconfigDATA_INSTALL) "$$d$$p" "$(DESTDIR)$(pkgconfigdir)/$$f"; \
+       done
+
+uninstall-pkgconfigDATA:
+       @$(NORMAL_UNINSTALL)
+       @list='$(pkgconfig_DATA)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(pkgconfigdir)/$$f'"; \
+         rm -f "$(DESTDIR)$(pkgconfigdir)/$$f"; \
+       done
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-recursive
+all-am: Makefile $(DATA)
+installdirs: installdirs-recursive
+installdirs-am:
+       for dir in "$(DESTDIR)$(pkgconfigdir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am: install-pkgconfigDATA
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am: uninstall-pkgconfigDATA
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive dist-hook distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-pkgconfigDATA install-ps install-ps-am install-strip \
+       installcheck installcheck-am installdirs installdirs-am \
+       maintainer-clean maintainer-clean-generic mostlyclean \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       tags tags-recursive uninstall uninstall-am \
+       uninstall-pkgconfigDATA
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/mblock/README b/mblock/README
new file mode 100644 (file)
index 0000000..1f3ba69
--- /dev/null
@@ -0,0 +1,24 @@
+#
+# Copyright 2006,2007 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+The "Message block" implementation, a work in progress...
+
+http://gnuradio.org/trac/wiki/MessageBlocks
diff --git a/mblock/doc/Makefile.am b/mblock/doc/Makefile.am
new file mode 100644 (file)
index 0000000..a806b25
--- /dev/null
@@ -0,0 +1,44 @@
+#
+# Copyright 2004,2005,2006 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+TARGETS = 
+
+
+# To avoid build problems for folks who don't have xmlto installed, we
+# don't build the docs by default.
+
+# html: $(TARGETS)
+all: $(TARGETS)
+
+
+EXTRA_DIST =                           
+
+BUILT_XML_FILES =                      
+
+
+# ----------------------------------------------------------------
+
+clean:
+       -rm -f $(TARGETS) $(BUILT_XML_FILES)
+
+# This is non-portable pattern rule.
+#%.html : %.xml
+#      xmlto html-nochunks $<
diff --git a/mblock/doc/Makefile.in b/mblock/doc/Makefile.in
new file mode 100644 (file)
index 0000000..3b3db75
--- /dev/null
@@ -0,0 +1,753 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004,2005,2006 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+subdir = mblock/doc
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+TARGETS = 
+EXTRA_DIST = 
+BUILT_XML_FILES = 
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am  $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  mblock/doc/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  mblock/doc/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+check-am: all-am
+check: check-am
+all-am: Makefile
+installdirs:
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       distclean distclean-generic distclean-libtool distdir dvi \
+       dvi-am html html-am info info-am install install-am \
+       install-data install-data-am install-dvi install-dvi-am \
+       install-exec install-exec-am install-html install-html-am \
+       install-info install-info-am install-man install-pdf \
+       install-pdf-am install-ps install-ps-am install-strip \
+       installcheck installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am uninstall uninstall-am
+
+
+# To avoid build problems for folks who don't have xmlto installed, we
+# don't build the docs by default.
+
+# html: $(TARGETS)
+all: $(TARGETS)
+
+# ----------------------------------------------------------------
+
+clean:
+       -rm -f $(TARGETS) $(BUILT_XML_FILES)
+
+# This is non-portable pattern rule.
+#%.html : %.xml
+#      xmlto html-nochunks $<
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/mblock/mblock.pc.in b/mblock/mblock.pc.in
new file mode 100644 (file)
index 0000000..ec72b10
--- /dev/null
@@ -0,0 +1,11 @@
+prefix=@prefix@
+exec_prefix=@exec_prefix@
+libdir=@libdir@
+includedir=@includedir@
+
+Name: mblock
+Description: The GNU Radio message block library
+Requires: pmt gnuradio-omnithread
+Version: @VERSION@
+Libs: -L${libdir} -lmblock
+Cflags: -I${includedir} @DEFINES@
diff --git a/mblock/src/Makefile.am b/mblock/src/Makefile.am
new file mode 100644 (file)
index 0000000..60995c9
--- /dev/null
@@ -0,0 +1,22 @@
+#
+# Copyright 2004,2006,2008 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+SUBDIRS = include lib scheme
diff --git a/mblock/src/Makefile.in b/mblock/src/Makefile.in
new file mode 100644 (file)
index 0000000..c05546f
--- /dev/null
@@ -0,0 +1,896 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004,2006,2008 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+subdir = mblock/src
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+SUBDIRS = include lib scheme
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am  $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  mblock/src/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  mblock/src/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+check-am: all-am
+check: check-recursive
+all-am: Makefile
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am
+
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/mblock/src/include/Makefile.am b/mblock/src/include/Makefile.am
new file mode 100644 (file)
index 0000000..d567298
--- /dev/null
@@ -0,0 +1,24 @@
+#
+# Copyright 2008 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+include $(top_srcdir)/Makefile.common
+
+SUBDIRS = mblock
diff --git a/mblock/src/include/Makefile.in b/mblock/src/include/Makefile.in
new file mode 100644 (file)
index 0000000..a8bb9c3
--- /dev/null
@@ -0,0 +1,1030 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2008 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = mblock/src/include
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+SUBDIRS = mblock
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  mblock/src/include/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  mblock/src/include/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-recursive
+all-am: Makefile
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive dist-hook distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/mblock/src/include/mblock/Makefile.am b/mblock/src/include/mblock/Makefile.am
new file mode 100644 (file)
index 0000000..e36215a
--- /dev/null
@@ -0,0 +1,37 @@
+#
+# Copyright 2008 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+include $(top_srcdir)/Makefile.common
+
+mbincludedir = $(includedir)/mblock
+
+mbinclude_HEADERS = \
+       common.h \
+       class_registry.h \
+       exception.h \
+       mblock.h \
+       message.h \
+       msg_accepter.h \
+       msg_queue.h \
+       port.h \
+       protocol_class.h \
+       runtime.h \
+       time.h
diff --git a/mblock/src/include/mblock/Makefile.in b/mblock/src/include/mblock/Makefile.in
new file mode 100644 (file)
index 0000000..ee0d4c2
--- /dev/null
@@ -0,0 +1,960 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2008 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(mbinclude_HEADERS) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(top_srcdir)/Makefile.common
+subdir = mblock/src/include/mblock
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(mbincludedir)"
+mbincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+HEADERS = $(mbinclude_HEADERS)
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+mbincludedir = $(includedir)/mblock
+mbinclude_HEADERS = \
+       common.h \
+       class_registry.h \
+       exception.h \
+       mblock.h \
+       message.h \
+       msg_accepter.h \
+       msg_queue.h \
+       port.h \
+       protocol_class.h \
+       runtime.h \
+       time.h
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  mblock/src/include/mblock/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  mblock/src/include/mblock/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-mbincludeHEADERS: $(mbinclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(mbincludedir)" || $(MKDIR_P) "$(DESTDIR)$(mbincludedir)"
+       @list='$(mbinclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(mbincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(mbincludedir)/$$f'"; \
+         $(mbincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(mbincludedir)/$$f"; \
+       done
+
+uninstall-mbincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(mbinclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(mbincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(mbincludedir)/$$f"; \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile $(HEADERS)
+installdirs:
+       for dir in "$(DESTDIR)$(mbincludedir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-mbincludeHEADERS
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-mbincludeHEADERS
+
+.MAKE: install-am install-strip
+
+.PHONY: CTAGS GTAGS all all-am check check-am clean clean-generic \
+       clean-libtool ctags dist-hook distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-mbincludeHEADERS \
+       install-pdf install-pdf-am install-ps install-ps-am \
+       install-strip installcheck installcheck-am installdirs \
+       maintainer-clean maintainer-clean-generic mostlyclean \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       tags uninstall uninstall-am uninstall-mbincludeHEADERS
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/mblock/src/include/mblock/class_registry.h b/mblock/src/include/mblock/class_registry.h
new file mode 100644 (file)
index 0000000..b94a7cb
--- /dev/null
@@ -0,0 +1,51 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+#ifndef INCLUDED_MB_CLASS_REGISTRY_H
+#define INCLUDED_MB_CLASS_REGISTRY_H
+
+#include <mblock/common.h>
+
+//! conceptually, pointer to constructor
+typedef mb_mblock_sptr (*mb_mblock_maker_t)(mb_runtime *runtime,
+                                           const std::string &instance_name,
+                                           pmt_t user_arg);
+
+/*
+ * \brief Maintain mapping between mblock class_name and factory (maker)
+ */
+class mb_class_registry : public boost::noncopyable {
+public:
+  static bool register_maker(const std::string &name, mb_mblock_maker_t maker);
+  static bool lookup_maker(const std::string &name, mb_mblock_maker_t *maker);
+};
+
+template<class mblock>
+mb_mblock_sptr mb_mblock_maker(mb_runtime *runtime,
+                              const std::string &instance_name,
+                              pmt_t user_arg)
+{
+  return mb_mblock_sptr(new mblock(runtime, instance_name, user_arg));
+}
+
+#define REGISTER_MBLOCK_CLASS(name) \
+  bool __RBC__ ## name = mb_class_registry::register_maker(#name, &mb_mblock_maker<name>)
+
+#endif /* INCLUDED_MB_CLASS_REGISTRY_H */
diff --git a/mblock/src/include/mblock/common.h b/mblock/src/include/mblock/common.h
new file mode 100644 (file)
index 0000000..2507d05
--- /dev/null
@@ -0,0 +1,94 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006,2007,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+#ifndef INCLUDED_MB_COMMON_H
+#define INCLUDED_MB_COMMON_H
+
+#include <pmt.h>
+#include <vector>
+#include <stdexcept>
+#include <boost/utility.hpp>
+#include <boost/enable_shared_from_this.hpp>
+#include <boost/weak_ptr.hpp>
+
+/*
+ * The priority type and valid range
+ */
+typedef unsigned int   mb_pri_t;
+static const mb_pri_t  MB_PRI_BEST    = 0;
+static const mb_pri_t  MB_PRI_DEFAULT = 4; 
+static const mb_pri_t   MB_PRI_WORST   = 7;
+static const mb_pri_t  MB_NPRI = MB_PRI_WORST + 1;       // number of valid priorities 
+
+/*!
+ * \brief return true iff priority a is better than priority b
+ */
+inline static bool
+mb_pri_better(mb_pri_t a, mb_pri_t b)
+{
+  return a < b;
+}
+
+/*!
+ * \brief return true iff priority a is worse than priority b
+ */
+inline static bool
+mb_pri_worse(mb_pri_t a, mb_pri_t b)
+{
+  return a > b;
+}
+
+/*!
+ * \brief ensure that pri is valid
+ */
+inline static mb_pri_t
+mb_pri_clamp(mb_pri_t p)
+{
+  return p < MB_NPRI ? p : MB_NPRI - 1;
+}
+
+class mb_runtime;
+typedef boost::shared_ptr<mb_runtime> mb_runtime_sptr;
+
+//class mb_runtime_impl;
+//typedef boost::shared_ptr<mb_runtime_impl> mb_runtime_impl_sptr;
+
+class mb_mblock;
+typedef boost::shared_ptr<mb_mblock> mb_mblock_sptr;
+
+class mb_mblock_impl;
+typedef boost::shared_ptr<mb_mblock_impl> mb_mblock_impl_sptr;
+
+class mb_port;
+typedef boost::shared_ptr<mb_port> mb_port_sptr;
+
+//class mb_port_detail;
+//typedef boost::shared_ptr<mb_port_detail> mb_port_detail_sptr;
+
+class mb_msg_accepter;
+typedef boost::shared_ptr<mb_msg_accepter> mb_msg_accepter_sptr;
+
+class mb_message;
+typedef boost::shared_ptr<mb_message> mb_message_sptr;
+
+class mb_msg_queue;
+typedef boost::shared_ptr<mb_msg_queue> mb_msg_queue_sptr;
+
+#endif /* INCLUDED_MB_COMMON_H */
diff --git a/mblock/src/include/mblock/exception.h b/mblock/src/include/mblock/exception.h
new file mode 100644 (file)
index 0000000..6cc4566
--- /dev/null
@@ -0,0 +1,118 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+#ifndef INCLUDED_MB_EXCEPTION_H
+#define INCLUDED_MB_EXCEPTION_H
+
+#include <stdexcept>
+
+class mb_mblock;
+
+
+class mbe_base : public std::logic_error
+{
+public:
+  mbe_base(mb_mblock *mb, const std::string &msg);
+};
+
+class mbe_not_implemented : public mbe_base
+{
+public:
+  mbe_not_implemented(mb_mblock *mb, const std::string &msg);
+};
+
+class mbe_no_such_class : public mbe_base
+{
+public:
+  mbe_no_such_class(mb_mblock *, const std::string &class_name);
+};
+
+class mbe_no_such_component : public mbe_base
+{
+public:
+  mbe_no_such_component(mb_mblock *, const std::string &component_name);
+};
+
+class mbe_duplicate_component : public mbe_base
+{
+public:
+  mbe_duplicate_component(mb_mblock *, const std::string &component_name);
+};
+
+class mbe_no_such_port : public mbe_base
+{
+public:
+  mbe_no_such_port(mb_mblock *, const std::string &port_name);
+};
+
+
+class mbe_duplicate_port : public mbe_base
+{
+public:
+  mbe_duplicate_port(mb_mblock *, const std::string &port_name);
+};
+
+class mbe_already_connected : public mbe_base
+{
+public:
+  mbe_already_connected(mb_mblock *, const std::string &comp_name,
+                       const std::string &port_name);
+};
+
+class mbe_incompatible_ports : public mbe_base
+{
+public:
+  mbe_incompatible_ports(mb_mblock *,
+                        const std::string &comp1_name,
+                        const std::string &port1_name,
+                        const std::string &comp2_name,
+                        const std::string &port2_name);
+};
+
+class mbe_invalid_port_type : public mbe_base
+{
+public:
+  mbe_invalid_port_type(mb_mblock *, const std::string &comp_name,
+                       const std::string &port_name);
+};
+
+class mbe_mblock_failed : public mbe_base
+{
+public:
+  mbe_mblock_failed(mb_mblock *, const std::string &msg);
+};
+
+
+
+// not derived from mbe_base to simplify try/catch
+class mbe_terminate
+{
+public:
+  mbe_terminate();
+};
+
+// not derived from mbe_base to simplify try/catch
+class mbe_exit
+{
+public:
+  mbe_exit();
+};
+
+#endif /* INCLUDED_MB_EXCEPTION_H */
diff --git a/mblock/src/include/mblock/mblock.h b/mblock/src/include/mblock/mblock.h
new file mode 100644 (file)
index 0000000..bbc40f1
--- /dev/null
@@ -0,0 +1,318 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+#ifndef INCLUDED_MB_MBLOCK_H
+#define INCLUDED_MB_MBLOCK_H
+
+#include <mblock/common.h>
+#include <mblock/message.h>
+#include <mblock/port.h>
+#include <mblock/time.h>
+
+
+/*!
+ * Abstract class implementing visitor pattern
+ * \ingroup internal
+ */
+class mb_visitor
+{
+public:
+  virtual ~mb_visitor();
+  virtual bool operator()(mb_mblock *mblock) = 0;
+};
+
+// ----------------------------------------------------------------------
+
+/*!
+ * \brief Parent class for all message passing blocks
+ *
+ * Subclass this to define your mblocks.
+ */
+class mb_mblock : boost::noncopyable,
+                 public boost::enable_shared_from_this<mb_mblock>
+{
+private:
+  mb_mblock_impl_sptr          d_impl;         // implementation details
+
+  friend class mb_runtime;
+  friend class mb_mblock_impl;
+  friend class mb_worker;
+
+protected:
+  /*!
+   * \brief mblock constructor.
+   *
+   * Initializing all mblocks in the system is a 3 step procedure.
+   *
+   * The top level mblock's constructor is run.  That constructor 
+   * (a) registers all of its ports using define_port, (b) registers any
+   * subcomponents it may have via the define_component method, and
+   * then (c) issues connect calls to wire its subcomponents together.
+   *
+   * \param runtime the runtime associated with this mblock
+   * \param instance_name specify the name of this instance
+   *        (for debugging, NUMA mapping, etc)
+   * \param user_arg argument passed by user to constructor
+   *        (ignored by the mb_mblock base class)
+   */
+  mb_mblock(mb_runtime *runtime, const std::string &instance_name, pmt_t user_arg);
+
+public:
+  /*!
+   * \brief Called by the runtime system to execute the initial
+   * transition of the finite state machine.
+   *
+   * This method is called by the runtime after all blocks are
+   * constructed and before the first message is delivered.  Override
+   * this to initialize your finite state machine.
+   */
+  virtual void initial_transition();
+
+protected:
+  /*!
+   * \brief Called by the runtime system when there's a message to handle.
+   *
+   * Override this to define your behavior.
+   *
+   * Do not issue any potentially blocking calls in this method.  This
+   * includes things such reads or writes on sockets, pipes or slow
+   * i/o devices.
+   */
+  virtual void handle_message(mb_message_sptr msg);
+
+  /*!
+   * \brief Define a port.
+   *
+   * EXTERNAL and RELAY ports are part of our peer interface.
+   * INTERNAL ports are used to talk to sub-components.
+   *
+   * \param port_name    The name of the port (must be unique within this mblock).
+   * \param protocol_class_name        The name of the protocol class associated with
+   *                           this port.  It must already be defined.
+   * \param conjugated   Are the incoming and outgoing message sets swapped?
+   * \param port_type    INTERNAL, EXTERNAL or RELAY.
+   */
+  mb_port_sptr
+  define_port(const std::string &port_name,
+             const std::string &protocol_class_name,
+             bool conjugated,
+             mb_port::port_type_t port_type);
+
+  /*!
+   * \brief Define a subcomponent by name.
+   *
+   * Called within the constructor to tell the system the
+   * names and identities of our sub-component mblocks.
+   *
+   * \param component_name  The name of the sub-component (must be unique with this mblock).
+   * \param class_name      The class of the instance that is to be created.
+   * \param user_arg The argument to pass to the constructor of the component.
+   */
+  void
+  define_component(const std::string &component_name,
+                  const std::string &class_name,
+                  pmt_t user_arg = PMT_NIL);
+
+  /*!
+   * \brief connect endpoint_1 to endpoint_2
+   *
+   * \param comp_name1  component on one end of the connection
+   * \param port_name1  the name of the port on comp1
+   * \param comp_name2  component on the other end of the connection
+   * \param port_name2  the name of the port on comp2
+   *
+   * An endpoint is specified by the component's local name (given as
+   * component_name in the call to register_component) and the name of
+   * the port on that component.
+   *
+   * To connect an internal or relay port, use "self" as the component name.
+   */
+  void
+  connect(const std::string &comp_name1, const std::string &port_name1,
+         const std::string &comp_name2, const std::string &port_name2);
+
+  /*!
+   * \brief disconnect endpoint_1 from endpoint_2
+   *
+   * \param comp_name1  component on one end of the connection
+   * \param port_name1  the name of the port on comp1
+   * \param comp_name2  component on the other end of the connection
+   * \param port_name2  the name of the port on comp2
+   *
+   * An endpoint is specified by the component's local name (given as
+   * component_name in the call to register_component) and the name of
+   * the port on that component.
+   *
+   * To disconnect an internal or relay port, use "self" as the component name.
+   */
+  void
+  disconnect(const std::string &comp_name1, const std::string &port_name1,
+            const std::string &comp_name2, const std::string &port_name2);
+
+  /*!
+   * \brief disconnect all connections to specified component
+   * \param component_name component to disconnect
+   */
+  void
+  disconnect_component(const std::string &component_name);
+
+  /*!
+   * \brief disconnect all connections to all components
+   */
+  void
+  disconnect_all();
+
+  /*!
+   * \brief Return number of connections (QA mostly)
+   */
+  int
+  nconnections() const;
+
+  //! Set the class name
+  void set_class_name(const std::string &name);
+
+  /*!
+   * \brief Tell runtime that we are done.
+   *
+   * This method does not return.
+   */
+  void exit();
+
+  /*!
+   * \brief Ask runtime to execute the shutdown procedure for all blocks.
+   * 
+   * \param result sets value of \p result output argument of runtime->run(...)
+   *
+   * The runtime first sends a maximum priority %shutdown message to
+   * all blocks.  All blocks should handle the %shutdown message,
+   * perform whatever clean up is required, and call this->exit();
+   *
+   * After a period of time (~100ms), any blocks which haven't yet
+   * called this->exit() are sent a maximum priority %halt message.
+   * %halt is detected in main_loop, and this->exit() is called.
+   *
+   * After an additional period of time (~100ms), any blocks which
+   * still haven't yet called this->exit() are sent a SIG<FOO> (TBD)
+   * signal, which will blow them out of any blocking system calls and
+   * raise an mbe_terminate exception.  The default top-level
+   * runtime-provided exception handler will call this->exit() to
+   * finish the process.
+   *
+   * runtime->run(...) returns when all blocks have called exit.
+   */
+  void shutdown_all(pmt_t result);
+
+  /*!
+   * \brief main event dispatching loop
+   *
+   * Although it is possible to override this, the default implementation
+   * should work for virtually all cases.
+   */
+  virtual void main_loop();
+  
+public:
+  virtual ~mb_mblock();
+
+  //! Return instance name of this block
+  std::string instance_name() const;
+
+  //! Return the class name of this block
+  std::string class_name() const;
+
+  //! Set the instance name of this block.
+  void set_instance_name(const std::string &name);
+  
+  //! Return the parent of this mblock, or 0 if we're the top-level block.
+  mb_mblock *parent() const;
+
+  /*!
+   * \brief Schedule a "one shot" timeout.
+   *
+   * \param abs_time the absolute time at which the timeout should fire
+   * \param user_data the data passed in the %timeout message.
+   *
+   * When the timeout fires, a message will be sent to the mblock.
+   *
+   * The message will have port_id = %sys-port, signal = %timeout,
+   * data = user_data, metadata = the handle returned from
+   * schedule_one_shot_timeout, pri = MB_PRI_BEST.
+   *
+   * \returns a handle that can be used in cancel_timeout, and is passed
+   * as the metadata field of the generated %timeout message.
+   *
+   * To cancel a pending timeout, call cancel_timeout.
+   */
+  pmt_t
+  schedule_one_shot_timeout(const mb_time &abs_time, pmt_t user_data);
+
+  /*!
+   * \brief Schedule a periodic timeout.
+   *
+   * \param first_abs_time The absolute time at which the first timeout should fire.
+   * \param delta_time The relative delay between the first and successive timeouts.
+   * \param user_data the data passed in the %timeout message.
+   *
+   * When the timeout fires, a message will be sent to the mblock, and a
+   * new timeout will be scheduled for previous absolute time + delta_time.
+   *
+   * The message will have port_id = %sys-port, signal = %timeout,
+   * data = user_data, metadata = the handle returned from
+   * schedule_one_shot_timeout, pri = MB_PRI_BEST.
+   *
+   * \returns a handle that can be used in cancel_timeout, and is passed
+   * as the metadata field of the generated %timeout message.
+   *
+   * To cancel a pending timeout, call cancel_timeout.
+   */
+  pmt_t
+  schedule_periodic_timeout(const mb_time &first_abs_time,
+                           const mb_time &delta_time,
+                           pmt_t user_data);
+
+  /*!
+   * \brief Attempt to cancel a pending timeout.
+   *
+   * Note that this only stops a future timeout from firing.  It is
+   * possible that a timeout may have already fired and enqueued a
+   * %timeout message, but that that message has not yet been seen by
+   * handle_message.
+   *
+   * \param handle returned from schedule_one_shot_timeout or schedule_periodic_timeout.
+   */
+  void cancel_timeout(pmt_t handle);
+
+  /*!
+   * \brief Perform a pre-order depth-first traversal of the hierarchy.
+   *
+   * The traversal stops and returns false if any call to visitor returns false.
+   */
+  bool
+  walk_tree(mb_visitor *visitor);
+
+
+  //! \internal
+  // internal use only
+  mb_mblock_impl_sptr
+  impl() const { return d_impl; }
+
+};
+
+
+#endif /* INCLUDED_MB_MBLOCK_H */
diff --git a/mblock/src/include/mblock/message.h b/mblock/src/include/mblock/message.h
new file mode 100644 (file)
index 0000000..8fbee2f
--- /dev/null
@@ -0,0 +1,88 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006,2007,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+#ifndef INCLUDED_MB_MESSAGE_H
+#define INCLUDED_MB_MESSAGE_H
+
+#include <mblock/common.h>
+#include <iosfwd>
+
+#define MB_MESSAGE_LOCAL_ALLOCATOR 0   // define to 0 or 1
+
+class mb_message;
+typedef boost::shared_ptr<mb_message> mb_message_sptr;
+
+/*!
+ * \brief construct a message and return boost::shared_ptr
+ *
+ * \param signal       identifier of the message
+ * \param data         the data to be operated on
+ * \param metadata     information about the data
+ * \param priority     urgency
+ */
+mb_message_sptr
+mb_make_message(pmt_t signal,
+               pmt_t data = PMT_NIL,
+               pmt_t metadata = PMT_NIL,
+               mb_pri_t priority = MB_PRI_DEFAULT);
+
+class mb_message {
+  mb_message_sptr d_next;              // link field for msg queue
+  pmt_t                  d_signal;
+  pmt_t                  d_data;
+  pmt_t                  d_metadata;
+  mb_pri_t       d_priority;
+  pmt_t                  d_port_id;            // name of port msg was rcvd on (symbol)
+
+  friend class mb_msg_queue;
+
+  friend mb_message_sptr
+  mb_make_message(pmt_t signal, pmt_t data, pmt_t metadata, mb_pri_t priority);
+
+  // private constructor
+  mb_message(pmt_t signal, pmt_t data, pmt_t metadata, mb_pri_t priority);
+
+public:
+  ~mb_message();
+
+  pmt_t signal() const { return d_signal; }
+  pmt_t data() const { return d_data; }
+  pmt_t metadata() const { return d_metadata; }
+  mb_pri_t priority() const { return d_priority; }
+  pmt_t port_id() const { return d_port_id; }
+
+  void set_port_id(pmt_t port_id){ d_port_id = port_id; }
+
+#if (MB_MESSAGE_LOCAL_ALLOCATOR)
+  void *operator new(size_t);
+  void operator delete(void *, size_t);
+#endif
+};
+
+std::ostream& operator<<(std::ostream& os, const mb_message &msg);
+
+inline
+std::ostream& operator<<(std::ostream& os, const mb_message_sptr msg)
+{
+  os << *(msg.get());
+  return os;
+}
+
+#endif /* INCLUDED_MB_MESSAGE_H */
diff --git a/mblock/src/include/mblock/msg_accepter.h b/mblock/src/include/mblock/msg_accepter.h
new file mode 100644 (file)
index 0000000..69be131
--- /dev/null
@@ -0,0 +1,48 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+#ifndef INCLUDED_MB_MSG_ACCEPTER_H
+#define INCLUDED_MB_MSG_ACCEPTER_H
+
+#include <mblock/common.h>
+
+/*!
+ * \brief Abstract class that accepts messages
+ *
+ * The mb_port::send method ultimately resolves the (local)
+ * destination of a send to an object of this type.  The resulting 
+ * object is called to deliver the message.
+ *
+ * Expect derived classes such as these:
+ *
+ *  smp      : target is visible in this address space
+ *  mpi             : target is on the other end of an MPI link
+ *  ppe->spe : sending from Cell PPE to Cell SPE
+ *  spe->ppe : sending from Cell SPE to Cell PPE
+ */
+class mb_msg_accepter {
+public:
+  mb_msg_accepter(){};
+  virtual ~mb_msg_accepter();
+
+  virtual void operator()(pmt_t signal, pmt_t data, pmt_t metadata, mb_pri_t priority) = 0;
+};
+
+#endif /* INCLUDED_MB_MSG_ACCEPTER_H */
diff --git a/mblock/src/include/mblock/msg_queue.h b/mblock/src/include/mblock/msg_queue.h
new file mode 100644 (file)
index 0000000..a233970
--- /dev/null
@@ -0,0 +1,82 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+#ifndef INCLUDED_MB_MSG_QUEUE_H
+#define INCLUDED_MB_MSG_QUEUE_H
+
+#include <mblock/common.h>
+#include <gnuradio/omnithread.h>
+#include <mblock/time.h>
+
+/*!
+ * \brief priority queue for mblock messages
+ */
+class mb_msg_queue : boost::noncopyable
+{
+  // When empty both head and tail are zero.
+  struct subq {
+    mb_message_sptr    head;
+    mb_message_sptr    tail;
+
+    bool empty_p() const { return head == 0; }
+  };
+
+  omni_mutex    d_mutex;
+  omni_condition d_not_empty;  // reader waits on this
+
+  // FIXME add bitmap to indicate which queues are non-empty.
+  subq          d_queue[MB_NPRI];
+
+  mb_message_sptr get_highest_pri_msg_helper();
+
+public:
+  mb_msg_queue();
+  ~mb_msg_queue();
+
+  //! Insert \p msg into priority queue.
+  void insert(mb_message_sptr msg);
+
+  /*
+   * \brief Delete highest pri message from the queue and return it.
+   * Returns equivalent of zero pointer if queue is empty.
+   */
+  mb_message_sptr get_highest_pri_msg_nowait();
+
+  /*
+   * \brief Delete highest pri message from the queue and return it.
+   * If the queue is empty, this call blocks until it can return a message.
+   */
+  mb_message_sptr get_highest_pri_msg();
+
+  /*
+   * \brief Delete highest pri message from the queue and return it.
+   * If the queue is empty, this call blocks until it can return a message
+   * or real-time exceeds the absolute time, abs_time.
+   *
+   * \param abs_time specifies the latest absolute time to wait until.
+   * \sa mb_time::time
+   *
+   * \returns a valid mb_message_sptr, or the equivalent of a zero pointer
+   * if the call timed out while waiting.
+   */
+  mb_message_sptr get_highest_pri_msg_timedwait(const mb_time &abs_time);
+};
+
+#endif /* INCLUDED_MB_MSG_QUEUE_H */
diff --git a/mblock/src/include/mblock/port.h b/mblock/src/include/mblock/port.h
new file mode 100644 (file)
index 0000000..782bb12
--- /dev/null
@@ -0,0 +1,93 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+#ifndef INCLUDED_MB_PORT_H
+#define INCLUDED_MB_PORT_H
+
+#include <mblock/common.h>
+
+/*!
+ * \brief Abstract port characteristics
+ */
+class mb_port : boost::noncopyable
+{
+public:
+
+  //! port classification
+  enum port_type_t {
+    EXTERNAL,  //< Externally visible
+    RELAY,     //< Externally visible but really connected to a sub-component
+    INTERNAL   //< Visible to self only
+  };
+
+private:
+
+  std::string          d_port_name;
+  pmt_t                        d_port_symbol;          // the port_name as a pmt symbol
+  pmt_t                        d_protocol_class;
+  bool                 d_conjugated;
+  port_type_t          d_port_type;
+
+protected:
+  mb_mblock           *d_mblock;  // mblock we're defined in
+
+  // protected constructor
+  mb_port(mb_mblock *mblock,
+         const std::string &port_name,
+         const std::string &protocol_class_name,
+         bool conjugated,
+         mb_port::port_type_t port_type);
+
+  mb_mblock *mblock() const { return d_mblock; }
+
+public:
+  std::string  port_name() const { return d_port_name; }
+  pmt_t                port_symbol() const { return d_port_symbol; }
+  pmt_t                protocol_class() const { return d_protocol_class; }
+  bool          conjugated() const { return d_conjugated; }
+  port_type_t  port_type() const { return d_port_type; }
+
+  pmt_t                incoming_message_set() const;
+  pmt_t                outgoing_message_set() const;
+
+  virtual ~mb_port();
+
+  /*!
+   * \brief send a message
+   *
+   * \param signal     the event name
+   * \param data       optional data
+   * \param metadata   optional metadata
+   * \param priority   the urgency at which the message is sent
+   */
+  virtual void
+  send(pmt_t signal,
+       pmt_t data = PMT_F,
+       pmt_t metadata = PMT_F,
+       mb_pri_t priority = MB_PRI_DEFAULT) = 0;
+
+  /*
+   * \brief Invalidate any cached peer resolutions
+   * \internal
+   */
+  virtual void invalidate_cache() = 0;
+};
+
+#endif /* INCLUDED_MB_PORT_H */
diff --git a/mblock/src/include/mblock/protocol_class.h b/mblock/src/include/mblock/protocol_class.h
new file mode 100644 (file)
index 0000000..33c5d71
--- /dev/null
@@ -0,0 +1,52 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+#ifndef INCLUDED_MB_PROTOCOL_CLASS_H
+#define INCLUDED_MB_PROTOCOL_CLASS_H
+
+#include <mblock/common.h>
+
+/*!
+ * \brief construct a protocol_class
+ *
+ * \param name         the name of the class (symbol)
+ * \param incoming     incoming message set (list of symbols)
+ * \param outgoing     outgoing message set (list of symbols)
+ */
+pmt_t mb_make_protocol_class(pmt_t name, pmt_t incoming, pmt_t outgoing);
+
+// Accessors
+pmt_t mb_protocol_class_name(pmt_t pc);                //< return name of protocol class
+pmt_t mb_protocol_class_incoming(pmt_t pc);    //< return incoming message set
+pmt_t mb_protocol_class_outgoing(pmt_t pc);    //< return outgoing message set
+
+pmt_t mb_protocol_class_lookup(pmt_t name);    //< lookup an existing protocol class by name
+
+
+/*!
+ * \brief Initialize one or more protocol class from a serialized description.
+ * Used by machine generated code.
+ */
+class mb_protocol_class_init {
+public:
+  mb_protocol_class_init(const char *data, size_t len);
+};
+
+#endif /* INCLUDED_MB_PROTOCOL_CLASS_H */
diff --git a/mblock/src/include/mblock/runtime.h b/mblock/src/include/mblock/runtime.h
new file mode 100644 (file)
index 0000000..8668757
--- /dev/null
@@ -0,0 +1,69 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+#ifndef INCLUDED_MB_RUNTIME_H
+#define INCLUDED_MB_RUNTIME_H
+
+#include <mblock/common.h>
+#include <gnuradio/omnithread.h>
+
+/*!
+ * \brief Public constructor (factory) for mb_runtime objects.
+ */
+mb_runtime_sptr mb_make_runtime();
+
+/*!
+ * \brief Abstract runtime support for m-blocks
+ *
+ * There should generally be only a single instance of this class.
+ */
+class mb_runtime : boost::noncopyable,
+                  public boost::enable_shared_from_this<mb_runtime>
+{
+protected:  
+  mb_mblock_sptr       d_top;
+
+public:
+  mb_runtime(){}
+  virtual ~mb_runtime();
+
+  /*!
+   * \brief Construct and run the specified mblock hierarchy.
+   *
+   * This routine turns into the m-block scheduler, and
+   * blocks until the system is shutdown.
+   *
+   * \param instance_name name of the top-level mblock (conventionally "top")
+   * \param class_name The class of the top-level mblock to create.
+   * \param user_arg The argument to pass to the top-level mblock constructor
+   * \param result The value passed to shutdown_all.
+   *
+   * \returns true if the system ran successfully.
+   */
+  virtual bool run(const std::string &instance_name,
+                  const std::string &class_name,
+                  pmt_t user_arg,
+                  pmt_t *result = 0) = 0;
+
+  // QA only...
+  mb_mblock_sptr top() { return d_top; }
+};
+
+#endif /* INCLUDED_MB_RUNTIME_H */
diff --git a/mblock/src/include/mblock/time.h b/mblock/src/include/mblock/time.h
new file mode 100644 (file)
index 0000000..630fa84
--- /dev/null
@@ -0,0 +1,27 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+#ifndef INCLUDED_MB_TIME_H
+#define INCLUDED_MB_TIME_H
+
+#include <gnuradio/omni_time.h>
+typedef omni_time mb_time;
+
+#endif /* INCLUDED_MB_TIME_H */
diff --git a/mblock/src/lib/Makefile.am b/mblock/src/lib/Makefile.am
new file mode 100644 (file)
index 0000000..81079f0
--- /dev/null
@@ -0,0 +1,132 @@
+#
+# Copyright 2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+include $(top_srcdir)/Makefile.common
+
+AM_CPPFLAGS = $(DEFINES) $(OMNITHREAD_INCLUDES) $(PMT_INCLUDES) \
+       $(BOOST_CPPFLAGS) $(CPPUNIT_INCLUDES) $(WITH_INCLUDES) \
+       $(MBLOCK_INCLUDES)
+
+# disable test until we fix ticket:180
+# TESTS = test_mblock
+
+lib_LTLIBRARIES = libmblock.la libmblock-qa.la
+
+EXTRA_DIST =                           \
+       README.locking                  \
+       qa_bitset.mbh                   
+
+
+BUILT_SOURCES =                                \
+       qa_bitset_mbh.cc                
+
+qa_bitset_mbh.cc : qa_bitset.mbh
+       $(COMPILE_MBH) $(srcdir)/qa_bitset.mbh qa_bitset_mbh.cc
+
+# These are the source files that go into the mblock shared library
+libmblock_la_SOURCES =                 \
+       mb_class_registry.cc            \
+       mb_connection.cc                \
+       mb_endpoint.cc                  \
+       mb_exception.cc                 \
+       mb_gettid.cc                    \
+       mb_mblock.cc                    \
+       mb_mblock_impl.cc               \
+       mb_message.cc                   \
+       mb_msg_accepter.cc              \
+       mb_msg_accepter_msgq.cc         \
+       mb_msg_accepter_smp.cc          \
+       mb_msg_queue.cc                 \
+       mb_port.cc                      \
+       mb_port_simple.cc               \
+       mb_protocol_class.cc            \
+       mb_runtime.cc                   \
+       mb_runtime_base.cc              \
+       mb_runtime_nop.cc               \
+       mb_runtime_thread_per_block.cc  \
+       mb_timer_queue.cc               \
+       mb_util.cc                      \
+       mb_worker.cc                    
+
+
+# magic flags
+libmblock_la_LDFLAGS = $(NO_UNDEFINED)
+
+# link the library against the c++ standard library
+libmblock_la_LIBADD =                  \
+       $(OMNITHREAD_LA)                \
+       $(PMT_LA)                       \
+       -lstdc++                        
+
+noinst_HEADERS =                       \
+       mb_gettid.h                     \
+       mb_msg_accepter_msgq.h          \
+       mb_port_simple.h                \
+       mb_util.h                       \
+       mb_connection.h                 \
+       mb_endpoint.h                   \
+       mb_mblock_impl.h                \
+       mb_msg_accepter_smp.h           \
+       mb_runtime_base.h               \
+       mb_runtime_nop.h                \
+       mb_runtime_thread_per_block.h   \
+       mb_timer_queue.h                \
+       mb_worker.h                     \
+       mbi_runtime_lock.h              \
+       qa_mblock.h                     \
+       qa_mblock_prims.h               \
+       qa_mblock_send.h                \
+       qa_mblock_sys.h                 \
+       qa_timeouts.h                   
+
+
+# Build the qa code into its own library
+
+libmblock_qa_la_SOURCES =              \
+       qa_bitset.cc                    \
+       qa_bitset_mbh.cc                \
+       qa_disconnect.cc                \
+       qa_mblock.cc                    \
+       qa_mblock_prims.cc              \
+       qa_mblock_send.cc               \
+       qa_mblock_sys.cc                \
+       qa_timeouts.cc                  
+
+
+# magic flags
+libmblock_qa_la_LDFLAGS = $(NO_UNDEFINED) -avoid-version
+
+# link the library against the c++ standard library
+libmblock_qa_la_LIBADD =               \
+       libmblock.la                    \
+       $(CPPUNIT_LIBS)                 \
+       -lstdc++                        
+
+
+noinst_PROGRAMS        =                       \
+       test_mblock                     \
+       benchmark_send                  
+
+test_mblock_SOURCES = test_mblock.cc
+test_mblock_LDADD   = libmblock-qa.la
+
+benchmark_send_SOURCES = benchmark_send.cc
+benchmark_send_LDADD   = libmblock-qa.la
diff --git a/mblock/src/lib/Makefile.in b/mblock/src/lib/Makefile.in
new file mode 100644 (file)
index 0000000..b41d073
--- /dev/null
@@ -0,0 +1,1193 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(noinst_HEADERS) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(top_srcdir)/Makefile.common
+noinst_PROGRAMS = test_mblock$(EXEEXT) benchmark_send$(EXEEXT)
+subdir = mblock/src/lib
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(libdir)"
+libLTLIBRARIES_INSTALL = $(INSTALL)
+LTLIBRARIES = $(lib_LTLIBRARIES)
+am__DEPENDENCIES_1 =
+libmblock_qa_la_DEPENDENCIES = libmblock.la $(am__DEPENDENCIES_1)
+am_libmblock_qa_la_OBJECTS = qa_bitset.lo qa_bitset_mbh.lo \
+       qa_disconnect.lo qa_mblock.lo qa_mblock_prims.lo \
+       qa_mblock_send.lo qa_mblock_sys.lo qa_timeouts.lo
+libmblock_qa_la_OBJECTS = $(am_libmblock_qa_la_OBJECTS)
+libmblock_qa_la_LINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) \
+       $(LIBTOOLFLAGS) --mode=link $(CXXLD) $(AM_CXXFLAGS) \
+       $(CXXFLAGS) $(libmblock_qa_la_LDFLAGS) $(LDFLAGS) -o $@
+libmblock_la_DEPENDENCIES = $(am__DEPENDENCIES_1) \
+       $(am__DEPENDENCIES_1)
+am_libmblock_la_OBJECTS = mb_class_registry.lo mb_connection.lo \
+       mb_endpoint.lo mb_exception.lo mb_gettid.lo mb_mblock.lo \
+       mb_mblock_impl.lo mb_message.lo mb_msg_accepter.lo \
+       mb_msg_accepter_msgq.lo mb_msg_accepter_smp.lo mb_msg_queue.lo \
+       mb_port.lo mb_port_simple.lo mb_protocol_class.lo \
+       mb_runtime.lo mb_runtime_base.lo mb_runtime_nop.lo \
+       mb_runtime_thread_per_block.lo mb_timer_queue.lo mb_util.lo \
+       mb_worker.lo
+libmblock_la_OBJECTS = $(am_libmblock_la_OBJECTS)
+libmblock_la_LINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) \
+       $(LIBTOOLFLAGS) --mode=link $(CXXLD) $(AM_CXXFLAGS) \
+       $(CXXFLAGS) $(libmblock_la_LDFLAGS) $(LDFLAGS) -o $@
+PROGRAMS = $(noinst_PROGRAMS)
+am_benchmark_send_OBJECTS = benchmark_send.$(OBJEXT)
+benchmark_send_OBJECTS = $(am_benchmark_send_OBJECTS)
+benchmark_send_DEPENDENCIES = libmblock-qa.la
+am_test_mblock_OBJECTS = test_mblock.$(OBJEXT)
+test_mblock_OBJECTS = $(am_test_mblock_OBJECTS)
+test_mblock_DEPENDENCIES = libmblock-qa.la
+DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
+depcomp = $(SHELL) $(top_srcdir)/depcomp
+am__depfiles_maybe = depfiles
+CXXCOMPILE = $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+LTCXXCOMPILE = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+CXXLD = $(CXX)
+CXXLINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CXXLD) $(AM_CXXFLAGS) $(CXXFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+SOURCES = $(libmblock_qa_la_SOURCES) $(libmblock_la_SOURCES) \
+       $(benchmark_send_SOURCES) $(test_mblock_SOURCES)
+DIST_SOURCES = $(libmblock_qa_la_SOURCES) $(libmblock_la_SOURCES) \
+       $(benchmark_send_SOURCES) $(test_mblock_SOURCES)
+HEADERS = $(noinst_HEADERS)
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+AM_CPPFLAGS = $(DEFINES) $(OMNITHREAD_INCLUDES) $(PMT_INCLUDES) \
+       $(BOOST_CPPFLAGS) $(CPPUNIT_INCLUDES) $(WITH_INCLUDES) \
+       $(MBLOCK_INCLUDES)
+
+
+# disable test until we fix ticket:180
+# TESTS = test_mblock
+lib_LTLIBRARIES = libmblock.la libmblock-qa.la
+EXTRA_DIST = \
+       README.locking                  \
+       qa_bitset.mbh                   
+
+BUILT_SOURCES = \
+       qa_bitset_mbh.cc                
+
+
+# These are the source files that go into the mblock shared library
+libmblock_la_SOURCES = \
+       mb_class_registry.cc            \
+       mb_connection.cc                \
+       mb_endpoint.cc                  \
+       mb_exception.cc                 \
+       mb_gettid.cc                    \
+       mb_mblock.cc                    \
+       mb_mblock_impl.cc               \
+       mb_message.cc                   \
+       mb_msg_accepter.cc              \
+       mb_msg_accepter_msgq.cc         \
+       mb_msg_accepter_smp.cc          \
+       mb_msg_queue.cc                 \
+       mb_port.cc                      \
+       mb_port_simple.cc               \
+       mb_protocol_class.cc            \
+       mb_runtime.cc                   \
+       mb_runtime_base.cc              \
+       mb_runtime_nop.cc               \
+       mb_runtime_thread_per_block.cc  \
+       mb_timer_queue.cc               \
+       mb_util.cc                      \
+       mb_worker.cc                    
+
+
+# magic flags
+libmblock_la_LDFLAGS = $(NO_UNDEFINED)
+
+# link the library against the c++ standard library
+libmblock_la_LIBADD = \
+       $(OMNITHREAD_LA)                \
+       $(PMT_LA)                       \
+       -lstdc++                        
+
+noinst_HEADERS = \
+       mb_gettid.h                     \
+       mb_msg_accepter_msgq.h          \
+       mb_port_simple.h                \
+       mb_util.h                       \
+       mb_connection.h                 \
+       mb_endpoint.h                   \
+       mb_mblock_impl.h                \
+       mb_msg_accepter_smp.h           \
+       mb_runtime_base.h               \
+       mb_runtime_nop.h                \
+       mb_runtime_thread_per_block.h   \
+       mb_timer_queue.h                \
+       mb_worker.h                     \
+       mbi_runtime_lock.h              \
+       qa_mblock.h                     \
+       qa_mblock_prims.h               \
+       qa_mblock_send.h                \
+       qa_mblock_sys.h                 \
+       qa_timeouts.h                   
+
+
+# Build the qa code into its own library
+libmblock_qa_la_SOURCES = \
+       qa_bitset.cc                    \
+       qa_bitset_mbh.cc                \
+       qa_disconnect.cc                \
+       qa_mblock.cc                    \
+       qa_mblock_prims.cc              \
+       qa_mblock_send.cc               \
+       qa_mblock_sys.cc                \
+       qa_timeouts.cc                  
+
+
+# magic flags
+libmblock_qa_la_LDFLAGS = $(NO_UNDEFINED) -avoid-version
+
+# link the library against the c++ standard library
+libmblock_qa_la_LIBADD = \
+       libmblock.la                    \
+       $(CPPUNIT_LIBS)                 \
+       -lstdc++                        
+
+test_mblock_SOURCES = test_mblock.cc
+test_mblock_LDADD = libmblock-qa.la
+benchmark_send_SOURCES = benchmark_send.cc
+benchmark_send_LDADD = libmblock-qa.la
+all: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) all-am
+
+.SUFFIXES:
+.SUFFIXES: .cc .lo .o .obj
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  mblock/src/lib/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  mblock/src/lib/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+install-libLTLIBRARIES: $(lib_LTLIBRARIES)
+       @$(NORMAL_INSTALL)
+       test -z "$(libdir)" || $(MKDIR_P) "$(DESTDIR)$(libdir)"
+       @list='$(lib_LTLIBRARIES)'; for p in $$list; do \
+         if test -f $$p; then \
+           f=$(am__strip_dir) \
+           echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(libLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) '$$p' '$(DESTDIR)$(libdir)/$$f'"; \
+           $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(libLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) "$$p" "$(DESTDIR)$(libdir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-libLTLIBRARIES:
+       @$(NORMAL_UNINSTALL)
+       @list='$(lib_LTLIBRARIES)'; for p in $$list; do \
+         p=$(am__strip_dir) \
+         echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f '$(DESTDIR)$(libdir)/$$p'"; \
+         $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f "$(DESTDIR)$(libdir)/$$p"; \
+       done
+
+clean-libLTLIBRARIES:
+       -test -z "$(lib_LTLIBRARIES)" || rm -f $(lib_LTLIBRARIES)
+       @list='$(lib_LTLIBRARIES)'; for p in $$list; do \
+         dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
+         test "$$dir" != "$$p" || dir=.; \
+         echo "rm -f \"$${dir}/so_locations\""; \
+         rm -f "$${dir}/so_locations"; \
+       done
+libmblock-qa.la: $(libmblock_qa_la_OBJECTS) $(libmblock_qa_la_DEPENDENCIES) 
+       $(libmblock_qa_la_LINK) -rpath $(libdir) $(libmblock_qa_la_OBJECTS) $(libmblock_qa_la_LIBADD) $(LIBS)
+libmblock.la: $(libmblock_la_OBJECTS) $(libmblock_la_DEPENDENCIES) 
+       $(libmblock_la_LINK) -rpath $(libdir) $(libmblock_la_OBJECTS) $(libmblock_la_LIBADD) $(LIBS)
+
+clean-noinstPROGRAMS:
+       @list='$(noinst_PROGRAMS)'; for p in $$list; do \
+         f=`echo $$p|sed 's/$(EXEEXT)$$//'`; \
+         echo " rm -f $$p $$f"; \
+         rm -f $$p $$f ; \
+       done
+benchmark_send$(EXEEXT): $(benchmark_send_OBJECTS) $(benchmark_send_DEPENDENCIES) 
+       @rm -f benchmark_send$(EXEEXT)
+       $(CXXLINK) $(benchmark_send_OBJECTS) $(benchmark_send_LDADD) $(LIBS)
+test_mblock$(EXEEXT): $(test_mblock_OBJECTS) $(test_mblock_DEPENDENCIES) 
+       @rm -f test_mblock$(EXEEXT)
+       $(CXXLINK) $(test_mblock_OBJECTS) $(test_mblock_LDADD) $(LIBS)
+
+mostlyclean-compile:
+       -rm -f *.$(OBJEXT)
+
+distclean-compile:
+       -rm -f *.tab.c
+
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/benchmark_send.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/mb_class_registry.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/mb_connection.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/mb_endpoint.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/mb_exception.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/mb_gettid.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/mb_mblock.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/mb_mblock_impl.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/mb_message.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/mb_msg_accepter.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/mb_msg_accepter_msgq.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/mb_msg_accepter_smp.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/mb_msg_queue.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/mb_port.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/mb_port_simple.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/mb_protocol_class.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/mb_runtime.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/mb_runtime_base.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/mb_runtime_nop.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/mb_runtime_thread_per_block.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/mb_timer_queue.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/mb_util.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/mb_worker.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/qa_bitset.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/qa_bitset_mbh.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/qa_disconnect.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/qa_mblock.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/qa_mblock_prims.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/qa_mblock_send.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/qa_mblock_sys.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/qa_timeouts.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/test_mblock.Po@am__quote@
+
+.cc.o:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ $<
+
+.cc.obj:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ `$(CYGPATH_W) '$<'`
+
+.cc.lo:
+@am__fastdepCXX_TRUE@  $(LTCXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LTCXXCOMPILE) -c -o $@ $<
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) check-am
+all-am: Makefile $(LTLIBRARIES) $(PROGRAMS) $(HEADERS)
+installdirs:
+       for dir in "$(DESTDIR)$(libdir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+       -test -z "$(BUILT_SOURCES)" || rm -f $(BUILT_SOURCES)
+clean: clean-am
+
+clean-am: clean-generic clean-libLTLIBRARIES clean-libtool \
+       clean-noinstPROGRAMS mostlyclean-am
+
+distclean: distclean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+distclean-am: clean-am distclean-compile distclean-generic \
+       distclean-tags
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-am
+
+install-exec-am: install-libLTLIBRARIES
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-compile mostlyclean-generic \
+       mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-libLTLIBRARIES
+
+.MAKE: install-am install-strip
+
+.PHONY: CTAGS GTAGS all all-am check check-am clean clean-generic \
+       clean-libLTLIBRARIES clean-libtool clean-noinstPROGRAMS ctags \
+       dist-hook distclean distclean-compile distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-libLTLIBRARIES install-man install-pdf \
+       install-pdf-am install-ps install-ps-am install-strip \
+       installcheck installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-compile \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       tags uninstall uninstall-am uninstall-libLTLIBRARIES
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+
+qa_bitset_mbh.cc : qa_bitset.mbh
+       $(COMPILE_MBH) $(srcdir)/qa_bitset.mbh qa_bitset_mbh.cc
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/mblock/src/lib/README.locking b/mblock/src/lib/README.locking
new file mode 100644 (file)
index 0000000..12d4735
--- /dev/null
@@ -0,0 +1,4 @@
+The Big Runtime Lock must be held when:
+
+Manipulating or traversing any mblock's d_port_map, d_comp_map or d_conn_table.
+
diff --git a/mblock/src/lib/benchmark_send.cc b/mblock/src/lib/benchmark_send.cc
new file mode 100644 (file)
index 0000000..fe873a6
--- /dev/null
@@ -0,0 +1,45 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+
+#include <mblock/runtime.h>
+#include <iostream>
+
+int
+main(int argc, char **argv)
+{
+  mb_runtime_sptr rt = mb_make_runtime();
+  pmt_t result = PMT_NIL;
+
+  long nmsgs =      1000000;
+  long batch_size =     100;
+
+  pmt_t arg = pmt_list2(pmt_from_long(nmsgs),  // # of messages to send through pipe
+                       pmt_from_long(batch_size));
+
+  rt->run("top", "qa_bitset_top", arg, &result);
+
+  if (!pmt_equal(PMT_T, result)){
+    std::cerr << "benchmark_send: incorrect result";
+    return 1;
+  }
+
+  return 0;
+}
diff --git a/mblock/src/lib/mb_class_registry.cc b/mblock/src/lib/mb_class_registry.cc
new file mode 100644 (file)
index 0000000..7ccee29
--- /dev/null
@@ -0,0 +1,47 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <mblock/class_registry.h>
+#include <map>
+
+static std::map<std::string, mb_mblock_maker_t>        s_registry;
+
+bool
+mb_class_registry::register_maker(const std::string &name, mb_mblock_maker_t maker)
+{
+  s_registry[name] = maker;
+  return true;
+}
+
+bool
+mb_class_registry::lookup_maker(const std::string &name, mb_mblock_maker_t *maker)
+{
+  if (s_registry.count(name) == 0){  // not registered
+    *maker = (mb_mblock_maker_t) 0;
+    return false;
+  }
+
+  *maker = s_registry[name];
+  return true;
+}
diff --git a/mblock/src/lib/mb_connection.cc b/mblock/src/lib/mb_connection.cc
new file mode 100644 (file)
index 0000000..7e3bb89
--- /dev/null
@@ -0,0 +1,126 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+
+#include <mb_connection.h>
+
+bool
+mb_conn_table::lookup_conn_by_name(const std::string &component_name,
+                                  const std::string &port_name,
+                                  mb_conn_iter *itp, int *which_ep)
+{
+  mb_conn_iter end = d_connections.end();
+  for (mb_conn_iter it = d_connections.begin(); it != end; ++it){
+
+    if (it->d_ep[0].component_name() == component_name
+       && it->d_ep[0].port_name() == port_name){
+      *itp = it;
+      *which_ep = 0;
+      return true;
+    }
+
+    if (it->d_ep[1].component_name() == component_name
+       && it->d_ep[1].port_name() == port_name){
+      *itp = it;
+      *which_ep = 1;
+      return true;
+    }
+  }
+
+  return false;
+}
+
+bool
+mb_conn_table::lookup_conn_by_port(const mb_port *port,
+                                  mb_conn_iter *itp, int *which_ep)
+{
+  mb_conn_iter end = d_connections.end();
+  for (mb_conn_iter it = d_connections.begin(); it != end; ++it){
+    if (it->d_ep[0].port().get() == port){
+      *itp = it;
+      *which_ep = 0;
+      return true;
+    }
+    if (it->d_ep[1].port().get() == port){
+      *itp = it;
+      *which_ep = 1;
+      return true;
+    }
+  }
+
+  return false;
+}
+
+void
+mb_conn_table::create_conn(const mb_endpoint &ep0, const mb_endpoint &ep1)
+{
+  d_connections.push_back(mb_connection(ep0, ep1));
+}
+
+void
+mb_conn_table::disconnect(const std::string &comp_name1, const std::string &port_name1,
+                         const std::string &comp_name2, const std::string &port_name2)
+{
+  mb_conn_iter it;
+  int         which_ep;
+
+  // look for comp_name1/port_name1
+  bool found = lookup_conn_by_name(comp_name1, port_name1, &it, &which_ep);
+
+  if (!found)  // no error if not found
+    return;
+
+  // FIXME if/when we do replicated ports, we may have one-to-many,
+  // or many-to-many bindings.  For now, be paranoid
+  assert(it->d_ep[which_ep^1].component_name() == comp_name2);
+  assert(it->d_ep[which_ep^1].port_name() == port_name2);
+
+  d_connections.erase(it);             // Poof!
+}
+
+void
+mb_conn_table::disconnect_component(const std::string component_name)
+{
+  mb_conn_iter next;
+  mb_conn_iter end = d_connections.end();
+  for (mb_conn_iter it = d_connections.begin(); it != end; it = next){
+    if (it->d_ep[0].component_name() == component_name
+       || it->d_ep[1].component_name() == component_name)
+      next = d_connections.erase(it);  // Poof!
+    else
+      next = ++it;
+  }
+}
+
+void
+mb_conn_table::disconnect_all()
+{
+  d_connections.clear();               // All gone!
+}
+
+int
+mb_conn_table::nconnections() const
+{
+  return d_connections.size();
+}
diff --git a/mblock/src/lib/mb_connection.h b/mblock/src/lib/mb_connection.h
new file mode 100644 (file)
index 0000000..2aa6040
--- /dev/null
@@ -0,0 +1,78 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+
+#ifndef INCLUDED_MB_CONNECTION_H
+#define INCLUDED_MB_CONNECTION_H
+
+#include <mb_endpoint.h>
+#include <list>
+
+/*!
+ * \brief Representation of a connection
+ */
+struct mb_connection {
+  mb_endpoint  d_ep[2];
+
+  mb_connection(const mb_endpoint &ep0, const mb_endpoint &ep1){
+    d_ep[0] = ep0;
+    d_ep[1] = ep1;
+  }
+};
+
+typedef std::list<mb_connection>::iterator mb_conn_iter;
+typedef std::list<mb_connection>::const_iterator mb_conn_const_iter;
+
+/*!
+ * \brief data structure that keeps track of connections
+ */
+class mb_conn_table {
+  std::list<mb_connection> d_connections;
+
+public:
+  bool
+  lookup_conn_by_name(const std::string &component_name,
+                     const std::string &port_name,
+                     mb_conn_iter *it, int *which_ep);
+
+  bool
+  lookup_conn_by_port(const mb_port *port,
+                     mb_conn_iter *it, int *which_ep);
+
+  void
+  create_conn(const mb_endpoint &ep0, const mb_endpoint &ep1);
+
+
+  void
+  disconnect(const std::string &comp_name1, const std::string &port_name1,
+            const std::string &comp_name2, const std::string &port_name2);
+
+  void
+  disconnect_component(const std::string component_name);
+
+  void
+  disconnect_all();
+
+  int
+  nconnections() const;
+
+};
+
+#endif /* INCLUDED_MB_CONNECTION_H */
diff --git a/mblock/src/lib/mb_endpoint.cc b/mblock/src/lib/mb_endpoint.cc
new file mode 100644 (file)
index 0000000..80e05f5
--- /dev/null
@@ -0,0 +1,49 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <mb_endpoint.h>
+
+bool
+mb_endpoint::inside_of_relay_port_p() const
+{
+  return d_port->port_type() == mb_port::RELAY && d_component_name == "self";
+}
+
+pmt_t
+mb_endpoint::incoming_message_set() const
+{
+  if (inside_of_relay_port_p())                        // swap incoming and outgoing
+    return port()->outgoing_message_set();
+  else
+    return port()->incoming_message_set();
+}
+
+pmt_t
+mb_endpoint::outgoing_message_set() const
+{
+  if (inside_of_relay_port_p())                        // swap incoming and outgoing
+    return port()->incoming_message_set();
+  else
+    return port()->outgoing_message_set();
+}
diff --git a/mblock/src/lib/mb_endpoint.h b/mblock/src/lib/mb_endpoint.h
new file mode 100644 (file)
index 0000000..aae376a
--- /dev/null
@@ -0,0 +1,58 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+
+#ifndef INCLUDED_MB_ENDPOINT_H
+#define INCLUDED_MB_ENDPOINT_H
+
+#include <string>
+#include <mblock/port.h>
+
+/*!
+ * \brief Endpoint specification for connection
+ */
+class mb_endpoint
+{
+  std::string  d_component_name;
+  std::string  d_port_name;
+  mb_port_sptr d_port;                 // the port object that this maps to
+
+public:
+  mb_endpoint(){}
+
+  mb_endpoint(const std::string &component_name,
+             const std::string &port_name,
+             mb_port_sptr port)
+    : d_component_name(component_name),
+      d_port_name(port_name),
+      d_port(port) {}
+
+  const std::string &component_name() const { return d_component_name; }
+  const std::string &port_name() const { return d_port_name; }
+  mb_port_sptr port() const { return d_port; }
+
+  //! Does this endpoint represent the inside of a relay port
+  bool inside_of_relay_port_p() const;
+
+  pmt_t        incoming_message_set() const;
+  pmt_t        outgoing_message_set() const;
+};
+
+#endif /* INCLUDED_MB_ENDPOINT_H */
diff --git a/mblock/src/lib/mb_exception.cc b/mblock/src/lib/mb_exception.cc
new file mode 100644 (file)
index 0000000..8101318
--- /dev/null
@@ -0,0 +1,106 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+
+#include <mblock/exception.h>
+#include <mblock/mblock.h>
+#include <mb_util.h>
+
+
+mbe_base::mbe_base(mb_mblock *mb, const std::string &msg)
+  : logic_error(msg)   // FIXME extract block class name and id and add to msg
+{
+}
+
+mbe_not_implemented::mbe_not_implemented(mb_mblock *mb, const std::string &msg)
+  : mbe_base(mb, "Not implemented: " + msg)
+{
+}
+
+mbe_no_such_class::mbe_no_such_class(mb_mblock *mb, const std::string &class_name)
+  : mbe_base(mb, "No such class: " + class_name)
+{
+}
+
+mbe_no_such_component::mbe_no_such_component(mb_mblock *mb, const std::string &component_name)
+  : mbe_base(mb, "No such component: " + component_name)
+{
+}
+
+
+mbe_duplicate_component::mbe_duplicate_component(mb_mblock *mb, const std::string &component_name)
+  : mbe_base(mb, "Duplicate component: " + component_name)
+{
+}
+
+mbe_no_such_port::mbe_no_such_port(mb_mblock *mb, const std::string &port_name)
+  : mbe_base(mb, "No such port: " + port_name)
+{
+}
+
+mbe_duplicate_port::mbe_duplicate_port(mb_mblock *mb, const std::string &port_name)
+  : mbe_base(mb, "Duplicate port: " + port_name)
+{
+}
+
+mbe_already_connected::mbe_already_connected(mb_mblock *mb,
+                                            const std::string &comp_name,
+                                            const std::string &port_name)
+  : mbe_base(mb, "Port already connected: " + mb_util::join_names(comp_name, port_name))
+{
+}
+
+
+
+mbe_incompatible_ports::mbe_incompatible_ports(mb_mblock *mb,
+                                              const std::string &comp1_name,
+                                              const std::string &port1_name,
+                                              const std::string &comp2_name,
+                                              const std::string &port2_name)
+  : mbe_base(mb, "Incompatible ports: "
+            + mb_util::join_names(comp1_name, port1_name) + " "
+            + mb_util::join_names(comp2_name, port2_name))
+{
+}
+
+mbe_invalid_port_type::mbe_invalid_port_type(mb_mblock *mb,
+                                            const std::string &comp_name,
+                                            const std::string &port_name)
+  : mbe_base(mb, "Invalid port type for connection: " + mb_util::join_names(comp_name, port_name))
+{
+}
+
+mbe_mblock_failed::mbe_mblock_failed(mb_mblock *mb,
+                                    const std::string &msg)
+  : mbe_base(mb, "Message block failed: " + msg)
+{
+}
+
+mbe_terminate::mbe_terminate()
+{
+}
+
+mbe_exit::mbe_exit()
+{
+}
diff --git a/mblock/src/lib/mb_gettid.cc b/mblock/src/lib/mb_gettid.cc
new file mode 100644 (file)
index 0000000..9383d35
--- /dev/null
@@ -0,0 +1,53 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <mb_gettid.h>
+
+#define NEED_STUB
+
+#if defined(HAVE_SYS_SYSCALL_H) && defined(HAVE_UNISTD_H) 
+
+#include <sys/syscall.h>
+#include <unistd.h>
+
+#if defined(SYS_gettid)
+#undef NEED_STUB
+
+int mb_gettid()
+{
+  return syscall(SYS_gettid);
+}
+
+#endif
+#endif
+
+#if defined(NEED_STUB)
+
+int
+mb_gettid()
+{
+  return 0;
+}
+
+#endif
diff --git a/mblock/src/lib/mb_gettid.h b/mblock/src/lib/mb_gettid.h
new file mode 100644 (file)
index 0000000..adbad12
--- /dev/null
@@ -0,0 +1,26 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+
+/*!
+ * \brief Return Linux taskid, or 0 if not available
+ */
+int mb_gettid();
+
diff --git a/mblock/src/lib/mb_mblock.cc b/mblock/src/lib/mb_mblock.cc
new file mode 100644 (file)
index 0000000..b2f7636
--- /dev/null
@@ -0,0 +1,229 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+
+#include <mblock/mblock.h>
+#include <mb_mblock_impl.h>
+#include <mblock/runtime.h>
+#include <mblock/exception.h>
+#include <iostream>
+
+
+static pmt_t s_sys_port = pmt_intern("%sys-port");
+static pmt_t s_halt = pmt_intern("%halt");
+
+mb_visitor::~mb_visitor()
+{
+  // nop base case for virtual destructor.
+}
+
+
+mb_mblock::mb_mblock(mb_runtime *runtime,
+                    const std::string &instance_name,
+                    pmt_t user_arg)
+  : d_impl(mb_mblock_impl_sptr(
+              new mb_mblock_impl(dynamic_cast<mb_runtime_base*>(runtime),
+                                 this, instance_name)))
+{
+}
+
+mb_mblock::~mb_mblock()
+{
+}
+
+
+void
+mb_mblock::initial_transition()
+{
+  // default implementation does nothing
+}
+
+void
+mb_mblock::handle_message(mb_message_sptr msg)
+{
+  // default implementation does nothing
+}
+
+
+void
+mb_mblock::main_loop()
+{
+  while (1){
+    mb_message_sptr msg;
+    try {
+      while (1){
+       msg = impl()->msgq().get_highest_pri_msg();
+
+       // check for %halt from %sys-port
+       if (pmt_eq(msg->port_id(), s_sys_port) && pmt_eq(msg->signal(), s_halt))
+         exit();
+
+       handle_message(msg);
+      }
+    }
+    catch (pmt_exception e){
+      std::cerr << "\nmb_mblock::main_loop: ignored pmt_exception: "
+               << e.what()
+               << "\nin mblock instance \"" << instance_name()
+               << "\" while handling message:"
+               << "\n    port_id = " << msg->port_id()
+               << "\n     signal = " << msg->signal()
+               << "\n       data = " << msg->data()
+               << "\n  metatdata = " << msg->metadata() << std::endl;
+    }
+  }
+}
+
+////////////////////////////////////////////////////////////////////////
+//           Forward other methods to implementation class            //
+////////////////////////////////////////////////////////////////////////
+
+mb_port_sptr
+mb_mblock::define_port(const std::string &port_name_string,
+                      const std::string &protocol_class_name,
+                      bool conjugated,
+                      mb_port::port_type_t port_type)
+{
+  return d_impl->define_port(port_name_string, protocol_class_name,
+                            conjugated, port_type);
+}
+
+void
+mb_mblock::define_component(const std::string &component_name,
+                           const std::string &class_name,
+                           pmt_t user_arg)
+               
+{
+  d_impl->define_component(component_name, class_name, user_arg);
+}
+
+void
+mb_mblock::connect(const std::string &comp_name1, const std::string &port_name1,
+                  const std::string &comp_name2, const std::string &port_name2)
+{
+  d_impl->connect(comp_name1, port_name1,
+                 comp_name2, port_name2);
+}                              
+
+
+void
+mb_mblock::disconnect(const std::string &comp_name1, const std::string &port_name1,
+                     const std::string &comp_name2, const std::string &port_name2)
+{
+  d_impl->disconnect(comp_name1, port_name1,
+                    comp_name2, port_name2);
+}
+
+void
+mb_mblock::disconnect_component(const std::string &component_name)
+{
+  d_impl->disconnect_component(component_name);
+}
+
+void
+mb_mblock::disconnect_all()
+{
+  d_impl->disconnect_all();
+}
+
+int
+mb_mblock::nconnections() const
+{
+  return d_impl->nconnections();
+}
+
+bool
+mb_mblock::walk_tree(mb_visitor *visitor)
+{
+  return d_impl->walk_tree(visitor);
+}
+
+std::string
+mb_mblock::instance_name() const
+{
+  return d_impl->instance_name();
+}
+
+void
+mb_mblock::set_instance_name(const std::string &name)
+{
+  d_impl->set_instance_name(name);
+}
+
+std::string
+mb_mblock::class_name() const
+{
+  return d_impl->class_name();
+}
+
+void
+mb_mblock::set_class_name(const std::string &name)
+{
+  d_impl->set_class_name(name);
+}
+
+mb_mblock *
+mb_mblock::parent() const
+{
+  return d_impl->mblock_parent();
+}
+
+void
+mb_mblock::exit()
+{
+  throw mbe_exit();    // adios...
+}
+
+void
+mb_mblock::shutdown_all(pmt_t result)
+{
+  d_impl->runtime()->request_shutdown(result);
+}
+
+pmt_t
+mb_mblock::schedule_one_shot_timeout(const mb_time &abs_time, pmt_t user_data)
+{
+  mb_msg_accepter_sptr accepter = impl()->make_accepter(s_sys_port);
+  return d_impl->runtime()->schedule_one_shot_timeout(abs_time, user_data,
+                                                     accepter);
+}
+
+pmt_t
+mb_mblock::schedule_periodic_timeout(const mb_time &first_abs_time,
+                                    const mb_time &delta_time,
+                                    pmt_t user_data)
+{
+  mb_msg_accepter_sptr accepter = impl()->make_accepter(s_sys_port);
+  return d_impl->runtime()->schedule_periodic_timeout(first_abs_time,
+                                                     delta_time,
+                                                     user_data,
+                                                     accepter);
+}
+
+void
+mb_mblock::cancel_timeout(pmt_t handle)
+{
+  d_impl->runtime()->cancel_timeout(handle);
+}
+
diff --git a/mblock/src/lib/mb_mblock_impl.cc b/mblock/src/lib/mb_mblock_impl.cc
new file mode 100644 (file)
index 0000000..e11b008
--- /dev/null
@@ -0,0 +1,327 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <mb_mblock_impl.h>
+#include <mblock/mblock.h>
+#include <mblock/protocol_class.h>
+#include <mblock/port.h>
+#include <mb_port_simple.h>
+#include <mblock/exception.h>
+#include <mb_util.h>
+#include <mb_msg_accepter_smp.h>
+#include <mbi_runtime_lock.h>
+#include <iostream>
+
+
+static pmt_t s_self = pmt_intern("self");
+
+////////////////////////////////////////////////////////////////////////
+
+bool 
+mb_mblock_impl::port_is_defined(const std::string &name)
+{
+  return d_port_map.count(name) != 0;
+}
+
+bool
+mb_mblock_impl::comp_is_defined(const std::string &name)
+{
+  return name == "self" || d_comp_map.count(name) != 0;
+}
+
+////////////////////////////////////////////////////////////////////////
+
+mb_mblock_impl::mb_mblock_impl(mb_runtime_base *runtime, mb_mblock *mb,
+                              const std::string &instance_name)
+  : d_runtime(runtime), d_mb(mb), d_mb_parent(0), 
+    d_instance_name(instance_name), d_class_name("mblock")
+{
+}
+
+mb_mblock_impl::~mb_mblock_impl()
+{
+  d_mb = 0;    // we don't own it
+}
+
+
+mb_port_sptr
+mb_mblock_impl::define_port(const std::string &port_name,
+                           const std::string &protocol_class_name,
+                           bool conjugated,
+                           mb_port::port_type_t port_type)
+{
+  mbi_runtime_lock     l(this);
+
+  if (port_is_defined(port_name))
+    throw mbe_duplicate_port(d_mb, port_name);
+
+  mb_port_sptr p =
+    mb_port_sptr(new mb_port_simple(d_mb,
+                                   port_name, protocol_class_name,
+                                   conjugated, port_type));
+  d_port_map[port_name] = p;
+  return p;
+}
+
+void
+mb_mblock_impl::define_component(const std::string &name,
+                                const std::string &class_name,
+                                pmt_t user_arg)
+{
+  {
+    mbi_runtime_lock   l(this);
+
+    if (comp_is_defined(name)) // check for duplicate name
+      throw mbe_duplicate_component(d_mb, name);
+  }
+
+  // We ask the runtime to create the component so that it can worry about
+  // mblock placement on a NUMA machine or on a distributed multicomputer
+
+  mb_mblock_sptr component =
+    d_runtime->create_component(instance_name() + "/" + name,
+                               class_name, user_arg);
+  {
+    mbi_runtime_lock   l(this);
+
+    component->d_impl->d_mb_parent = d_mb;     // set component's parent link
+    d_comp_map[name] = component;
+  }
+}
+
+void
+mb_mblock_impl::connect(const std::string &comp_name1,
+                       const std::string &port_name1,
+                       const std::string &comp_name2,
+                       const std::string &port_name2)
+{
+  mbi_runtime_lock     l(this);
+
+  mb_endpoint  ep0 = check_and_resolve_endpoint(comp_name1, port_name1);
+  mb_endpoint  ep1 = check_and_resolve_endpoint(comp_name2, port_name2);
+
+  if (!endpoints_are_compatible(ep0, ep1))
+    throw mbe_incompatible_ports(d_mb,
+                                comp_name1, port_name1,
+                                comp_name2, port_name2);
+  // FIXME more checks?
+
+  d_conn_table.create_conn(ep0, ep1);
+}
+
+void
+mb_mblock_impl::disconnect(const std::string &comp_name1,
+                          const std::string &port_name1,
+                          const std::string &comp_name2,
+                          const std::string &port_name2)
+{
+  mbi_runtime_lock     l(this);
+
+  d_conn_table.disconnect(comp_name1, port_name1, comp_name2, port_name2);
+  invalidate_all_port_caches();
+}
+
+void
+mb_mblock_impl::disconnect_component(const std::string component_name)
+{
+  mbi_runtime_lock     l(this);
+
+  d_conn_table.disconnect_component(component_name);
+  invalidate_all_port_caches();
+}
+
+void
+mb_mblock_impl::disconnect_all()
+{
+  mbi_runtime_lock     l(this);
+
+  d_conn_table.disconnect_all();
+  invalidate_all_port_caches();
+}
+
+int
+mb_mblock_impl::nconnections()
+{
+  mbi_runtime_lock     l(this);
+
+  return d_conn_table.nconnections();
+}
+
+////////////////////////////////////////////////////////////////////////
+
+mb_endpoint
+mb_mblock_impl::check_and_resolve_endpoint(const std::string &comp_name,
+                                          const std::string &port_name)
+{
+  mb_conn_iter it;
+  int          which_ep;
+  mb_port_sptr port = resolve_port(comp_name, port_name);
+
+  // Confirm that we're not trying to connect to the inside of one of
+  // our EXTERNAL ports.  Connections that include "self" as the
+  // component name must be either INTERNAL or RELAY.
+
+  if (comp_name == "self" && port->port_type() == mb_port::EXTERNAL)
+    throw mbe_invalid_port_type(d_mb, comp_name, port_name);
+
+  // Is this endpoint already connected?
+  if (d_conn_table.lookup_conn_by_name(comp_name, port_name, &it, &which_ep))
+    throw mbe_already_connected(d_mb, comp_name, port_name);
+
+  return mb_endpoint(comp_name, port_name, port);
+}
+
+mb_port_sptr
+mb_mblock_impl::resolve_port(const std::string &comp_name,
+                            const std::string &port_name)
+{
+  if (comp_name == "self"){
+    // Look through our ports.
+    if (!port_is_defined(port_name))
+      throw mbe_no_such_port(d_mb, mb_util::join_names("self", port_name));
+    return d_port_map[port_name];
+  }
+  else {
+    // Look through the specified child's ports.
+    if (!comp_is_defined(comp_name))
+      throw mbe_no_such_component(d_mb, comp_name);
+    
+    mb_mblock_impl_sptr  c_impl = d_comp_map[comp_name]->d_impl;  // childs impl pointer
+    if (!c_impl->port_is_defined(port_name))
+      throw mbe_no_such_port(d_mb, mb_util::join_names(comp_name, port_name));
+
+    mb_port_sptr c_port = c_impl->d_port_map[port_name];
+
+    if (c_port->port_type() == mb_port::INTERNAL) // can't "see" a child's internal ports
+      throw mbe_no_such_port(d_mb, mb_util::join_names(comp_name, port_name));
+
+    return c_port;
+  }
+}
+
+
+
+bool
+mb_mblock_impl::endpoints_are_compatible(const mb_endpoint &ep0,
+                                        const mb_endpoint &ep1)
+{
+  pmt_t p0_outgoing = ep0.outgoing_message_set();
+  pmt_t p0_incoming = ep0.incoming_message_set();
+
+  pmt_t p1_outgoing = ep1.outgoing_message_set();
+  pmt_t p1_incoming = ep1.incoming_message_set();
+
+  return (pmt_subsetp(p0_outgoing, p1_incoming)
+         && pmt_subsetp(p1_outgoing, p0_incoming));
+}
+
+bool
+mb_mblock_impl::walk_tree(mb_visitor *visitor)
+{
+  if (!(*visitor)(d_mb))
+    return false;
+
+  mb_comp_map_t::iterator it;
+  for (it = d_comp_map.begin(); it != d_comp_map.end(); ++it)
+    if (!(it->second->walk_tree(visitor)))
+      return false;
+
+  return true;
+}
+
+mb_msg_accepter_sptr
+mb_mblock_impl::make_accepter(pmt_t port_name)
+{
+  // FIXME this should probably use some kind of configurable factory
+  mb_msg_accepter *ma =
+    new mb_msg_accepter_smp(d_mb->shared_from_this(), port_name);
+
+  return mb_msg_accepter_sptr(ma);
+}
+
+bool
+mb_mblock_impl::lookup_other_endpoint(const mb_port *port, mb_endpoint *ep)
+{
+  mb_conn_iter it;
+  int          which_ep = 0;
+
+  if (!d_conn_table.lookup_conn_by_port(port, &it, &which_ep))
+    return false;
+  
+  *ep = it->d_ep[which_ep^1];
+  return true;
+}
+
+mb_mblock_sptr
+mb_mblock_impl::component(const std::string &comp_name)
+{
+  if (comp_name == "self")
+    return d_mb->shared_from_this();
+
+  if (d_comp_map.count(comp_name) == 0)
+    return mb_mblock_sptr();   // null pointer
+
+  return d_comp_map[comp_name];
+}
+
+void
+mb_mblock_impl::set_instance_name(const std::string &name)
+{
+  d_instance_name = name;
+}
+
+void
+mb_mblock_impl::set_class_name(const std::string &name)
+{
+  d_class_name = name;
+}
+
+/*
+ * This is the "Big Hammer" port cache invalidator.
+ * It invalidates _all_ of the port caches in the entire mblock tree.
+ * It's overkill, but was simple to code.
+ */
+void
+mb_mblock_impl::invalidate_all_port_caches()
+{
+  class invalidator : public mb_visitor
+  {
+  public:
+    bool operator()(mb_mblock *mblock)
+    {
+      mb_mblock_impl_sptr impl = mblock->impl();
+      mb_port_map_t::iterator it = impl->d_port_map.begin();
+      mb_port_map_t::iterator end = impl->d_port_map.end();
+      for (; it != end; ++it)
+       it->second->invalidate_cache();
+      return true;
+    }
+  };
+
+  invalidator visitor;
+
+  // Always true, except in early QA code
+  if (runtime()->top())
+    runtime()->top()->walk_tree(&visitor);
+}
diff --git a/mblock/src/lib/mb_mblock_impl.h b/mblock/src/lib/mb_mblock_impl.h
new file mode 100644 (file)
index 0000000..9914579
--- /dev/null
@@ -0,0 +1,226 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006,2007,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+#ifndef INCLUDED_MB_MBLOCK_IMPL_H
+#define INCLUDED_MB_MBLOCK_IMPL_H
+
+#include <mblock/mblock.h>
+#include <mb_runtime_base.h>
+#include <mb_connection.h>
+#include <mblock/msg_queue.h>
+#include <list>
+#include <map>
+
+
+typedef std::map<std::string, mb_port_sptr>   mb_port_map_t;
+typedef std::map<std::string, mb_mblock_sptr> mb_comp_map_t;
+
+
+/*!
+ * \brief The private implementation details of the mblock system.
+ */
+class mb_mblock_impl : boost::noncopyable
+{
+  mb_runtime_base             *d_runtime;      // pointer to runtime
+  mb_mblock                   *d_mb;           // pointer to our associated mblock
+  mb_mblock                   *d_mb_parent;    // pointer to our parent
+
+  std::string                  d_instance_name;    // hierarchical name
+  std::string                  d_class_name;       // name of this (derived) class
+
+  mb_port_map_t                        d_port_map;     // our ports
+  mb_comp_map_t                        d_comp_map;     // our components
+  mb_conn_table                        d_conn_table;   // our connections
+
+  mb_msg_queue                 d_msgq;         // incoming messages for us
+
+public:
+  mb_mblock_impl(mb_runtime_base *runtime, mb_mblock *mb,
+                const std::string &instance_name);
+  ~mb_mblock_impl();
+
+  /*!
+   * \brief Define a port.
+   *
+   * EXTERNAL and RELAY ports are part of our peer interface.
+   * INTERNAL ports are used to talk to sub-components.
+   *
+   * \param port_name    The name of the port (must be unique within this mblock).
+   * \param protocol_class_name        The name of the protocol class associated with
+   *                           this port.  It must already be defined.
+   * \param conjugated   Are the incoming and outgoing message sets swapped?
+   * \param port_type    INTERNAL, EXTERNAL or RELAY.
+   */
+  mb_port_sptr
+  define_port(const std::string &port_name,
+             const std::string &protocol_class_name,
+             bool conjugated,
+             mb_port::port_type_t port_type);
+
+  /*!
+   * \brief Define a subcomponent by name.
+   *
+   * Called within the constructor to tell the system the
+   * names and identities of our sub-component mblocks.
+   *
+   * \param component_name  The name of the sub-component (must be unique with this mblock).
+   * \param class_name      The class of the instance that is to be created.
+   * \param user_arg The argument to pass to the constructor of the component.
+   */
+  void
+  define_component(const std::string &component_name,
+                  const std::string &class_name,
+                  pmt_t user_arg);
+
+  /*!
+   * \brief connect endpoint_1 to endpoint_2
+   *
+   * \param comp_name1  component on one end of the connection
+   * \param port_name1  the name of the port on comp1
+   * \param comp_name2  component on the other end of the connection
+   * \param port_name2  the name of the port on comp2
+   *
+   * An endpoint is specified by the component's local name (given as
+   * component_name in the call to register_component) and the name of
+   * the port on that component.
+   *
+   * To connect an internal or relay port, use "self" as the component name.
+   */
+  void
+  connect(const std::string &comp_name1, const std::string &port_name1,
+         const std::string &comp_name2, const std::string &port_name2);
+
+  /*!
+   * \brief disconnect endpoint_1 from endpoint_2
+   *
+   * \param comp_name1  component on one end of the connection
+   * \param port_name1  the name of the port on comp1
+   * \param comp_name2  component on the other end of the connection
+   * \param port_name2  the name of the port on comp2
+   *
+   * An endpoint is specified by the component's local name (given as
+   * component_name in the call to register_component) and the name of
+   * the port on that component.
+   *
+   * To disconnect an internal or relay port, use "self" as the component name.
+   */
+  void
+  disconnect(const std::string &comp_name1, const std::string &port_name1,
+            const std::string &comp_name2, const std::string &port_name2);
+
+  /*!
+   * \brief disconnect all connections to specified component
+   * \param component_name component to disconnect
+   */
+  void
+  disconnect_component(const std::string component_name);
+
+  /*!
+   * \brief disconnect all connections to all components
+   */
+  void
+  disconnect_all();
+
+  /*!
+   * \brief Return number of connections (QA mostly)
+   */
+  int
+  nconnections();
+
+  bool
+  walk_tree(mb_visitor *visitor);
+  
+  mb_msg_accepter_sptr
+  make_accepter(pmt_t port_name);
+
+  mb_msg_queue &
+  msgq() { return d_msgq; }
+
+  //! Return instance name of this block
+  std::string instance_name() const { return d_instance_name; }
+
+  //! Set the instance name of this block
+  void set_instance_name(const std::string &name);
+
+  //! Return the class name of this block
+  std::string class_name() const { return d_class_name; }
+
+  //! Set the class name
+  void set_class_name(const std::string &name);
+
+  /*!
+   * \brief If bound, store endpoint from the other end of the connection.
+   *
+   * \param port [in]  port the port that we're searching for.
+   * \param ep   [out] the other end point from the matching connection.
+   *
+   * \returns true iff there's a matching connection.
+   */
+  bool
+  lookup_other_endpoint(const mb_port *port, mb_endpoint *ep);
+
+
+  //! Return point to associated mblock
+  mb_mblock *mblock() const { return d_mb; }
+
+  //! Return pointer to the parent of our mblock
+  mb_mblock *mblock_parent() const { return d_mb_parent; }
+
+  //! Lookup a component by name
+  mb_mblock_sptr component(const std::string &comp_name);
+
+  //! Return the runtime instance
+  mb_runtime_base *runtime() { return d_runtime; }
+
+  //! Set the runtime instance
+  void set_runtime(mb_runtime_base *runtime) { d_runtime = runtime; }
+
+  /*
+   * Our implementation methods
+   */
+private:
+  //bool port_is_defined(pmt_t name);
+  bool port_is_defined(const std::string &name);
+  //bool comp_is_defined(pmt_t name);
+  bool comp_is_defined(const std::string &name);
+
+  mb_endpoint 
+  check_and_resolve_endpoint(const std::string &comp_name,
+                            const std::string &port_name);
+
+
+  mb_port_sptr
+  resolve_port(const std::string &comp_name,
+              const std::string &port_name);
+
+  static bool
+  endpoints_are_compatible(const mb_endpoint &ep0,
+                          const mb_endpoint &ep1);
+
+  /*!
+   * \brief walk mblock tree and invalidate all port resolution caches.
+   * \internal
+   */
+  void
+  invalidate_all_port_caches();
+};
+
+
+#endif /* INCLUDED_MB_MBLOCK_IMPL_H */
diff --git a/mblock/src/lib/mb_message.cc b/mblock/src/lib/mb_message.cc
new file mode 100644 (file)
index 0000000..664e369
--- /dev/null
@@ -0,0 +1,84 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <mblock/message.h>
+#include <stdio.h>
+#include <pmt_pool.h>
+
+static const int CACHE_LINE_SIZE = 64; // good guess
+static const int MAX_MESSAGES =  1024; // KLUDGE max number of messages in sys
+                                       //   0 -> no limit
+#if MB_MESSAGE_LOCAL_ALLOCATOR
+
+static pmt_pool 
+global_msg_pool(sizeof(mb_message), CACHE_LINE_SIZE, 16*1024, MAX_MESSAGES);
+
+void *
+mb_message::operator new(size_t size)
+{
+  void *p = global_msg_pool.malloc();
+
+  // fprintf(stderr, "mb_message::new p = %p\n", p);
+  assert((reinterpret_cast<intptr_t>(p) & (CACHE_LINE_SIZE - 1)) == 0);
+  return p;
+}
+
+void
+mb_message::operator delete(void *p, size_t size)
+{
+  global_msg_pool.free(p);
+}
+
+#endif
+
+
+mb_message_sptr
+mb_make_message(pmt_t signal, pmt_t data, pmt_t metadata, mb_pri_t priority)
+{
+  return mb_message_sptr(new mb_message(signal, data, metadata, priority));
+}
+
+mb_message::mb_message(pmt_t signal, pmt_t data, pmt_t metadata, mb_pri_t priority)
+  : d_signal(signal), d_data(data), d_metadata(metadata), d_priority(priority),
+    d_port_id(PMT_NIL)
+{
+}
+
+mb_message::~mb_message()
+{
+  // NOP
+}
+
+std::ostream& 
+operator<<(std::ostream& os, const mb_message &msg)
+{
+  os << "<msg: signal=" << msg.signal()
+     << " port_id=" << msg.port_id()
+     << " data=" << msg.data()
+     << " metadata=" << msg.metadata()
+     << " pri=" << msg.priority()
+     << ">";
+  
+  return os;
+}
diff --git a/mblock/src/lib/mb_msg_accepter.cc b/mblock/src/lib/mb_msg_accepter.cc
new file mode 100644 (file)
index 0000000..88b9239
--- /dev/null
@@ -0,0 +1,31 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+
+#include <mblock/msg_accepter.h>
+
+mb_msg_accepter::~mb_msg_accepter()
+{
+  // nop
+}
diff --git a/mblock/src/lib/mb_msg_accepter_msgq.cc b/mblock/src/lib/mb_msg_accepter_msgq.cc
new file mode 100644 (file)
index 0000000..fb97914
--- /dev/null
@@ -0,0 +1,46 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <mb_msg_accepter_msgq.h>
+#include <mblock/message.h>
+
+pmt_t s_sys_port = pmt_intern("%sys-port");
+
+mb_msg_accepter_msgq::mb_msg_accepter_msgq(mb_msg_queue *msgq)
+  : d_msgq(msgq)
+{
+}
+
+mb_msg_accepter_msgq::~mb_msg_accepter_msgq()
+{
+}
+
+void
+mb_msg_accepter_msgq::operator()(pmt_t signal, pmt_t data,
+                                pmt_t metadata, mb_pri_t priority)
+{
+  mb_message_sptr msg = mb_make_message(signal, data, metadata, priority);
+  msg->set_port_id(s_sys_port);
+  d_msgq->insert(msg);
+}
diff --git a/mblock/src/lib/mb_msg_accepter_msgq.h b/mblock/src/lib/mb_msg_accepter_msgq.h
new file mode 100644 (file)
index 0000000..6c743bb
--- /dev/null
@@ -0,0 +1,39 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+#ifndef INCLUDED_MB_MSG_ACCEPTER_MSGQ_H
+#define INCLUDED_MB_MSG_ACCEPTER_MSGQ_H
+
+#include <mblock/msg_accepter.h>
+#include <mblock/msg_queue.h>
+
+/*!
+ * \brief Concrete class that accepts messages and inserts them into a message queue.
+ */
+class mb_msg_accepter_msgq : public mb_msg_accepter {
+  mb_msg_queue *d_msgq;
+
+public:
+  mb_msg_accepter_msgq(mb_msg_queue *msgq);
+  ~mb_msg_accepter_msgq();
+  void operator()(pmt_t signal, pmt_t data, pmt_t metadata, mb_pri_t priority);
+};
+
+#endif /* INCLUDED_MB_MSG_ACCEPTER_MSGQ_H */
diff --git a/mblock/src/lib/mb_msg_accepter_smp.cc b/mblock/src/lib/mb_msg_accepter_smp.cc
new file mode 100644 (file)
index 0000000..3b392a8
--- /dev/null
@@ -0,0 +1,48 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <mb_msg_accepter_smp.h>
+#include <mblock/common.h>
+#include <mblock/mblock.h>
+#include <mb_mblock_impl.h>
+#include <mblock/message.h>
+
+mb_msg_accepter_smp::mb_msg_accepter_smp(mb_mblock_sptr mblock, pmt_t port_name)
+  : d_mb(mblock), d_port_name(port_name)
+{
+}
+
+mb_msg_accepter_smp::~mb_msg_accepter_smp()
+{
+  // nop
+}
+
+void
+mb_msg_accepter_smp::operator()(pmt_t signal, pmt_t data,
+                               pmt_t metadata, mb_pri_t priority)
+{
+  mb_message_sptr msg = mb_make_message(signal, data, metadata, priority);
+  msg->set_port_id(d_port_name);
+  d_mb->impl()->msgq().insert(msg);
+}
diff --git a/mblock/src/lib/mb_msg_accepter_smp.h b/mblock/src/lib/mb_msg_accepter_smp.h
new file mode 100644 (file)
index 0000000..0e0cd7c
--- /dev/null
@@ -0,0 +1,42 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+#ifndef INCLUDED_MB_MSG_ACCEPTER_SMP_H
+#define INCLUDED_MB_MSG_ACCEPTER_SMP_H
+
+#include <mblock/msg_accepter.h>
+
+/*!
+ * \brief Concrete message acceptor that does an mb_msg_queue insertion
+ */
+class mb_msg_accepter_smp : public mb_msg_accepter
+{
+  mb_mblock_sptr       d_mb;
+  pmt_t                        d_port_name;
+  
+public:
+  mb_msg_accepter_smp(mb_mblock_sptr mblock, pmt_t port_name);
+  ~mb_msg_accepter_smp();
+
+  void operator()(pmt_t signal, pmt_t data, pmt_t metadata, mb_pri_t priority);
+};
+
+
+#endif /* INCLUDED_MB_MSG_ACCEPTER_SMP_H */
diff --git a/mblock/src/lib/mb_msg_queue.cc b/mblock/src/lib/mb_msg_queue.cc
new file mode 100644 (file)
index 0000000..c68c5fd
--- /dev/null
@@ -0,0 +1,128 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <mblock/msg_queue.h>
+#include <mblock/message.h>
+
+
+mb_msg_queue::mb_msg_queue()
+  : d_not_empty(&d_mutex)
+{
+}
+
+mb_msg_queue::~mb_msg_queue()
+{
+}
+
+void
+mb_msg_queue::insert(mb_message_sptr msg)
+{
+  omni_mutex_lock      l(d_mutex);
+  
+  mb_pri_t q = mb_pri_clamp(msg->priority());
+
+  if (d_queue[q].empty_p()){
+    d_queue[q].tail = d_queue[q].head = msg;
+    msg->d_next.reset();       //msg->d_next = 0;
+  }
+  else {
+    d_queue[q].tail->d_next = msg;
+    d_queue[q].tail = msg;
+    msg->d_next.reset();       // msg->d_next = 0;
+  }
+
+  // FIXME set bit in bitmap
+
+  d_not_empty.signal();
+}
+
+/*
+ * Delete highest pri message from the queue and return it.
+ * Returns equivalent of zero pointer if queue is empty.
+ *
+ * Caller must be holding d_mutex
+ */
+mb_message_sptr
+mb_msg_queue::get_highest_pri_msg_helper()
+{
+  // FIXME use bitmap and ffz to find best queue in O(1)
+
+  for (mb_pri_t q = 0; q <= MB_PRI_WORST; q++){
+
+    if (!d_queue[q].empty_p()){
+      mb_message_sptr msg = d_queue[q].head;
+      d_queue[q].head = msg->d_next;
+      if (d_queue[q].head == 0){
+       d_queue[q].tail.reset();        // d_queue[q].tail = 0;
+       // FIXME clear bit in bitmap
+      }
+
+      msg->d_next.reset();             // msg->d_next = 0;
+      return msg;
+    }
+  }
+
+  return mb_message_sptr();            // eqv to a zero pointer
+}
+
+
+mb_message_sptr
+mb_msg_queue::get_highest_pri_msg_nowait()
+{
+  omni_mutex_lock      l(d_mutex);
+
+  return get_highest_pri_msg_helper();
+}
+
+mb_message_sptr
+mb_msg_queue::get_highest_pri_msg()
+{
+  omni_mutex_lock l(d_mutex);
+
+  while (1){
+    mb_message_sptr msg = get_highest_pri_msg_helper();
+    if (msg)                   // Got one; return it
+      return msg;
+
+    d_not_empty.wait();                // Wait for something
+  }
+}
+
+mb_message_sptr
+mb_msg_queue::get_highest_pri_msg_timedwait(const mb_time &abs_time)
+{
+  unsigned long secs  = abs_time.d_secs;
+  unsigned long nsecs = abs_time.d_nsecs;
+
+  omni_mutex_lock l(d_mutex);
+
+  while (1){
+    mb_message_sptr msg = get_highest_pri_msg_helper();
+    if (msg)                   // Got one; return it
+      return msg;
+
+    if (!d_not_empty.timedwait(secs, nsecs))   // timed out
+      return mb_message_sptr();                        // eqv to zero pointer
+  }
+}
diff --git a/mblock/src/lib/mb_port.cc b/mblock/src/lib/mb_port.cc
new file mode 100644 (file)
index 0000000..a13f49f
--- /dev/null
@@ -0,0 +1,67 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+
+#include <mblock/port.h>
+#include <mblock/protocol_class.h>
+
+mb_port::mb_port(mb_mblock *mblock,
+                const std::string &port_name,
+                const std::string &protocol_class_name,
+                bool conjugated,
+                mb_port::port_type_t port_type)
+  : d_port_name(port_name), d_port_symbol(pmt_intern(port_name)),
+    d_conjugated(conjugated), d_port_type(port_type),
+    d_mblock(mblock)
+{
+  pmt_t pc = mb_protocol_class_lookup(pmt_intern(protocol_class_name));
+  if (pmt_is_null(pc)){
+    throw std::runtime_error("mb_port: unknown protocol class '"
+                            + protocol_class_name + "'");
+  }
+  d_protocol_class = pc;
+}
+
+mb_port::~mb_port()
+{
+  // nop
+}
+
+pmt_t
+mb_port::incoming_message_set() const
+{
+  if (!conjugated())
+    return mb_protocol_class_incoming(protocol_class());
+  else // swap the sets
+    return mb_protocol_class_outgoing(protocol_class());
+}
+
+pmt_t
+mb_port::outgoing_message_set() const
+{
+  if (!conjugated())
+    return mb_protocol_class_outgoing(protocol_class());
+  else // swap the sets
+    return mb_protocol_class_incoming(protocol_class());
+}
diff --git a/mblock/src/lib/mb_port_simple.cc b/mblock/src/lib/mb_port_simple.cc
new file mode 100644 (file)
index 0000000..1b4b35c
--- /dev/null
@@ -0,0 +1,151 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+
+#include <mb_port_simple.h>
+#include <mblock/msg_accepter.h>
+#include <mblock/exception.h>
+#include <mblock/mblock.h>
+#include <mb_mblock_impl.h>
+#include <assert.h>
+#include <mbi_runtime_lock.h>
+
+
+mb_port_simple::mb_port_simple(mb_mblock *mblock,
+                              const std::string &port_name,
+                              const std::string &protocol_class_name,
+                              bool conjugated,
+                              mb_port::port_type_t port_type)
+  : mb_port(mblock, port_name, protocol_class_name, conjugated, port_type),
+    d_cache_valid(false)
+{
+}
+
+mb_port_simple::~mb_port_simple()
+{
+  // nop
+}
+
+void
+mb_port_simple::send(pmt_t signal, pmt_t data, pmt_t metadata, mb_pri_t priority)
+{
+  if (port_type() == mb_port::RELAY)  // Can't send directly to a RELAY port
+    throw mbe_invalid_port_type(mblock(), mblock()->instance_name(), port_name());
+
+  mb_msg_accepter_sptr  accepter = find_accepter(this);
+  if (accepter)
+    (*accepter)(signal, data, metadata, priority);
+}
+
+
+mb_msg_accepter_sptr
+mb_port_simple::find_accepter(mb_port_simple *start)
+{
+  mb_port_simple       *p = start;
+  mb_port_simple       *pp = 0;
+  mb_mblock            *context = 0;
+  mb_endpoint          peer_ep;
+  mb_msg_accepter_sptr r;
+
+  if (start->d_cache_valid)
+    return start->d_cached_accepter;
+
+  mbi_runtime_lock     l(p->mblock());
+
+  // Set up initial context.
+
+  switch(p->port_type()){
+  case mb_port::INTERNAL:      // binding is in our name space
+    context = p->mblock();
+    break;
+
+  case mb_port::EXTERNAL:      // binding is in parent's name space
+    context = p->mblock()->parent();
+    if (!context)                      // can't be bound if there's no parent
+      return mb_msg_accepter_sptr();   // not bound
+    break;
+
+  default:
+    throw std::logic_error("Can't happen: mb_port_simple::find_accepter [1]");
+  }
+
+
+ traverse:
+
+  if (!context->impl()->lookup_other_endpoint(p, &peer_ep))
+    return mb_msg_accepter_sptr();     // not bound
+  
+  pp = dynamic_cast<mb_port_simple *>(peer_ep.port().get());   // peer port
+  assert(pp);
+
+  switch (pp->port_type()){    
+  case mb_port::INTERNAL:      // Terminate here.
+  case mb_port::EXTERNAL:
+    r = pp->make_accepter();
+
+    // cache the result
+
+    start->d_cached_accepter = r;
+    start->d_cache_valid = true;
+    return r;
+
+  case mb_port::RELAY:         // Traverse to other side of relay port.
+    if (peer_ep.inside_of_relay_port_p()){
+      // We're on inside of relay port, headed out.
+      p = pp;
+      context = p->mblock()->parent();
+
+      // Corner case: we're attempting to traverse a relay port on the border
+      // of the top block...
+      if (!context)
+       return mb_msg_accepter_sptr();  // not bound
+
+      goto traverse;
+    }
+    else {
+      // We're on the outside of relay port, headed in.
+      p = pp;
+      context = p->mblock();
+      goto traverse;
+    }
+    break;
+
+  default:
+    throw std::logic_error("Can't happen: mb_port_simple::find_accepter [2]");
+  }
+}
+
+
+mb_msg_accepter_sptr
+mb_port_simple::make_accepter()
+{
+  return d_mblock->impl()->make_accepter(port_symbol());
+}
+
+void
+mb_port_simple::invalidate_cache()
+{
+  d_cache_valid = false;
+  d_cached_accepter.reset();
+}
diff --git a/mblock/src/lib/mb_port_simple.h b/mblock/src/lib/mb_port_simple.h
new file mode 100644 (file)
index 0000000..87b7654
--- /dev/null
@@ -0,0 +1,72 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+#ifndef INCLUDED_MB_PORT_SIMPLE_H
+#define INCLUDED_MB_PORT_SIMPLE_H
+
+#include <mblock/port.h>
+
+/*!
+ * \brief Concrete port realization
+ */
+class mb_port_simple : public mb_port
+{
+  bool                 d_cache_valid;
+  mb_msg_accepter_sptr d_cached_accepter;
+
+protected:
+  static mb_msg_accepter_sptr
+  find_accepter(mb_port_simple *start);
+
+  mb_msg_accepter_sptr
+  make_accepter();
+
+public:
+  mb_port_simple(mb_mblock *mblock,
+                const std::string &port_name,
+                const std::string &protocol_class_name,
+                bool conjugated,
+                mb_port::port_type_t port_type);
+
+  ~mb_port_simple();
+
+  /*!
+   * \brief send a message
+   *
+   * \param signal     the event name
+   * \param data       optional data
+   * \param metadata   optional metadata
+   * \param priority   the urgency at which the message is sent
+   */
+  void
+  send(pmt_t signal,
+       pmt_t data = PMT_NIL,
+       pmt_t metadata = PMT_NIL,
+       mb_pri_t priority = MB_PRI_DEFAULT);
+
+  /*
+   * \brief Invalidate any cached peer resolutions
+   * \internal
+   */
+  void invalidate_cache();
+
+};
+
+#endif /* INCLUDED_MB_PORT_SIMPLE_H */
diff --git a/mblock/src/lib/mb_protocol_class.cc b/mblock/src/lib/mb_protocol_class.cc
new file mode 100644 (file)
index 0000000..f076909
--- /dev/null
@@ -0,0 +1,105 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+
+#include <mblock/protocol_class.h>
+#include <iostream>
+
+static pmt_t s_ALL_PROTOCOL_CLASSES = PMT_NIL;
+
+pmt_t 
+mb_make_protocol_class(pmt_t name, pmt_t incoming, pmt_t outgoing)
+{
+  // (protocol-class <name> <incoming> <outgoing>)
+
+  if (!pmt_is_symbol(name))
+    throw pmt_wrong_type("mb_make_protocol_class: NAME must be symbol", name);
+  if (!(pmt_is_pair(incoming) || pmt_is_null(incoming)))
+    throw pmt_wrong_type("mb_make_protocol_class: INCOMING must be a list", name);
+  if (!(pmt_is_pair(outgoing) || pmt_is_null(outgoing)))
+    throw pmt_wrong_type("mb_make_protocol_class: OUTGOING must be a list", name);
+
+  pmt_t t = pmt_cons(pmt_intern("protocol-class"),
+                    pmt_cons(name,
+                             pmt_cons(incoming,
+                                      pmt_cons(outgoing, PMT_NIL))));
+
+  // Remember this protocol class.
+  s_ALL_PROTOCOL_CLASSES = pmt_cons(t, s_ALL_PROTOCOL_CLASSES);
+  return t;
+}
+
+pmt_t
+mb_protocol_class_name(pmt_t pc)
+{
+  return pmt_nth(1, pc);
+}
+
+pmt_t
+mb_protocol_class_incoming(pmt_t pc)
+{
+  return pmt_nth(2, pc);
+}
+
+pmt_t
+mb_protocol_class_outgoing(pmt_t pc)
+{
+  return pmt_nth(3, pc);
+}
+
+pmt_t
+mb_protocol_class_lookup(pmt_t name)
+{
+  pmt_t lst = s_ALL_PROTOCOL_CLASSES;
+
+  while (pmt_is_pair(lst)){
+    if (pmt_eq(name, mb_protocol_class_name(pmt_car(lst))))
+      return pmt_car(lst);
+    lst = pmt_cdr(lst);
+  }
+
+  return PMT_NIL;
+}
+
+mb_protocol_class_init::mb_protocol_class_init(const char *data, size_t len)
+{
+  std::stringbuf sb;
+  sb.str(std::string(data, len));
+
+  while (1){
+    pmt_t obj = pmt_deserialize(sb);
+
+    if (0){
+      pmt_write(obj, std::cout);
+      std::cout << std::endl;
+    }
+
+    if (pmt_is_eof_object(obj))
+      return;
+
+    mb_make_protocol_class(pmt_nth(0, obj),   // protocol-class name
+                          pmt_nth(1, obj),   // list of incoming msg names
+                          pmt_nth(2, obj));  // list of outgoing msg names
+  }
+}
diff --git a/mblock/src/lib/mb_runtime.cc b/mblock/src/lib/mb_runtime.cc
new file mode 100644 (file)
index 0000000..57a05c4
--- /dev/null
@@ -0,0 +1,39 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+
+#include <mblock/runtime.h>
+#include <mb_runtime_thread_per_block.h>
+
+mb_runtime_sptr
+mb_make_runtime()
+{
+  return mb_runtime_sptr(new mb_runtime_thread_per_block());
+}
+
+mb_runtime::~mb_runtime()
+{
+  // nop
+}
+
diff --git a/mblock/src/lib/mb_runtime_base.cc b/mblock/src/lib/mb_runtime_base.cc
new file mode 100644 (file)
index 0000000..1dea4d4
--- /dev/null
@@ -0,0 +1,57 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <mb_runtime_base.h>
+
+/*
+ * Default nop implementations...
+ */
+
+void
+mb_runtime_base::request_shutdown(pmt_t result)
+{
+}
+
+pmt_t
+mb_runtime_base::schedule_one_shot_timeout(const mb_time &abs_time,
+                                          pmt_t user_data,
+                                          mb_msg_accepter_sptr accepter)
+{
+  return PMT_F;
+}
+
+pmt_t
+mb_runtime_base::schedule_periodic_timeout(const mb_time &first_abs_time,
+                                          const mb_time &delta_time,
+                                          pmt_t user_data,
+                                          mb_msg_accepter_sptr accepter)
+{
+  return PMT_F;
+}
+
+void
+mb_runtime_base::cancel_timeout(pmt_t handle)
+{
+}
+
diff --git a/mblock/src/lib/mb_runtime_base.h b/mblock/src/lib/mb_runtime_base.h
new file mode 100644 (file)
index 0000000..98b5f3e
--- /dev/null
@@ -0,0 +1,78 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+
+#ifndef INCLUDED_MB_RUNTIME_BASE_H
+#define INCLUDED_MB_RUNTIME_BASE_H
+
+#include <mblock/runtime.h>
+#include <gnuradio/omnithread.h>
+#include <mblock/time.h>
+
+/*
+ * \brief This is the runtime class used by the implementation.
+ */
+class mb_runtime_base : public mb_runtime
+{
+  omni_mutex           d_brl;  // big runtime lock (avoid using this if possible...)
+
+protected:
+  mb_msg_accepter_sptr  d_accepter;
+
+public:
+
+  /*!
+   * \brief lock the big runtime lock
+   * \internal
+   */
+  inline void lock() { d_brl.lock(); }
+
+  /*!
+   * \brief unlock the big runtime lock
+   * \internal
+   */
+  inline void unlock() { d_brl.unlock(); }
+
+  virtual void request_shutdown(pmt_t result);
+
+  virtual mb_mblock_sptr
+  create_component(const std::string &instance_name,
+                  const std::string &class_name,
+                  pmt_t user_arg) = 0;
+
+  virtual pmt_t
+  schedule_one_shot_timeout(const mb_time &abs_time, pmt_t user_data,
+                           mb_msg_accepter_sptr accepter);
+
+  virtual pmt_t
+  schedule_periodic_timeout(const mb_time &first_abs_time,
+                           const mb_time &delta_time,
+                           pmt_t user_data,
+                           mb_msg_accepter_sptr accepter);
+  virtual void
+  cancel_timeout(pmt_t handle);
+
+  mb_msg_accepter_sptr
+  accepter() { return d_accepter; }
+  
+};
+
+
+#endif /* INCLUDED_MB_RUNTIME_BASE_H */
diff --git a/mblock/src/lib/mb_runtime_nop.cc b/mblock/src/lib/mb_runtime_nop.cc
new file mode 100644 (file)
index 0000000..603d5ad
--- /dev/null
@@ -0,0 +1,84 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <mb_runtime_nop.h>
+#include <mblock/mblock.h>
+#include <mblock/class_registry.h>
+#include <mblock/exception.h>
+
+mb_runtime_sptr 
+mb_make_runtime_nop()
+{
+  return mb_runtime_sptr(new mb_runtime_nop());
+}
+
+
+mb_runtime_nop::mb_runtime_nop()
+{
+  // nop for now
+}
+
+mb_runtime_nop::~mb_runtime_nop()
+{
+  // nop for now
+}
+
+
+bool
+mb_runtime_nop::run(const std::string &instance_name,
+                   const std::string &class_name,
+                   pmt_t user_arg, pmt_t *result)
+{
+  class initial_visitor : public mb_visitor
+  {
+  public:
+    bool operator()(mb_mblock *mblock)
+    {
+      mblock->initial_transition();
+      return true;
+    }
+  };
+
+  initial_visitor visitor;
+
+  if (result)
+    *result = PMT_T;
+
+  d_top = create_component(instance_name, class_name, user_arg);
+  d_top->walk_tree(&visitor);
+
+  return true;
+}
+
+mb_mblock_sptr
+mb_runtime_nop::create_component(const std::string &instance_name,
+                                const std::string &class_name,
+                                pmt_t user_arg)
+{
+  mb_mblock_maker_t maker;
+  if (!mb_class_registry::lookup_maker(class_name, &maker))
+    throw mbe_no_such_class(0, class_name + " (in " + instance_name + ")");
+
+  return maker(this, instance_name, user_arg);
+}
diff --git a/mblock/src/lib/mb_runtime_nop.h b/mblock/src/lib/mb_runtime_nop.h
new file mode 100644 (file)
index 0000000..9d1f574
--- /dev/null
@@ -0,0 +1,52 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+#ifndef INCLUDED_MB_RUNTIME_NOP_H
+#define INCLUDED_MB_RUNTIME_NOP_H
+
+#include <mb_runtime_base.h>
+
+/*!
+ * \brief Public constructor (factory) for mb_runtime_nop objects.
+ */
+mb_runtime_sptr mb_make_runtime_nop();
+
+/*!
+ * \brief Concrete runtime that does nothing.  Used only during early QA tests.
+ */
+class mb_runtime_nop : public mb_runtime_base
+{
+public:
+  mb_runtime_nop();
+  ~mb_runtime_nop();
+
+  bool run(const std::string &instance_name,
+          const std::string &class_name,
+          pmt_t user_arg,
+          pmt_t *result);
+
+protected:
+  mb_mblock_sptr
+  create_component(const std::string &instance_name,
+                  const std::string &class_name,
+                  pmt_t user_arg);
+};
+
+#endif /* INCLUDED_MB_RUNTIME_NOP_H */
diff --git a/mblock/src/lib/mb_runtime_thread_per_block.cc b/mblock/src/lib/mb_runtime_thread_per_block.cc
new file mode 100644 (file)
index 0000000..ed292ee
--- /dev/null
@@ -0,0 +1,349 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <mb_runtime_thread_per_block.h>
+#include <mblock/mblock.h>
+#include <mb_mblock_impl.h>
+#include <mblock/class_registry.h>
+#include <mblock/exception.h>
+#include <mb_worker.h>
+#include <gnuradio/omnithread.h>
+#include <iostream>
+#include <mb_msg_accepter_msgq.h>
+
+
+static pmt_t s_halt = pmt_intern("%halt");
+static pmt_t s_sys_port = pmt_intern("%sys-port");
+static pmt_t s_shutdown = pmt_intern("%shutdown");
+static pmt_t s_request_shutdown = pmt_intern("%request-shutdown");
+static pmt_t s_worker_state_changed = pmt_intern("%worker-state-changed");
+static pmt_t s_timeout = pmt_intern("%timeout");
+static pmt_t s_request_timeout = pmt_intern("%request-timeout");
+static pmt_t s_cancel_timeout = pmt_intern("%cancel-timeout");
+static pmt_t s_send_halt = pmt_intern("send-halt");
+static pmt_t s_exit_now = pmt_intern("exit-now");
+
+static void
+send_sys_msg(mb_msg_queue &msgq, pmt_t signal,
+            pmt_t data = PMT_F, pmt_t metadata = PMT_F,
+            mb_pri_t priority = MB_PRI_BEST)
+{
+  mb_message_sptr msg = mb_make_message(signal, data, metadata, priority);
+  msg->set_port_id(s_sys_port);
+  msgq.insert(msg);
+}
+
+
+mb_runtime_thread_per_block::mb_runtime_thread_per_block()
+  : d_shutdown_in_progress(false),
+    d_shutdown_result(PMT_T)
+{
+  d_accepter = mb_msg_accepter_sptr(new mb_msg_accepter_msgq(&d_msgq));
+}
+
+mb_runtime_thread_per_block::~mb_runtime_thread_per_block()
+{
+  // FIXME iterate over workers and ensure that they are dead.
+
+  if (!d_workers.empty())
+    std::cerr << "\nmb_runtime_thread_per_block: dtor (# workers = "
+             << d_workers.size() << ")\n";
+}
+
+void
+mb_runtime_thread_per_block::request_shutdown(pmt_t result)
+{
+  (*accepter())(s_request_shutdown, result, PMT_F, MB_PRI_BEST);
+}
+
+bool
+mb_runtime_thread_per_block::run(const std::string &instance_name,
+                                const std::string &class_name,
+                                pmt_t user_arg, pmt_t *result)
+{
+  if (result)          // set it to something now, in case we throw
+    *result = PMT_F;
+  
+  // reset the shutdown state
+  d_shutdown_in_progress = false;
+  d_shutdown_result = PMT_T;
+
+  assert(d_workers.empty());
+
+  while (!d_timer_queue.empty())       // ensure timer queue is empty
+    d_timer_queue.pop();
+
+  /*
+   * Create the top-level component, and recursively all of its
+   * subcomponents.
+   */
+  d_top = create_component(instance_name, class_name, user_arg);
+
+  try {
+    run_loop();
+  }
+  catch (...){
+    d_top.reset();
+    throw;
+  }
+
+  if (result)
+    *result = d_shutdown_result;
+  
+  d_top.reset();
+  return true;
+}
+
+void
+mb_runtime_thread_per_block::run_loop()
+{
+  while (1){
+    mb_message_sptr msg;
+
+    if (d_timer_queue.empty())                   // Any timeouts pending?
+      msg = d_msgq.get_highest_pri_msg();        // Nope.  Block forever.
+
+    else {
+      mb_timeout_sptr to = d_timer_queue.top();          // Yep.  Get earliest timeout.
+
+      // wait for a msg or the timeout...
+      msg = d_msgq.get_highest_pri_msg_timedwait(to->d_when);
+
+      if (!msg){               // We timed out.
+       d_timer_queue.pop();    // Remove timeout from timer queue.
+
+       // send the %timeout msg
+       (*to->d_accepter)(s_timeout, to->d_user_data, to->handle(), MB_PRI_BEST);
+
+       if (to->d_is_periodic){
+         to->d_when = to->d_when + to->d_delta;        // update time of next firing
+         d_timer_queue.push(to);                       // push it back into the queue
+       }
+       continue;
+      }
+    }
+
+    pmt_t signal = msg->signal();
+
+    if (pmt_eq(signal, s_worker_state_changed)){       // %worker-state-changed
+      omni_mutex_lock l1(d_workers_mutex);
+      reap_dead_workers();
+      if (d_workers.empty())   // no work left to do...
+       return;
+    }
+    else if (pmt_eq(signal, s_request_shutdown)){      // %request-shutdown
+      if (!d_shutdown_in_progress){
+       d_shutdown_in_progress = true;
+       d_shutdown_result = msg->data();
+
+       // schedule a timeout for ourselves...
+       schedule_one_shot_timeout(mb_time::time(0.100), s_send_halt, d_accepter);
+       send_all_sys_msg(s_shutdown);
+      }
+    }
+    else if (pmt_eq(signal, s_request_timeout)){       // %request-timeout
+      mb_timeout_sptr to =
+       boost::any_cast<mb_timeout_sptr>(pmt_any_ref(msg->data()));
+      d_timer_queue.push(to);
+    }
+    else if (pmt_eq(signal, s_cancel_timeout)){                // %cancel-timeout
+      d_timer_queue.cancel(msg->data());
+    }
+    else if (pmt_eq(signal, s_timeout)
+            && pmt_eq(msg->data(), s_send_halt)){      // %timeout, send-halt
+
+      // schedule another timeout for ourselves...
+      schedule_one_shot_timeout(mb_time::time(0.100), s_exit_now, d_accepter);
+      send_all_sys_msg(s_halt);
+    }
+    else if (pmt_eq(signal, s_timeout)
+            && pmt_eq(msg->data(), s_exit_now)){       // %timeout, exit-now
+
+      // We only get here if we've sent all workers %shutdown followed
+      // by %halt, and one or more of them is still alive.  They must
+      // be blocked in the kernel.  FIXME We could add one more step:
+      // pthread_kill(...) but for now, we'll just ignore them...
+      return;
+    }
+    else {
+      std::cerr << "mb_runtime_thread_per_block: unhandled msg: " << msg << std::endl;
+    }
+  }
+}
+
+void
+mb_runtime_thread_per_block::reap_dead_workers()
+{
+  // Already holding mutex
+  // omni_mutex_lock l1(d_workers_mutex);
+
+  for (worker_iter_t wi = d_workers.begin(); wi != d_workers.end(); ){
+    bool is_dead;
+
+    // We can't join while holding the worker mutex, since that would
+    // attempt to destroy the mutex we're holding (omnithread's join
+    // deletes the omni_thread object after the pthread_join
+    // completes) Instead, we lock just long enough to figure out if
+    // the worker is dead.
+    {
+      omni_mutex_lock l2((*wi)->d_mutex);
+      is_dead = (*wi)->d_state == mb_worker::TS_DEAD;
+    }
+
+    if (is_dead){
+      if (0)
+       std::cerr << "\nruntime: "
+                 << "(" << (*wi)->id() << ") "
+                 << (*wi)->d_mblock->instance_name() << " is TS_DEAD\n";
+      void *ignore;
+      (*wi)->join(&ignore);
+      wi = d_workers.erase(wi);
+      continue;
+    }
+    ++wi;
+  }
+}
+
+//
+// Create the thread, then create the component in the thread.
+// Return a pointer to the created mblock.
+//
+// Can be invoked from any thread
+//
+mb_mblock_sptr
+mb_runtime_thread_per_block::create_component(const std::string &instance_name,
+                                             const std::string &class_name,
+                                             pmt_t user_arg)
+{
+  mb_mblock_maker_t maker;
+  if (!mb_class_registry::lookup_maker(class_name, &maker))
+    throw mbe_no_such_class(0, class_name + " (in " + instance_name + ")");
+
+  // FIXME here's where we'd lookup NUMA placement requests & mblock
+  // priorities and communicate them to the worker we're creating...
+
+  // Create the worker thread
+  mb_worker *w =
+    new mb_worker(this, maker, instance_name, user_arg);
+
+  w->start_undetached();  // start it
+
+  // Wait for it to reach TS_RUNNING or TS_DEAD
+
+  bool                                 is_dead;
+  mb_worker::cause_of_death_t  why_dead;
+  {
+    omni_mutex_lock l(w->d_mutex);
+    while (!(w->d_state == mb_worker::TS_RUNNING
+            || w->d_state == mb_worker::TS_DEAD))
+      w->d_state_cond.wait();
+
+    is_dead = w->d_state == mb_worker::TS_DEAD;
+    why_dead = w->d_why_dead;
+  }
+
+  // If the worker failed to init (constructor or initial_transition
+  // raised an exception), reap the worker now and raise an exception.
+
+  if (is_dead && why_dead != mb_worker::RIP_EXIT){
+
+    void *ignore;
+    w->join(&ignore);
+
+    // FIXME with some work we ought to be able to propagate the
+    // exception from the worker.
+    throw mbe_mblock_failed(0, instance_name);
+  }
+
+  assert(w->d_mblock);
+
+  // Add w to the vector of workers, and return the mblock.
+  {
+    omni_mutex_lock l(d_workers_mutex);
+    d_workers.push_back(w);
+  }
+
+  if (0)
+    std::cerr << "\nruntime: created "
+             << "(" << w->id() << ") "
+             << w->d_mblock->instance_name() << "\n";
+
+  return w->d_mblock;
+}
+
+void
+mb_runtime_thread_per_block::send_all_sys_msg(pmt_t signal,
+                                             pmt_t data,
+                                             pmt_t metadata,
+                                             mb_pri_t priority)
+{
+  omni_mutex_lock l1(d_workers_mutex);
+
+  for (worker_iter_t wi = d_workers.begin(); wi != d_workers.end(); ++wi){
+    send_sys_msg((*wi)->d_mblock->impl()->msgq(),
+                signal, data, metadata, priority);
+  }
+}
+
+//
+// Can be invoked from any thread.
+// Sends a message to the runtime.
+//
+pmt_t
+mb_runtime_thread_per_block::schedule_one_shot_timeout
+  (const mb_time &abs_time,
+   pmt_t user_data,
+   mb_msg_accepter_sptr accepter)
+{
+  mb_timeout_sptr to(new mb_timeout(abs_time, user_data, accepter));
+  (*d_accepter)(s_request_timeout, pmt_make_any(to), PMT_F, MB_PRI_BEST);
+  return to->handle();
+}
+
+//
+// Can be invoked from any thread.
+// Sends a message to the runtime.
+//
+pmt_t
+mb_runtime_thread_per_block::schedule_periodic_timeout
+  (const mb_time &first_abs_time,
+   const mb_time &delta_time,
+   pmt_t user_data,
+   mb_msg_accepter_sptr accepter)
+{
+  mb_timeout_sptr to(new mb_timeout(first_abs_time, delta_time,
+                                   user_data, accepter));
+  (*d_accepter)(s_request_timeout, pmt_make_any(to), PMT_F, MB_PRI_BEST);
+  return to->handle();
+}
+
+//
+// Can be invoked from any thread.
+// Sends a message to the runtime.
+//
+void
+mb_runtime_thread_per_block::cancel_timeout(pmt_t handle)
+{
+  (*d_accepter)(s_cancel_timeout, handle, PMT_F, MB_PRI_BEST);
+}
diff --git a/mblock/src/lib/mb_runtime_thread_per_block.h b/mblock/src/lib/mb_runtime_thread_per_block.h
new file mode 100644 (file)
index 0000000..185ed32
--- /dev/null
@@ -0,0 +1,84 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+#ifndef INCLUDED_MB_RUNTIME_THREAD_PER_BLOCK_H
+#define INCLUDED_MB_RUNTIME_THREAD_PER_BLOCK_H
+
+#include <mb_runtime_base.h>
+#include <mb_worker.h>
+#include <mblock/msg_queue.h>
+#include <mb_timer_queue.h>
+
+/*!
+ * \brief Concrete runtime that uses a thread per mblock
+ * \internal
+ *
+ * These are all implementation details.
+ */
+class mb_runtime_thread_per_block : public mb_runtime_base
+{
+public:
+  omni_mutex                 d_workers_mutex;  // hold while manipulating d_workers
+  std::vector<mb_worker*>     d_workers;
+  bool                       d_shutdown_in_progress;
+  pmt_t                              d_shutdown_result;
+  mb_msg_queue               d_msgq;
+  mb_timer_queue             d_timer_queue;
+
+  typedef std::vector<mb_worker*>::iterator  worker_iter_t;
+
+  mb_runtime_thread_per_block();
+  ~mb_runtime_thread_per_block();
+
+  bool run(const std::string &instance_name,
+          const std::string &class_name,
+          pmt_t user_arg,
+          pmt_t *result);
+
+  void request_shutdown(pmt_t result);
+
+protected:
+  mb_mblock_sptr
+  create_component(const std::string &instance_name,
+                  const std::string &class_name,
+                  pmt_t user_arg);
+
+  pmt_t
+  schedule_one_shot_timeout(const mb_time &abs_time, pmt_t user_data,
+                           mb_msg_accepter_sptr accepter);
+
+  pmt_t
+  schedule_periodic_timeout(const mb_time &first_abs_time,
+                           const mb_time &delta_time,
+                           pmt_t user_data,
+                           mb_msg_accepter_sptr accepter);
+  void
+  cancel_timeout(pmt_t handle);
+
+private:
+  void reap_dead_workers();
+  void run_loop();
+
+  void send_all_sys_msg(pmt_t signal, pmt_t data = PMT_F,
+                       pmt_t metadata = PMT_F,
+                       mb_pri_t priority = MB_PRI_BEST);
+};
+
+#endif /* INCLUDED_MB_RUNTIME_THREAD_PER_BLOCK_H */
diff --git a/mblock/src/lib/mb_timer_queue.cc b/mblock/src/lib/mb_timer_queue.cc
new file mode 100644 (file)
index 0000000..1293e8a
--- /dev/null
@@ -0,0 +1,63 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <mb_timer_queue.h>
+
+static pmt_t
+make_handle()
+{
+  static long counter = 0;
+  pmt_t n = pmt_from_long(counter++);
+  return pmt_list1(n);         // guaranteed to be a unique object
+}
+
+// one-shot constructor
+mb_timeout::mb_timeout(const mb_time &abs_time,
+                      pmt_t user_data, mb_msg_accepter_sptr accepter)
+  : d_when(abs_time), d_is_periodic(false),
+    d_user_data(user_data), d_handle(make_handle()), d_accepter(accepter)
+{
+}
+
+// periodic constructor
+mb_timeout::mb_timeout(const mb_time &first_abs_time, const mb_time &delta_time,
+                      pmt_t user_data, mb_msg_accepter_sptr accepter)
+  : d_when(first_abs_time), d_delta(delta_time), d_is_periodic(true),
+    d_user_data(user_data), d_handle(make_handle()), d_accepter(accepter)
+{
+}
+
+void
+mb_timer_queue::cancel(pmt_t handle)
+{
+  container_type::iterator it;
+
+  for (it = c.begin(); it != c.end();){
+    if (pmt_equal((*it)->handle(), handle))
+      it = c.erase(it);
+    else
+      ++it;
+  }
+  std::make_heap(c.begin(), c.end(), comp);
+}
diff --git a/mblock/src/lib/mb_timer_queue.h b/mblock/src/lib/mb_timer_queue.h
new file mode 100644 (file)
index 0000000..e8b8e1b
--- /dev/null
@@ -0,0 +1,73 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+
+#ifndef INCLUDED_MB_TIMER_QUEUE_H
+#define INCLUDED_MB_TIMER_QUEUE_H
+
+#include <mblock/time.h>
+#include <vector>
+#include <queue>
+#include <pmt.h>
+#include <mblock/msg_accepter.h>
+
+class mb_timeout {
+public:
+  mb_time              d_when;         // absolute time to fire timeout
+  mb_time              d_delta;        // if periodic, delta_t to next timeout
+  bool                 d_is_periodic;  // true iff this is a periodic timeout
+  pmt_t                        d_user_data;    // data from %timeout msg
+  pmt_t                        d_handle;       // handle for cancellation
+  mb_msg_accepter_sptr d_accepter;     // where to send the message
+
+  // one-shot constructor
+  mb_timeout(const mb_time &abs_time,
+            pmt_t user_data, mb_msg_accepter_sptr accepter);
+
+  // periodic constructor
+  mb_timeout(const mb_time &first_abs_time, const mb_time &delta_time,
+            pmt_t user_data, mb_msg_accepter_sptr accepter);
+
+  pmt_t handle() const { return d_handle; }
+};
+
+typedef boost::shared_ptr<mb_timeout> mb_timeout_sptr;
+
+
+//! Sort criterion for priority_queue
+class timeout_later
+{
+public:
+  bool operator() (const mb_timeout_sptr t1, const mb_timeout_sptr t2)
+  {
+    return t1->d_when > t2->d_when;
+  }
+};
+
+
+class mb_timer_queue : public std::priority_queue<mb_timeout_sptr,
+                                                 std::vector<mb_timeout_sptr>,
+                                                 timeout_later>
+{
+public:
+  void cancel(pmt_t handle);
+};
+
+#endif /* INCLUDED_MB_TIMER_QUEUE_H */
diff --git a/mblock/src/lib/mb_util.cc b/mblock/src/lib/mb_util.cc
new file mode 100644 (file)
index 0000000..60a0e44
--- /dev/null
@@ -0,0 +1,34 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+
+#include <mb_util.h>
+
+
+std::string 
+mb_util::join_names(const std::string &comp_name,
+                   const std::string &port_name)
+{
+  return comp_name + ":" + port_name;
+}
diff --git a/mblock/src/lib/mb_util.h b/mblock/src/lib/mb_util.h
new file mode 100644 (file)
index 0000000..fe420d6
--- /dev/null
@@ -0,0 +1,35 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+
+#ifndef INCLUDED_MB_UTIL_H
+#define INCLUDED_MB_UTIL_H
+
+#include <string>
+
+class mb_util
+{
+public:
+  static std::string
+  join_names(const std::string &comp_name,
+            const std::string &port_name);
+};
+
+#endif /* INCLUDED_MB_UTIL_H */
diff --git a/mblock/src/lib/mb_worker.cc b/mblock/src/lib/mb_worker.cc
new file mode 100644 (file)
index 0000000..3b98487
--- /dev/null
@@ -0,0 +1,179 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <mb_worker.h>
+#include <mb_runtime_thread_per_block.h>
+#include <mblock/exception.h>
+#include <mblock/mblock.h>
+#include <mb_gettid.h>
+#include <mblock/msg_accepter.h>
+#include <iostream>
+#include <cstdio>
+#ifdef HAVE_SCHED_H
+#include <sched.h>
+#endif
+
+#define VERBOSE 0              // define to 0 or 1
+
+
+static pmt_t s_worker_state_changed = pmt_intern("%worker-state-changed");
+
+
+mb_worker::mb_worker(mb_runtime_thread_per_block *runtime,
+                    mb_mblock_maker_t maker,
+                    const std::string &instance_name,
+                    pmt_t user_arg)
+  : omni_thread((void *) 0, PRIORITY_NORMAL),
+    d_runtime(runtime), d_maker(maker),
+    d_instance_name(instance_name), d_user_arg(user_arg),
+    d_state_cond(&d_mutex), d_state(TS_UNINITIALIZED),
+    d_why_dead(RIP_NOT_DEAD_YET)
+{
+}
+
+#if 0
+mb_worker::~mb_worker()
+{
+}
+#endif
+
+#ifdef HAVE_SCHED_SETAFFINITY
+static void
+set_affinity(const std::string &instance_name, const std::string &class_name)
+{
+  //static int counter = 0;
+  cpu_set_t    mask;
+  CPU_ZERO(&mask);
+
+  if (0){
+
+    //CPU_SET(counter & 0x1, &mask);
+    //counter++;
+    CPU_SET(0, &mask);
+
+    int r = sched_setaffinity(mb_gettid(), sizeof(mask), &mask);
+    if (r == -1)
+      perror("sched_setaffinity");
+  }
+}
+#else
+static void
+set_affinity(const std::string &instance_name, const std::string &class_name)
+{
+}
+#endif
+
+void
+mb_worker::set_state(worker_state_t state)
+{
+  {
+    omni_mutex_lock  l2(d_mutex);
+
+    d_state = state;                     // update our state
+    d_state_cond.broadcast();            // Notify everybody who cares...
+  }
+
+  // send msg to runtime, telling it something changed.
+  (*d_runtime->accepter())(s_worker_state_changed, PMT_F, PMT_F, MB_PRI_BEST);
+}
+
+void *
+mb_worker::run_undetached(void *ignored)
+{
+  // FIXME add pthread_sigmask stuff
+
+  //set_affinity(d_instance_name, d_class_name);
+  set_affinity(d_instance_name, "");
+
+  try {
+    worker_thread_top_level();
+    d_why_dead = RIP_EXIT;
+  }
+  catch (mbe_terminate){
+    d_why_dead = RIP_TERMINATE;
+  }
+  catch (mbe_exit){
+    d_why_dead = RIP_EXIT;
+  }
+  catch (std::logic_error e){
+    if (d_why_dead == RIP_NOT_DEAD_YET)
+      d_why_dead = RIP_UNHANDLED_EXCEPTION;
+
+    std::cerr << "\nmb_worker::run_undetached: unhandled exception:\n";
+    std::cerr << "  " << e.what() << std::endl;
+  }
+  catch (...){
+    if (d_why_dead == RIP_NOT_DEAD_YET)
+      d_why_dead = RIP_UNHANDLED_EXCEPTION;
+  }
+
+  if (VERBOSE)
+    std::cerr << "\nrun_undetached: about to return, d_why_dead = "
+             << d_why_dead << std::endl;
+
+  set_state(TS_DEAD);
+  return 0;
+}
+
+void
+mb_worker::worker_thread_top_level()
+{
+  if (VERBOSE)
+    std::cerr << "worker_thread_top_level (enter):" << std::endl
+             << "  instance_name: " << d_instance_name << std::endl
+             << "  omnithread id: " << id() << std::endl
+             << "  gettid:        " << mb_gettid() << std::endl
+             << "  getpid:        " << getpid() << std::endl;
+
+  cause_of_death_t pending_cause_of_death = RIP_NOT_DEAD_YET;
+  
+  try {
+    pending_cause_of_death = RIP_CTOR_EXCEPTION;
+    d_mblock = d_maker(d_runtime, d_instance_name, d_user_arg);
+
+    if (VERBOSE)
+      std::cerr << "worker_thread_top_level (post-construction):" << std::endl
+               << "  instance_name: " << d_instance_name << std::endl;
+
+    pending_cause_of_death = RIP_INIT_EXCEPTION;
+    d_mblock->initial_transition();
+
+    if (VERBOSE)
+      std::cerr << "worker_thread_top_level (post-initial-transition):" << std::endl
+               << "  instance_name: " << d_instance_name << std::endl;
+
+    set_state(TS_RUNNING);
+
+    pending_cause_of_death = RIP_UNHANDLED_EXCEPTION;
+    d_mblock->main_loop();
+  }
+  catch (...){
+    d_why_dead = pending_cause_of_death;
+    throw;
+  }
+
+  if (VERBOSE)
+    std::cerr << "worker_thread_top_level (exit):" << std::endl
+             << "  instance_name: " << d_instance_name << std::endl;
+}
diff --git a/mblock/src/lib/mb_worker.h b/mblock/src/lib/mb_worker.h
new file mode 100644 (file)
index 0000000..59d1254
--- /dev/null
@@ -0,0 +1,106 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+
+#ifndef INCLUDED_MB_WORKER_H
+#define INCLUDED_MB_WORKER_H
+
+#include <gnuradio/omnithread.h>
+#include <mblock/common.h>
+#include <mblock/class_registry.h>
+
+
+class mb_worker;
+//typedef boost::shared_ptr<mb_worker> mb_worker_sptr;
+
+class mb_runtime_thread_per_block;
+
+/*!
+ * \brief Worker thread for thread_per_block runtime
+ * \internal
+ */
+class mb_worker : public omni_thread
+{
+public:
+  //! worker thread states
+  enum worker_state_t {
+    TS_UNINITIALIZED,  // new, uninitialized
+    TS_RUNNING,                // normal steady-state condition.
+    TS_DEAD            // thread is dead
+  };
+
+  //! why we're dead
+  enum cause_of_death_t {
+    RIP_NOT_DEAD_YET,          // not dead
+    RIP_EXIT,                  // normal exit
+    RIP_TERMINATE,             // caught terminate exception
+    RIP_CTOR_EXCEPTION,                // constructor raised an exception
+    RIP_INIT_EXCEPTION,                // initial_transition rasised an exception
+    RIP_UNHANDLED_EXCEPTION    // somebody (most likely handle_message) raised an exception
+  };
+
+  /*
+   * Args used by new thread to create mb_mblock
+   */
+  mb_runtime_thread_per_block  *d_runtime;
+  mb_mblock_maker_t            d_maker;
+  std::string                  d_instance_name;
+  pmt_t                                d_user_arg;
+
+  mb_mblock_sptr               d_mblock;       //< holds pointer to created mblock
+
+  /*!
+   * \brief General mutex for all these fields.
+   *
+   * They are accessed by both the main runtime thread and the newly
+   * created thread that runs the mblock's main loop.
+   */
+  omni_mutex                   d_mutex; 
+  omni_condition               d_state_cond;   //< state change notifications
+  worker_state_t               d_state;
+  cause_of_death_t             d_why_dead;
+
+  mb_worker(mb_runtime_thread_per_block *runtime,
+           mb_mblock_maker_t maker,
+           const std::string &instance_name,
+           pmt_t user_arg);
+
+  // ~mb_worker();
+
+
+  /*!
+   * \brief This code runs as the top-level of the new thread
+   */
+  void worker_thread_top_level();
+  
+  /*!
+   * \brief Invokes the top-level of the new thread (name kind of sucks)
+   */
+  void *run_undetached(void *arg);
+
+private:
+  // Neither d_mutex nor runtime->d_mutex may be held while calling this.
+  // It locks and unlocks them itself.
+  void set_state(worker_state_t state);
+};
+
+
+
+#endif /* INCLUDED_MB_WORKER_H */
diff --git a/mblock/src/lib/mbi_runtime_lock.h b/mblock/src/lib/mbi_runtime_lock.h
new file mode 100644 (file)
index 0000000..020cd73
--- /dev/null
@@ -0,0 +1,61 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+
+#ifndef INCLUDED_MBI_RUNTIME_LOCK_H
+#define INCLUDED_MBI_RUNTIME_LOCK_H
+
+#include <mblock/runtime.h>
+#include <mb_mblock_impl.h>
+#include <boost/utility.hpp>
+
+/*!
+ * \brief acquire and release big runtime lock
+ *
+ * As an alternative to:
+ * {
+ *   rt->lock();
+ *   .....
+ *   rt->unlock();
+ * }
+ *
+ * you can use a single instance of the mbi_runtime_lock class:
+ *
+ * {
+ *   mbi_runtime_lock l(rt);
+ *   ....
+ * }
+ *
+ * This has the advantage that rt->unlock() will be called automatically
+ * when an exception is thrown.
+ */
+
+class mbi_runtime_lock : boost::noncopyable {
+  mb_runtime_base      *d_rt;
+public:
+  mbi_runtime_lock(mb_runtime_base *rt) : d_rt(rt) { d_rt->lock(); }
+  mbi_runtime_lock(mb_mblock_impl *mi) : d_rt(mi->runtime()) { d_rt->lock(); }
+  mbi_runtime_lock(mb_mblock *mb) : d_rt(mb->impl()->runtime()) { d_rt->lock(); }
+  ~mbi_runtime_lock(void) { d_rt->unlock(); }
+
+};
+
+#endif /* INCLUDED_MBI_RUNTIME_LOCK_H */
+
diff --git a/mblock/src/lib/qa_bitset.cc b/mblock/src/lib/qa_bitset.cc
new file mode 100644 (file)
index 0000000..0ed78d9
--- /dev/null
@@ -0,0 +1,494 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <mblock/mblock.h>
+#include <mblock/protocol_class.h>
+#include <mblock/message.h>
+#include <mblock/class_registry.h>
+#include <iostream>
+#include <cstdio>
+#include <sstream>
+#include <bitset>
+
+static pmt_t s_in = pmt_intern("in");
+static pmt_t s_out = pmt_intern("out");
+static pmt_t s_data = pmt_intern("data");
+static pmt_t s_start = pmt_intern("start");
+static pmt_t s_send_batch = pmt_intern("send-batch");
+static pmt_t s_long0 = pmt_from_long(0);
+
+static std::string
+str(long x)
+{
+  std::ostringstream s;
+  s << x;
+  return s.str();
+}
+
+/*!
+ * \brief mblock used for QA.
+ *
+ * Messages arriving on "in" consist of a pair containing a (long)
+ * message number in the car, and a (long) bitmap in the cdr.  For
+ * each message received on "in", a new message is sent on "out".  The
+ * new message is the same format as the input, but the bitmap in
+ * the cdr has a "1" or'd into it that corresponds to the bit number
+ * specified in the constructor.
+ *
+ * The bitmap can be used by the ultimate receiver to confirm
+ * traversal of a set of blocks, if the blocks are assigned unique bit
+ * numbers.
+ */
+class qa_bitset : public mb_mblock
+{
+  mb_port_sptr d_in;
+  mb_port_sptr d_out;
+  int          d_bitno;
+
+public:
+  qa_bitset(mb_runtime *runtime, const std::string &instance_name, pmt_t user_arg);
+  void handle_message(mb_message_sptr msg);
+};
+
+qa_bitset::qa_bitset(mb_runtime *runtime, const std::string &instance_name, pmt_t user_arg)
+  : mb_mblock(runtime, instance_name, user_arg)
+{
+  d_bitno = pmt_to_long(user_arg);     // The bit we are to set
+
+  d_in  = define_port("in", "qa-bitset", false, mb_port::EXTERNAL);
+  d_out = define_port("out", "qa-bitset", true, mb_port::EXTERNAL);
+}
+
+void
+qa_bitset::handle_message(mb_message_sptr msg)
+{
+  if (pmt_eq(msg->port_id(), s_in) && pmt_eq(msg->signal(), s_data)){
+    d_out->send(s_data,
+               pmt_cons(pmt_car(msg->data()),
+                        pmt_from_long((1L << d_bitno) | pmt_to_long(pmt_cdr(msg->data())))));
+  }
+}
+
+REGISTER_MBLOCK_CLASS(qa_bitset);
+
+// ------------------------------------------------------------------------
+
+/*!
+ * \brief mblock used for QA.  Compose two qa_bitset mblocks.
+ */
+class qa_bitset2 : public mb_mblock
+{
+  mb_port_sptr d_in;
+  mb_port_sptr d_out;
+
+public:
+  qa_bitset2(mb_runtime *runtime, const std::string &instance_name, pmt_t user_arg);
+};
+
+qa_bitset2::qa_bitset2(mb_runtime *runtime, const std::string &instance_name, pmt_t user_arg)
+  : mb_mblock(runtime, instance_name, user_arg)
+{
+  long bitno = pmt_to_long(user_arg);  // The bit we are to set
+
+  d_in  = define_port("in", "qa-bitset", false, mb_port::RELAY);
+  d_out = define_port("out", "qa-bitset", true, mb_port::RELAY);
+
+  define_component("bs0", "qa_bitset", pmt_from_long(bitno));
+  define_component("bs1", "qa_bitset", pmt_from_long(bitno + 1));
+  connect("self", "in", "bs0", "in");
+  connect("bs0", "out", "bs1", "in");
+  connect("bs1", "out", "self", "out");
+}
+
+REGISTER_MBLOCK_CLASS(qa_bitset2);
+
+// ------------------------------------------------------------------------
+
+/*!
+ * \brief mblock used for QA.  Compose two qa_bitset2 mblocks.
+ */
+class qa_bitset4 : public mb_mblock
+{
+  mb_port_sptr d_in;
+  mb_port_sptr d_out;
+
+public:
+  qa_bitset4(mb_runtime *runtime, const std::string &instance_name, pmt_t user_arg);
+};
+
+qa_bitset4::qa_bitset4(mb_runtime *runtime, const std::string &instance_name, pmt_t user_arg)
+  : mb_mblock(runtime, instance_name, user_arg)
+{
+  long bitno = pmt_to_long(user_arg);  // The bit we are to set
+
+  d_in  = define_port("in", "qa-bitset", false, mb_port::RELAY);
+  d_out = define_port("out", "qa-bitset", true, mb_port::RELAY);
+
+  define_component("bs0", "qa_bitset2", pmt_from_long(bitno));
+  define_component("bs1", "qa_bitset2", pmt_from_long(bitno + 2));
+  connect("self", "in", "bs0", "in");
+  connect("bs0", "out", "bs1", "in");
+  connect("bs1", "out", "self", "out");
+}
+
+REGISTER_MBLOCK_CLASS(qa_bitset4);
+
+// ------------------------------------------------------------------------
+
+/*!
+ * \brief mblock used for QA.  Compose two qa_bitset4 mblocks.
+ */
+class qa_bitset8 : public mb_mblock
+{
+  mb_port_sptr d_in;
+  mb_port_sptr d_out;
+
+public:
+  qa_bitset8(mb_runtime *runtime, const std::string &instance_name, pmt_t user_arg);
+};
+
+qa_bitset8::qa_bitset8(mb_runtime *runtime, const std::string &instance_name, pmt_t user_arg)
+  : mb_mblock(runtime, instance_name, user_arg)
+{
+  long bitno = pmt_to_long(user_arg);  // The bit we are to set
+
+  d_in  = define_port("in", "qa-bitset", false, mb_port::RELAY);
+  d_out = define_port("out", "qa-bitset", true, mb_port::RELAY);
+
+  define_component("bs0", "qa_bitset4", pmt_from_long(bitno));
+  define_component("bs1", "qa_bitset4", pmt_from_long(bitno + 4));
+  connect("self", "in", "bs0", "in");
+  connect("bs0", "out", "bs1", "in");
+  connect("bs1", "out", "self", "out");
+}
+
+REGISTER_MBLOCK_CLASS(qa_bitset8);
+
+// ------------------------------------------------------------------------
+
+/*!
+ * \brief mblock used for QA.  Compose two qa_bitset8 mblocks.
+ */
+class qa_bitset16 : public mb_mblock
+{
+  mb_port_sptr d_in;
+  mb_port_sptr d_out;
+
+public:
+  qa_bitset16(mb_runtime *runtime, const std::string &instance_name, pmt_t user_arg);
+};
+
+qa_bitset16::qa_bitset16(mb_runtime *runtime, const std::string &instance_name, pmt_t user_arg)
+  : mb_mblock(runtime, instance_name, user_arg)
+{
+  long bitno = pmt_to_long(user_arg);  // The bit we are to set
+
+  d_in  = define_port("in", "qa-bitset", false, mb_port::RELAY);
+  d_out = define_port("out", "qa-bitset", true, mb_port::RELAY);
+
+  define_component("bs0", "qa_bitset8", pmt_from_long(bitno));
+  define_component("bs1", "qa_bitset8", pmt_from_long(bitno + 8));
+  connect("self", "in", "bs0", "in");
+  connect("bs0", "out", "bs1", "in");
+  connect("bs1", "out", "self", "out");
+}
+
+REGISTER_MBLOCK_CLASS(qa_bitset16);
+
+// ------------------------------------------------------------------------
+
+/*!
+ * \brief mblock used for QA.  Compose two qa_bitset16 mblocks.
+ */
+class qa_bitset32 : public mb_mblock
+{
+  mb_port_sptr d_in;
+  mb_port_sptr d_out;
+
+public:
+  qa_bitset32(mb_runtime *runtime, const std::string &instance_name, pmt_t user_arg);
+};
+
+qa_bitset32::qa_bitset32(mb_runtime *runtime, const std::string &instance_name, pmt_t user_arg)
+  : mb_mblock(runtime, instance_name, user_arg)
+{
+  long bitno = pmt_to_long(user_arg);  // The bit we are to set
+
+  d_in  = define_port("in", "qa-bitset", false, mb_port::RELAY);
+  d_out = define_port("out", "qa-bitset", true, mb_port::RELAY);
+
+  define_component("bs0", "qa_bitset16", pmt_from_long(bitno));
+  define_component("bs1", "qa_bitset16", pmt_from_long(bitno + 16));
+  connect("self", "in", "bs0", "in");
+  connect("bs0", "out", "bs1", "in");
+  connect("bs1", "out", "self", "out");
+}
+
+REGISTER_MBLOCK_CLASS(qa_bitset32);
+
+// ------------------------------------------------------------------------
+
+class qa_bitset_src : public mb_mblock
+{
+  mb_port_sptr d_cs_top;
+  mb_port_sptr d_cs;
+  
+  mb_port_sptr d_out;
+
+  long         d_msg_number;           // starting message number
+  long         d_nmsgs_to_send;        // # of messages to send
+  long         d_batch_size;           // # of messages to send per batch
+  
+public:
+  qa_bitset_src(mb_runtime *runtime, const std::string &instance_name, pmt_t user_arg);
+  void handle_message(mb_message_sptr msg);
+
+protected:
+  void send_one();
+  void send_batch();
+};
+
+qa_bitset_src::qa_bitset_src(mb_runtime *runtime, const std::string &instance_name, pmt_t user_arg)
+  : mb_mblock(runtime, instance_name, user_arg)
+{
+  d_msg_number    = pmt_to_long(pmt_nth(0, user_arg));
+  d_nmsgs_to_send = pmt_to_long(pmt_nth(1, user_arg));
+  d_batch_size    = pmt_to_long(pmt_nth(2, user_arg));
+
+  d_cs_top = define_port("cs_top", "qa-bitset-cs", true, mb_port::EXTERNAL);
+  d_cs = define_port("cs", "qa-bitset-cs", true, mb_port::EXTERNAL);
+
+  d_out = define_port("out", "qa-bitset", true, mb_port::EXTERNAL);
+}
+
+void
+qa_bitset_src::handle_message(mb_message_sptr msg)
+{
+  if ((pmt_eq(msg->port_id(), d_cs_top->port_symbol())
+       || pmt_eq(msg->port_id(), d_cs->port_symbol()))
+      && pmt_eq(msg->signal(), s_send_batch)){
+    send_batch();
+  }
+}
+
+void
+qa_bitset_src::send_batch()
+{
+  for (int i = 0; i < d_batch_size; i++)
+    send_one();
+}
+
+void
+qa_bitset_src::send_one()
+{
+  if (d_nmsgs_to_send > 0){
+    pmt_t msg_number = pmt_from_long(d_msg_number++);
+    d_out->send(s_data, pmt_cons(msg_number, s_long0));
+  }
+  if (--d_nmsgs_to_send <= 0)
+    exit();
+}
+
+REGISTER_MBLOCK_CLASS(qa_bitset_src);
+
+// ------------------------------------------------------------------------
+
+class qa_bitset_sink : public mb_mblock
+{
+  // Maximum number of messages we can track
+  static const size_t MAX_MSGS = 1 * 1024 * 1024; 
+  
+  mb_port_sptr d_cs0;
+  mb_port_sptr d_cs1;
+  mb_port_sptr d_cs2;
+  mb_port_sptr d_cs3;
+  
+  mb_port_sptr d_in0;
+  mb_port_sptr d_in1;
+  mb_port_sptr d_in2;
+  mb_port_sptr d_in3;
+
+  long                 d_nmsgs_to_recv; // # of messages to receive
+  long                 d_batch_size;    // # of messages to receive per batch
+  uint32_t             d_expected_mask;
+
+  std::bitset<MAX_MSGS>        d_bitset;
+  long                 d_nrecvd;
+  
+public:
+  qa_bitset_sink(mb_runtime *runtime, const std::string &instance_name, pmt_t user_arg);
+  void handle_message(mb_message_sptr msg);
+
+protected:
+  void receive_one(mb_message_sptr msg);
+};
+
+qa_bitset_sink::qa_bitset_sink(mb_runtime *runtime, const std::string &instance_name, pmt_t user_arg)
+  : mb_mblock(runtime, instance_name, user_arg),
+    d_nrecvd(0)
+{
+  d_nmsgs_to_recv = pmt_to_long(pmt_nth(0, user_arg));
+  d_batch_size    = pmt_to_long(pmt_nth(1, user_arg));
+  d_expected_mask = pmt_to_long(pmt_nth(2, user_arg));
+
+  if (d_nmsgs_to_recv > (long) MAX_MSGS)
+    throw std::out_of_range("qa_bitset_sink: nmsgs_to_recv is too big");
+
+  if (d_batch_size < 1)
+    throw std::out_of_range("qa_bitset_sink: batch_size must be >= 1");
+
+  d_cs0 = define_port("cs0", "qa-bitset-cs", true, mb_port::EXTERNAL);
+  d_cs1 = define_port("cs1", "qa-bitset-cs", true, mb_port::EXTERNAL);
+  d_cs2 = define_port("cs2", "qa-bitset-cs", true, mb_port::EXTERNAL);
+  d_cs3 = define_port("cs3", "qa-bitset-cs", true, mb_port::EXTERNAL);
+
+  d_in0 = define_port("in0", "qa-bitset", false, mb_port::EXTERNAL);
+  d_in1 = define_port("in1", "qa-bitset", false, mb_port::EXTERNAL);
+  d_in2 = define_port("in2", "qa-bitset", false, mb_port::EXTERNAL);
+  d_in3 = define_port("in3", "qa-bitset", false, mb_port::EXTERNAL);
+}
+
+void
+qa_bitset_sink::handle_message(mb_message_sptr msg)
+{
+  if ((pmt_eq(msg->port_id(), d_in0->port_symbol())
+       || pmt_eq(msg->port_id(), d_in1->port_symbol())
+       || pmt_eq(msg->port_id(), d_in2->port_symbol())
+       || pmt_eq(msg->port_id(), d_in3->port_symbol()))
+      && pmt_eq(msg->signal(), s_data)){
+
+    receive_one(msg);
+  }
+}
+
+void
+qa_bitset_sink::receive_one(mb_message_sptr msg)
+{
+  long msg_number = pmt_to_long(pmt_car(msg->data()));
+  uint32_t mask = pmt_to_long(pmt_cdr(msg->data()));
+
+  // std::cout << msg->data() << std::endl;
+
+  d_nrecvd++;
+  if (d_nrecvd % d_batch_size == d_batch_size - 1){
+    d_cs0->send(s_send_batch);
+    d_cs1->send(s_send_batch);
+    d_cs2->send(s_send_batch);
+    d_cs3->send(s_send_batch);
+  }
+
+  if (msg_number >= d_nmsgs_to_recv){
+    std::cerr << "qa_bitset_sink::receive_one: msg_number too big ("
+             << msg_number << ")\n";
+    shutdown_all(PMT_F);
+    return;
+  }
+  if (mask != d_expected_mask){
+    fprintf(stderr,
+           "qa_bitset_sink::receive_one: Wrong mask.  Expected 0x%08x, got 0x%08x\n",
+           d_expected_mask, mask);
+    shutdown_all(PMT_F);
+    return;
+  }
+
+  if (d_bitset.test((size_t) msg_number)){
+    std::cerr << "qa_bitset_sink::receive_one: duplicate msg_number ("
+             << msg_number << ")\n";
+    shutdown_all(PMT_F);
+    return;
+  }
+
+  d_bitset.set((size_t) msg_number);
+  if (d_nrecvd == d_nmsgs_to_recv)
+    shutdown_all(PMT_T);               // we're done!
+}
+
+REGISTER_MBLOCK_CLASS(qa_bitset_sink);
+
+// ------------------------------------------------------------------------
+
+class qa_bitset_top : public mb_mblock
+{
+  static const int NPIPES = 4;
+
+  std::vector<mb_port_sptr>    d_cs;
+  
+  long                 d_nmsgs;         // # of messages to send
+  long                 d_batch_size;    // # of messages to receive per batch
+
+public:
+  qa_bitset_top(mb_runtime *runtime, const std::string &instance_name, pmt_t user_arg);
+  void initial_transition();
+};
+
+qa_bitset_top::qa_bitset_top(mb_runtime *runtime,
+                            const std::string &instance_name, pmt_t user_arg)
+  : mb_mblock(runtime, instance_name, user_arg)
+{
+  d_nmsgs      = pmt_to_long(pmt_nth(0, user_arg));
+  d_nmsgs = (d_nmsgs / NPIPES) * NPIPES;
+  d_batch_size = pmt_to_long(pmt_nth(1, user_arg));
+
+  /*
+   * We build NPIPES sources which feed NPIPES pipelines, each of which
+   * consists of 8-mblocks.  All pipelines feed into a single sink
+   * which keeps track the results.
+   */
+  for (int i = 0; i < NPIPES; i++){
+    d_cs.push_back(define_port("cs"+str(i), "qa-bitset-cs", false, mb_port::INTERNAL));
+  
+    // sources of test messages
+    define_component("src"+str(i), "qa_bitset_src",
+                    pmt_list3(pmt_from_long(i * d_nmsgs/NPIPES),
+                              pmt_from_long(d_nmsgs/NPIPES),
+                              pmt_from_long(d_batch_size)));
+
+    // 8-mblock processing pipelines
+    define_component("pipeline"+str(i), "qa_bitset8", pmt_from_long(0));
+  }
+
+  // sink for output of pipelines
+  define_component("sink", "qa_bitset_sink",
+                  pmt_list3(pmt_from_long(d_nmsgs),
+                            pmt_from_long(d_batch_size * NPIPES),
+                            pmt_from_long(0x000000ff)));
+
+  for (int i = 0; i < NPIPES; i++){
+    connect("self", "cs"+str(i), "src"+str(i), "cs_top");
+    connect("src"+str(i), "out", "pipeline"+str(i), "in");
+    connect("src"+str(i), "cs", "sink", "cs"+str(i));
+    connect("pipeline"+str(i), "out", "sink", "in"+str(i));
+  }
+}
+
+void
+qa_bitset_top::initial_transition()
+{
+  for (int i = 0; i < NPIPES; i++){
+    d_cs[i]->send(s_send_batch);       // prime the pump
+    d_cs[i]->send(s_send_batch);
+  }
+}
+
+REGISTER_MBLOCK_CLASS(qa_bitset_top);
diff --git a/mblock/src/lib/qa_bitset.mbh b/mblock/src/lib/qa_bitset.mbh
new file mode 100644 (file)
index 0000000..3fbcb80
--- /dev/null
@@ -0,0 +1,61 @@
+;; -*- scheme -*- ; not really, but tells emacs how to format this
+;;
+;; Copyright 2007 Free Software Foundation, Inc.
+;; 
+;; This file is part of GNU Radio
+;; 
+;; GNU Radio is free software; you can redistribute it and/or modify
+;; it under the terms of the GNU General Public License as published by
+;; the Free Software Foundation; either version 3, or (at your option)
+;; any later version.
+;; 
+;; GNU Radio is distributed in the hope that it will be useful,
+;; but WITHOUT ANY WARRANTY; without even the implied warranty of
+;; MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+;; GNU General Public License for more details.
+;; 
+;; You should have received a copy of the GNU General Public License along
+;; with this program; if not, write to the Free Software Foundation, Inc.,
+;; 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+;;
+
+;; ----------------------------------------------------------------
+;; qa-bitset -- interface to mblock QA code
+;;
+
+(define-protocol-class qa-bitset
+
+  (:incoming
+
+   (data n bitmask)
+
+   )
+  )
+
+(define-protocol-class qa-bitset-cs
+
+  (:outgoing
+
+   (send-batch)
+
+   )
+  )
+
+;; ----------------------------------------------------------------
+;; qa-disconnect -- interface to mblock QA code
+;;
+
+(define-protocol-class qa-disconnect-cs
+
+  (:outgoing
+
+   (select-pipe n)
+
+   )
+
+  (:incoming
+
+   (ack n)
+
+   )
+  )
diff --git a/mblock/src/lib/qa_bitset_mbh.cc b/mblock/src/lib/qa_bitset_mbh.cc
new file mode 100644 (file)
index 0000000..5940818
--- /dev/null
@@ -0,0 +1,18 @@
+//
+// Machine generated by compile-mbh from ./qa_bitset.mbh
+//
+// protocol-classes: qa-disconnect-cs qa-bitset-cs qa-bitset 
+//
+#include <mblock/protocol_class.h>
+#include <unistd.h>
+static const char
+protocol_class_init_data[108] = {
+  7, 2, 0, 16, 113, 97, 45, 100, 105, 115, 99, 111, 110, 110, 101, 99, 
+  116, 45, 99, 115, 7, 7, 2, 0, 3, 97, 99, 107, 6, 7, 7, 2, 
+  0, 11, 115, 101, 108, 101, 99, 116, 45, 112, 105, 112, 101, 6, 6, 7, 
+  2, 0, 12, 113, 97, 45, 98, 105, 116, 115, 101, 116, 45, 99, 115, 7, 
+  6, 7, 7, 2, 0, 10, 115, 101, 110, 100, 45, 98, 97, 116, 99, 104, 
+  6, 6, 7, 2, 0, 9, 113, 97, 45, 98, 105, 116, 115, 101, 116, 7, 
+  7, 2, 0, 4, 100, 97, 116, 97, 6, 7, 6, 6, 
+};
+static mb_protocol_class_init _init_(protocol_class_init_data, sizeof(protocol_class_init_data));
diff --git a/mblock/src/lib/qa_disconnect.cc b/mblock/src/lib/qa_disconnect.cc
new file mode 100644 (file)
index 0000000..3f44bb5
--- /dev/null
@@ -0,0 +1,239 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <mblock/mblock.h>
+#include <mblock/protocol_class.h>
+#include <mblock/message.h>
+#include <mblock/class_registry.h>
+#include <iostream>
+#include <cstdio>
+#include <sstream>
+#include <bitset>
+
+static pmt_t s_in = pmt_intern("in");
+static pmt_t s_out = pmt_intern("out");
+static pmt_t s_data = pmt_intern("data");
+static pmt_t s_ack = pmt_intern("ack");
+static pmt_t s_select_pipe = pmt_intern("select-pipe");
+static pmt_t s_long0 = pmt_from_long(0);
+static pmt_t s_sys_port = pmt_intern("%sys-port");
+static pmt_t s_shutdown = pmt_intern("%shutdown");
+
+class qa_disconnect_mux : public mb_mblock
+{
+  mb_port_sptr d_in;
+  mb_port_sptr d_out;
+  mb_port_sptr d_cs;
+
+public:
+  qa_disconnect_mux(mb_runtime *runtime, const std::string &instance_name, pmt_t user_arg);
+  void initial_transition();
+  void handle_message(mb_message_sptr msg);
+};
+
+qa_disconnect_mux::qa_disconnect_mux(mb_runtime *runtime,
+                                    const std::string &instance_name,
+                                    pmt_t user_arg)
+  : mb_mblock(runtime, instance_name, user_arg)
+{
+  d_in  = define_port("in", "qa-bitset", false, mb_port::RELAY);
+  d_out = define_port("out", "qa-bitset", true, mb_port::RELAY);
+  d_cs  = define_port("cs", "qa-disconnect-cs", true, mb_port::EXTERNAL);
+
+  define_component("pipeline0", "qa_bitset8", pmt_from_long(0));
+  define_component("pipeline1", "qa_bitset8", pmt_from_long(8));
+}
+
+void
+qa_disconnect_mux::initial_transition(){}
+
+void
+qa_disconnect_mux::handle_message(mb_message_sptr msg)
+{
+  if (pmt_eq(msg->port_id(), d_cs->port_symbol())      // select-pipe on cs
+      && pmt_eq(msg->signal(), s_select_pipe)){                
+
+    long which_pipe = pmt_to_long(pmt_nth(0, msg->data()));
+
+    disconnect_component("pipeline0");
+    disconnect_component("pipeline1");
+
+    switch(which_pipe){
+
+    case 0:
+      connect("self", "in",  "pipeline0", "in");
+      connect("self", "out", "pipeline0", "out");
+      break;
+
+    case 1:
+      connect("self", "in",  "pipeline1", "in");
+      connect("self", "out", "pipeline1", "out");
+      break;
+    }
+
+    d_cs->send(s_ack, msg->data());
+    return;
+  }
+}
+
+REGISTER_MBLOCK_CLASS(qa_disconnect_mux);
+
+// ------------------------------------------------------------------------
+
+class qa_disconnect_top : public mb_mblock
+{
+  enum state_t {
+    UNINITIALIZED,
+    WAIT_FOR_ACK,
+    WAIT_FOR_DATA
+  };
+
+  state_t      d_state;
+  int          d_msg_number;
+  int          d_nmsgs_to_send;
+
+  mb_port_sptr d_in;
+  mb_port_sptr d_out;
+  mb_port_sptr d_cs;
+
+  void check_pipe_send_next_msg();
+  void send_next_msg();
+  void select_pipe(int n);
+
+  // alternate pipes every 128 messages
+  static int  which_pipe(int msg_number) { return (msg_number >> 7) & 0x1; }
+  bool time_to_switch() { return (d_msg_number & 0x7f) == 0; }
+  
+public:
+  qa_disconnect_top(mb_runtime *runtime, const std::string &instance_name, pmt_t user_arg);
+  void initial_transition();
+  void handle_message(mb_message_sptr msg);
+};
+
+qa_disconnect_top::qa_disconnect_top(mb_runtime *runtime,
+                                    const std::string &instance_name,
+                                    pmt_t user_arg)
+  : mb_mblock(runtime, instance_name, user_arg),
+    d_state(UNINITIALIZED), d_msg_number(0)
+{
+  d_nmsgs_to_send = pmt_to_long(pmt_nth(0, user_arg));
+
+  d_in  = define_port("in", "qa-bitset", false, mb_port::INTERNAL);
+  d_out = define_port("out", "qa-bitset", true, mb_port::INTERNAL);
+  d_cs  = define_port("cs", "qa-disconnect-cs", false, mb_port::INTERNAL);
+
+  define_component("mux", "qa_disconnect_mux", PMT_F);
+
+  connect("self", "cs",  "mux", "cs");
+  connect("self", "out", "mux", "in");
+  connect("self", "in",  "mux", "out");
+}
+
+void
+qa_disconnect_top::initial_transition()
+{
+  check_pipe_send_next_msg();
+}
+
+void
+qa_disconnect_top::handle_message(mb_message_sptr msg)
+{
+  if (0)
+    std::cerr << "qa_disconnect_top::handle_msg state = "
+             << d_state << "\n  msg = " << msg << std::endl;
+
+  if (pmt_eq(msg->port_id(), d_cs->port_symbol())      // ack on cs
+      && pmt_eq(msg->signal(), s_ack)
+      && d_state == WAIT_FOR_ACK){
+
+    send_next_msg();
+    return;
+  }
+
+  if (pmt_eq(msg->port_id(), d_in->port_symbol())      // data on in
+      && pmt_eq(msg->signal(), s_data)
+      && d_state == WAIT_FOR_DATA){
+
+    /* 
+     * Confirm that msg passed through the pipe that we expect...
+     */
+    static const long expected_mask[2] = { 0x000000ff, 0x0000ff00 };
+
+    long msg_number = pmt_to_long(pmt_car(msg->data()));
+    long mask = pmt_to_long(pmt_cdr(msg->data()));
+
+    if (mask != expected_mask[which_pipe(msg_number)]){
+      fprintf(stderr, "\nqa_disconnect_top: wrong mask in msg_number = 0x%08lx\n",
+             msg_number);
+      fprintf(stderr, "  expected = 0x%08lx, actual = 0x%08lx\n",
+             expected_mask[which_pipe(msg_number)], mask);
+      shutdown_all(PMT_F);
+      return;
+    }
+
+    if (msg_number == d_nmsgs_to_send - 1){    // we're done (and were successful)
+      shutdown_all(PMT_T);
+      return;
+    }
+
+    check_pipe_send_next_msg();
+    return;
+  }
+
+  if (pmt_eq(msg->port_id(), s_sys_port)       // ignore %shutdown on %sys-port
+      && pmt_eq(msg->signal(), s_shutdown))
+    return;
+
+  std::cerr << "qa_disconnect_top: unhandled msg: state = "
+           << d_state << "\n  msg = " << msg << std::endl;
+}
+
+void
+qa_disconnect_top::select_pipe(int n)
+{
+  d_cs->send(s_select_pipe, pmt_list1(pmt_from_long(n)));
+  d_state = WAIT_FOR_ACK;
+}
+
+void
+qa_disconnect_top::send_next_msg()
+{
+  d_state = WAIT_FOR_DATA;
+  if (d_msg_number == d_nmsgs_to_send) // we've sent all we're supposed to
+    return;
+
+  d_out->send(s_data, pmt_cons(pmt_from_long(d_msg_number), s_long0));
+  d_msg_number++;
+}
+
+void
+qa_disconnect_top::check_pipe_send_next_msg()
+{
+  if (time_to_switch())
+    select_pipe(which_pipe(d_msg_number));
+  else
+    send_next_msg();
+}
+
+REGISTER_MBLOCK_CLASS(qa_disconnect_top);
diff --git a/mblock/src/lib/qa_mblock.cc b/mblock/src/lib/qa_mblock.cc
new file mode 100644 (file)
index 0000000..c062979
--- /dev/null
@@ -0,0 +1,44 @@
+/*
+ * Copyright 2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 59 Temple Place - Suite 330,
+ * Boston, MA 02111-1307, USA.
+ */
+
+/*
+ * This class gathers together all the test cases for mblock into
+ * a single test suite.  As you create new test cases, add them here.
+ */
+
+#include <qa_mblock.h>
+#include <qa_mblock_prims.h>
+#include <qa_mblock_send.h>
+#include <qa_mblock_sys.h>
+#include <qa_timeouts.h>
+
+CppUnit::TestSuite *
+qa_mblock::suite()
+{
+  CppUnit::TestSuite   *s = new CppUnit::TestSuite("mblock");
+
+  s->addTest (qa_mblock_prims::suite());
+  s->addTest (qa_mblock_send::suite());
+  s->addTest (qa_mblock_sys::suite());
+  s->addTest (qa_timeouts::suite());
+  
+  return s;
+}
diff --git a/mblock/src/lib/qa_mblock.h b/mblock/src/lib/qa_mblock.h
new file mode 100644 (file)
index 0000000..056c422
--- /dev/null
@@ -0,0 +1,36 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 59 Temple Place - Suite 330,
+ * Boston, MA 02111-1307, USA.
+ */
+
+#ifndef INCLUDED_QA_MBLOCK_H
+#define INCLUDED_QA_MBLOCK_H
+
+#include <cppunit/TestSuite.h>
+
+//! collect all the tests for mblock
+
+class qa_mblock {
+ public:
+  //! return suite of tests for all of mblock
+  static CppUnit::TestSuite *suite();
+};
+
+#endif /* INCLUDED_QA_MBLOCK_H */
diff --git a/mblock/src/lib/qa_mblock_prims.cc b/mblock/src/lib/qa_mblock_prims.cc
new file mode 100644 (file)
index 0000000..2eed3af
--- /dev/null
@@ -0,0 +1,446 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006,2007,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 59 Temple Place - Suite 330,
+ * Boston, MA 02111-1307, USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+
+#include <qa_mblock_prims.h>
+#include <cppunit/TestAssert.h>
+#include <mblock/mblock.h>
+#include <mblock/runtime.h>
+#include <mblock/protocol_class.h>
+#include <mblock/exception.h>
+#include <mblock/msg_queue.h>
+#include <mblock/message.h>
+#include <mb_mblock_impl.h>
+#include <mblock/msg_accepter.h>
+#include <mblock/class_registry.h>
+#include <stdio.h>
+
+static pmt_t s_cs = pmt_intern("cs");
+static pmt_t s_debug = pmt_intern("debug");
+static pmt_t s_in = pmt_intern("in");
+static pmt_t s_out = pmt_intern("out");
+  
+
+// ================================================================
+
+class dp_1 : public mb_mblock
+{
+public:
+  dp_1(mb_runtime *runtime, const std::string &instance_name, pmt_t user_arg);
+  ~dp_1();
+};
+
+dp_1::dp_1(mb_runtime *runtime, const std::string &instance_name, pmt_t user_arg)
+  : mb_mblock(runtime, instance_name, user_arg)
+{
+}
+
+dp_1::~dp_1(){}
+
+REGISTER_MBLOCK_CLASS(dp_1);
+
+// ----------------------------------------------------------------
+
+class dp_2 : public mb_mblock
+{
+public:
+  dp_2(mb_runtime *runtime, const std::string &instance_name, pmt_t user_arg);
+  ~dp_2();
+};
+
+dp_2::dp_2(mb_runtime *runtime, const std::string &instance_name, pmt_t user_arg)
+  : mb_mblock(runtime, instance_name, user_arg)
+{
+  define_port("cs", "cs-protocol", false, mb_port::EXTERNAL);
+}
+
+dp_2::~dp_2(){}
+
+REGISTER_MBLOCK_CLASS(dp_2);
+
+// ----------------------------------------------------------------
+
+class dp_3 : public mb_mblock
+{
+public:
+  dp_3(mb_runtime *runtime, const std::string &instance_name, pmt_t user_arg);
+  ~dp_3();
+};
+
+dp_3::dp_3(mb_runtime *runtime, const std::string &instance_name, pmt_t user_arg)
+  : mb_mblock(runtime, instance_name, user_arg)
+{
+  define_port("cs", "cs-protocol", false, mb_port::EXTERNAL);
+  define_port("cs", "cs-protocol", false, mb_port::EXTERNAL);  // duplicate def
+}
+
+dp_3::~dp_3(){}
+
+REGISTER_MBLOCK_CLASS(dp_3);
+
+// ----------------------------------------------------------------
+
+void
+qa_mblock_prims::test_define_ports()
+{
+  
+  mb_runtime_sptr rts = mb_make_runtime();
+  mb_runtime *rt = rts.get();
+  
+  // Should work
+  mb_mblock_sptr  mb1 = mb_mblock_sptr(new dp_1(rt, "top", PMT_F));
+
+  // raises runtime_error because of unknown protocol "cs-protocol"
+  CPPUNIT_ASSERT_THROW(mb_mblock_sptr(new dp_2(rt, "top", PMT_F)),
+                      std::runtime_error);
+
+  // define the protocol class
+  pmt_t pc = mb_make_protocol_class(pmt_intern("cs-protocol"),
+                                   pmt_list2(pmt_intern("start"),
+                                             pmt_intern("stop")),
+                                   PMT_NIL);
+
+  // std::cout << "pc = " << pc << '\n';
+
+  mb_mblock_sptr mb2 = mb_mblock_sptr(new dp_2(rt, "top", PMT_F));
+
+  // raises pmt_exception because of duplicate port definition of "cs"
+  CPPUNIT_ASSERT_THROW(mb_mblock_sptr(new dp_3(rt, "top", PMT_F)),
+                      mbe_duplicate_port);
+}
+
+// ================================================================
+
+class dc_0 : public mb_mblock
+{
+public:
+  dc_0(mb_runtime *runtime, const std::string &instance_name, pmt_t user_arg);
+  ~dc_0();
+};
+
+dc_0::dc_0(mb_runtime *runtime, const std::string &instance_name, pmt_t user_arg)
+  : mb_mblock(runtime, instance_name, user_arg)
+{
+}
+
+dc_0::~dc_0() {}
+
+REGISTER_MBLOCK_CLASS(dc_0);
+
+// ----------------------------------------------------------------
+
+class dc_ok : public mb_mblock
+{
+public:
+  dc_ok(mb_runtime *runtime, const std::string &instance_name, pmt_t user_arg);
+  ~dc_ok();
+};
+
+dc_ok::dc_ok(mb_runtime *runtime, const std::string &instance_name, pmt_t user_arg)
+  : mb_mblock(runtime, instance_name, user_arg)
+{
+  define_component("c0", "dc_0");
+  define_component("c1", "dc_0");
+  define_component("c2", "dc_0");
+}
+
+dc_ok::~dc_ok(){}
+
+REGISTER_MBLOCK_CLASS(dc_ok);
+
+// ----------------------------------------------------------------
+
+class dc_not_ok : public mb_mblock
+{
+public:
+  dc_not_ok(mb_runtime *runtime, const std::string &instance_name, pmt_t user_arg);
+  ~dc_not_ok();
+};
+
+dc_not_ok::dc_not_ok(mb_runtime *runtime, const std::string &instance_name, pmt_t user_arg)
+  : mb_mblock(runtime, instance_name, user_arg)
+{
+  define_component("c0", "dc_0");
+  define_component("c0", "dc_0");      // duplicate name
+}
+
+dc_not_ok::~dc_not_ok(){}
+
+REGISTER_MBLOCK_CLASS(dc_not_ok);
+
+// ----------------------------------------------------------------
+
+void
+qa_mblock_prims::test_define_components()
+{
+  mb_runtime_sptr rts = mb_make_runtime();
+  mb_runtime *rt = rts.get();
+  
+  // Should work
+  mb_mblock_sptr  mb1 = mb_mblock_sptr(new dc_ok(rt, "top", PMT_F));
+
+  // raises pmt_exception because of duplicate component definition of "c0"
+  CPPUNIT_ASSERT_THROW(mb_mblock_sptr(new dc_not_ok(rt, "top", PMT_F)),
+                      mbe_duplicate_component);
+}
+
+// ================================================================
+
+class tc_norm : public mb_mblock
+{
+public:
+  tc_norm(mb_runtime *runtime, const std::string &instance_name, pmt_t user_arg)
+    : mb_mblock(runtime, instance_name, user_arg)
+  {
+    define_port("data", "i/o", false, mb_port::EXTERNAL);
+    define_port("norm", "i/o", false, mb_port::EXTERNAL);
+    define_port("conj", "i/o", true,  mb_port::EXTERNAL);
+    define_port("int",  "i/o", false, mb_port::INTERNAL);
+  }
+
+  ~tc_norm();
+};
+
+tc_norm::~tc_norm(){}
+
+REGISTER_MBLOCK_CLASS(tc_norm);
+
+////////////////////////////////////////////////////////////////
+
+class tc_0 : public mb_mblock
+{
+public:
+  tc_0(mb_runtime *runtime, const std::string &instance_name, pmt_t user_arg)
+    : mb_mblock(runtime, instance_name, user_arg)
+  {
+    define_port("norm", "i/o", false, mb_port::EXTERNAL);
+    define_port("conj", "i/o", true,  mb_port::EXTERNAL);
+    define_port("int",  "i/o", false, mb_port::INTERNAL);
+
+    define_component("c0", "tc_norm");
+    define_component("c1", "tc_norm");
+    define_component("c2", "tc_norm");
+    define_component("c3", "tc_norm");
+    define_component("c4", "tc_norm");
+    define_component("c5", "tc_norm");
+
+    // OK
+    connect("c0", "norm", "c1", "conj");
+
+    // No:  No such component name
+    CPPUNIT_ASSERT_THROW(connect("foo", "data", "c1", "norm"), mbe_no_such_component);
+
+    // No:  No such port name
+    CPPUNIT_ASSERT_THROW(connect("c0", "data", "c1", "foo"), mbe_no_such_port);
+
+    // No:  already connected
+    CPPUNIT_ASSERT_THROW(connect("c0", "norm", "c2", "data"), mbe_already_connected);
+
+    // No:  already connected
+    CPPUNIT_ASSERT_THROW(connect("c2", "data", "c0", "norm"), mbe_already_connected);
+
+    // No: incompatible ports
+    CPPUNIT_ASSERT_THROW(connect("c1", "norm", "c2", "norm"), mbe_incompatible_ports);
+
+    // OK
+    connect("c1", "norm", "c2", "conj");
+
+    // No: No such port name
+    CPPUNIT_ASSERT_THROW(connect("c2", "norm", "self", "foo"), mbe_no_such_port);
+
+    // No: can't connect to child's internal port
+    CPPUNIT_ASSERT_THROW(connect("c0", "conj", "c2", "int"), mbe_no_such_port);
+
+    // No: can't connect to our own external port
+    CPPUNIT_ASSERT_THROW(connect("self", "norm", "c0", "conj"), mbe_invalid_port_type);
+
+    // OK:  connecting to one of our internal ports
+    connect("self", "int", "c3", "conj");
+
+    // =====  Now test disconnecting some stuff =====
+
+    // Confirm we're already connected
+    CPPUNIT_ASSERT_THROW(connect("self", "int", "c3", "conj"), mbe_already_connected);
+
+    int nc = nconnections();
+    disconnect("self", "int", "c3", "conj");   // disconnect
+    CPPUNIT_ASSERT_EQUAL(nc-1, nconnections());
+    
+    connect("self", "int", "c3", "conj");      // reconnect
+    CPPUNIT_ASSERT_EQUAL(nc, nconnections());
+
+    // confirm we're already connected
+    CPPUNIT_ASSERT_THROW(connect("self", "int", "c3", "conj"), mbe_already_connected);
+
+
+    connect("c0", "conj", "c5", "data");
+    connect("c4", "norm", "c5", "conj");
+    connect("c4", "conj", "c5", "norm");
+
+    nc = nconnections();
+    disconnect_component("c4");
+    CPPUNIT_ASSERT_EQUAL(nc-2, nconnections());
+
+    disconnect_component("c5");
+    CPPUNIT_ASSERT_EQUAL(nc-3, nconnections());
+
+    disconnect_all();
+    CPPUNIT_ASSERT_EQUAL(0, nconnections());
+
+  }
+
+  ~tc_0();
+};
+
+tc_0::~tc_0(){}
+
+REGISTER_MBLOCK_CLASS(tc_0);
+
+////////////////////////////////////////////////////////////////
+
+class tc_1 : public mb_mblock
+{
+public:
+  tc_1(mb_runtime *runtime, const std::string &instance_name, pmt_t user_arg)
+    : mb_mblock(runtime, instance_name, user_arg)
+  {
+    define_component("c0", "tc_norm");
+    define_component("c1", "tc_norm");
+
+    connect("c0", "norm", "c1", "conj");
+  }
+
+  ~tc_1();
+};
+
+tc_1::~tc_1(){}
+
+REGISTER_MBLOCK_CLASS(tc_1);
+
+////////////////////////////////////////////////////////////////
+
+void
+qa_mblock_prims::test_connect()
+{
+  // define the protocol class
+  mb_make_protocol_class(pmt_intern("data"),                   // name of class
+                        pmt_list1(pmt_intern("data")),         // in
+                        PMT_NIL);                              // out
+
+  mb_make_protocol_class(pmt_intern("i/o"),                    // name of class
+                        pmt_list1(pmt_intern("in")),           // in
+                        pmt_list1(pmt_intern("out")));         // out
+
+  mb_runtime_sptr rts = mb_make_runtime();
+  mb_runtime *rt = rts.get();
+
+  mb_mblock_sptr       mb0 = mb_mblock_sptr(new tc_0(rt, "top", PMT_F));
+}
+
+////////////////////////////////////////////////////////////////
+
+void
+qa_mblock_prims::test_msg_queue()
+{
+  mb_msg_queue q;
+
+  // check initial state
+  CPPUNIT_ASSERT(q.get_highest_pri_msg_nowait() == 0);
+
+  CPPUNIT_ASSERT(MB_NPRI >= 5);        // sanity check for this test
+
+  // insert three messages at the same pri and ensure that they come out in order
+  //                       signal       data          metadata     pri
+  q.insert(mb_make_message(PMT_NIL, pmt_from_long(0), PMT_NIL, MB_PRI_BEST + 2));
+  q.insert(mb_make_message(PMT_NIL, pmt_from_long(1), PMT_NIL, MB_PRI_BEST + 2));
+  q.insert(mb_make_message(PMT_NIL, pmt_from_long(2), PMT_NIL, MB_PRI_BEST + 2));
+  
+  CPPUNIT_ASSERT_EQUAL(0L, pmt_to_long(q.get_highest_pri_msg_nowait()->data()));
+  CPPUNIT_ASSERT_EQUAL(1L, pmt_to_long(q.get_highest_pri_msg_nowait()->data()));
+  CPPUNIT_ASSERT_EQUAL(2L, pmt_to_long(q.get_highest_pri_msg_nowait()->data()));
+
+  CPPUNIT_ASSERT(q.get_highest_pri_msg_nowait() == 0);
+
+
+  // insert messages of different priorities in pseudo-random order
+  //                       signal   data     metadata     pri
+  q.insert(mb_make_message(PMT_NIL, PMT_NIL, PMT_NIL, MB_PRI_BEST + 3));
+  q.insert(mb_make_message(PMT_NIL, PMT_NIL, PMT_NIL, MB_PRI_BEST + 2));
+  q.insert(mb_make_message(PMT_NIL, PMT_NIL, PMT_NIL, MB_PRI_BEST + 4));
+  q.insert(mb_make_message(PMT_NIL, PMT_NIL, PMT_NIL, MB_PRI_BEST + 0));
+  q.insert(mb_make_message(PMT_NIL, PMT_NIL, PMT_NIL, MB_PRI_BEST + 1));
+  q.insert(mb_make_message(PMT_NIL, PMT_NIL, PMT_NIL, MB_PRI_BEST + 3));
+  q.insert(mb_make_message(PMT_NIL, PMT_NIL, PMT_NIL, MB_PRI_BEST + 2));
+  q.insert(mb_make_message(PMT_NIL, PMT_NIL, PMT_NIL, MB_PRI_BEST + 4));
+  q.insert(mb_make_message(PMT_NIL, PMT_NIL, PMT_NIL, MB_PRI_BEST + 0));
+  q.insert(mb_make_message(PMT_NIL, PMT_NIL, PMT_NIL, MB_PRI_BEST + 1));
+
+  // confirm that they come out in order
+  CPPUNIT_ASSERT_EQUAL(MB_PRI_BEST + 0, q.get_highest_pri_msg_nowait()->priority());
+  CPPUNIT_ASSERT_EQUAL(MB_PRI_BEST + 0, q.get_highest_pri_msg_nowait()->priority());
+  CPPUNIT_ASSERT_EQUAL(MB_PRI_BEST + 1, q.get_highest_pri_msg_nowait()->priority());
+  CPPUNIT_ASSERT_EQUAL(MB_PRI_BEST + 1, q.get_highest_pri_msg_nowait()->priority());
+  CPPUNIT_ASSERT_EQUAL(MB_PRI_BEST + 2, q.get_highest_pri_msg_nowait()->priority());
+  CPPUNIT_ASSERT_EQUAL(MB_PRI_BEST + 2, q.get_highest_pri_msg_nowait()->priority());
+  CPPUNIT_ASSERT_EQUAL(MB_PRI_BEST + 3, q.get_highest_pri_msg_nowait()->priority());
+  CPPUNIT_ASSERT_EQUAL(MB_PRI_BEST + 3, q.get_highest_pri_msg_nowait()->priority());
+  CPPUNIT_ASSERT_EQUAL(MB_PRI_BEST + 4, q.get_highest_pri_msg_nowait()->priority());
+  CPPUNIT_ASSERT_EQUAL(MB_PRI_BEST + 4, q.get_highest_pri_msg_nowait()->priority());
+  
+  // check final state
+  CPPUNIT_ASSERT(q.get_highest_pri_msg_nowait() == 0);
+}
+
+////////////////////////////////////////////////////////////////
+
+void
+qa_mblock_prims::test_make_accepter()
+{
+  mb_runtime_sptr rts = mb_make_runtime();
+  mb_runtime *rt = rts.get();
+
+  // create a block
+  mb_mblock_sptr mb = mb_mblock_sptr(new dp_2(rt, "top", PMT_F));
+
+  // use "internal use only" method...
+  mb_msg_accepter_sptr accepter = mb->impl()->make_accepter(pmt_intern("cs"));
+
+  // Now push a few messages into it...
+  //          signal       data          metadata     pri
+  (*accepter)(PMT_NIL, pmt_from_long(0), PMT_NIL, MB_PRI_BEST + 2);
+  (*accepter)(PMT_NIL, pmt_from_long(1), PMT_NIL, MB_PRI_BEST + 2);
+  (*accepter)(PMT_NIL, pmt_from_long(2), PMT_NIL, MB_PRI_BEST + 2);
+
+  // try to pull them out
+
+  pmt_t cs = pmt_intern("cs");
+
+  mb_message_sptr msg = mb->impl()->msgq().get_highest_pri_msg_nowait();
+  CPPUNIT_ASSERT(pmt_eq(cs, msg->port_id()));        // confirm that port_id is set
+  CPPUNIT_ASSERT_EQUAL(0L, pmt_to_long(msg->data())); // and that data is correct
+
+  CPPUNIT_ASSERT_EQUAL(1L, pmt_to_long(mb->impl()->msgq().get_highest_pri_msg_nowait()->data()));
+  CPPUNIT_ASSERT_EQUAL(2L, pmt_to_long(mb->impl()->msgq().get_highest_pri_msg_nowait()->data()));
+}
diff --git a/mblock/src/lib/qa_mblock_prims.h b/mblock/src/lib/qa_mblock_prims.h
new file mode 100644 (file)
index 0000000..cf928ee
--- /dev/null
@@ -0,0 +1,47 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006,2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 59 Temple Place - Suite 330,
+ * Boston, MA 02111-1307, USA.
+ */
+#ifndef INCLUDED_QA_MBLOCK_PRIMS_H
+#define INCLUDED_QA_MBLOCK_PRIMS_H
+
+#include <cppunit/extensions/HelperMacros.h>
+#include <cppunit/TestCase.h>
+
+class qa_mblock_prims : public CppUnit::TestCase {
+
+  CPPUNIT_TEST_SUITE(qa_mblock_prims);
+  CPPUNIT_TEST(test_define_ports);
+  CPPUNIT_TEST(test_define_components);
+  CPPUNIT_TEST(test_connect);
+  CPPUNIT_TEST(test_msg_queue);
+  CPPUNIT_TEST(test_make_accepter);
+  CPPUNIT_TEST_SUITE_END();
+
+ private:
+  void test_define_ports();
+  void test_define_components();
+  void test_connect();
+  void test_msg_queue();
+  void test_make_accepter();
+};
+
+#endif /* INCLUDED_QA_MBLOCK_PRIMS_H */
+
diff --git a/mblock/src/lib/qa_mblock_send.cc b/mblock/src/lib/qa_mblock_send.cc
new file mode 100644 (file)
index 0000000..114dbdc
--- /dev/null
@@ -0,0 +1,475 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006,2007,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 59 Temple Place - Suite 330,
+ * Boston, MA 02111-1307, USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+
+#include <qa_mblock_send.h>
+#include <cppunit/TestAssert.h>
+#include <mblock/mblock.h>
+#include <mblock/runtime.h>
+#include <mb_runtime_nop.h>            // QA only
+#include <mblock/protocol_class.h>
+#include <mblock/exception.h>
+#include <mblock/msg_queue.h>
+#include <mblock/message.h>
+#include <mb_mblock_impl.h>
+#include <mblock/msg_accepter.h>
+#include <mblock/class_registry.h>
+#include <stdio.h>
+
+static pmt_t s_data    = pmt_intern("data");
+static pmt_t s_status  = pmt_intern("status");
+static pmt_t s_control = pmt_intern("control");
+static pmt_t s_p0   = pmt_intern("p0");
+static pmt_t s_p1   = pmt_intern("p1");
+static pmt_t s_p2   = pmt_intern("p2");
+static pmt_t s_p3   = pmt_intern("p3");
+static pmt_t s_e1   = pmt_intern("e1");
+static pmt_t s_r1   = pmt_intern("r1");
+
+static void
+define_protocol_classes()
+{
+  // Defined from client point-of-view.
+  mb_make_protocol_class(pmt_intern("qa-send-cs"),     // name
+                        pmt_list1(s_status),           // incoming
+                        pmt_list1(s_control));         // outgoing
+
+}
+
+mb_mblock_sptr
+get_top(mb_runtime_sptr rts)
+{
+  return dynamic_cast<mb_runtime_nop *>(rts.get())->top();
+}
+
+// ================================================================
+//                    test_simple_routing
+// ================================================================
+
+// sub-block for test_simple_routing
+
+class sr1 : public mb_mblock
+{
+  mb_port_sptr d_p1;
+  mb_port_sptr d_p2;
+  mb_port_sptr d_p3;
+
+public:
+  sr1(mb_runtime *runtime, const std::string &instance_name, pmt_t user_arg);
+  ~sr1();
+  void initial_transition();
+};
+
+sr1::sr1(mb_runtime *runtime, const std::string &instance_name, pmt_t user_arg)
+  : mb_mblock(runtime, instance_name, user_arg)
+{
+  d_p1 = define_port("p1", "qa-send-cs", true, mb_port::EXTERNAL);
+  d_p2 = define_port("p2", "qa-send-cs", true, mb_port::EXTERNAL);
+  d_p3 = define_port("p3", "qa-send-cs", false, mb_port::EXTERNAL);
+}
+
+sr1::~sr1(){}
+  
+void
+sr1::initial_transition()
+{
+  // std::cout << instance_name() << "[sr1]: initial_transition\n";
+
+  // send two messages to each port
+  pmt_t our_name = pmt_intern(instance_name());
+  d_p1->send(s_status, pmt_list3(our_name, s_p1, pmt_from_long(0)));
+  d_p1->send(s_status, pmt_list3(our_name, s_p1, pmt_from_long(1)));
+
+  d_p2->send(s_status, pmt_list3(our_name, s_p2, pmt_from_long(0)));
+  d_p2->send(s_status, pmt_list3(our_name, s_p2, pmt_from_long(1)));
+}
+
+REGISTER_MBLOCK_CLASS(sr1);
+
+// ----------------------------------------------------------------
+
+// top-level container block for test_simple_routing
+class sr0 : public mb_mblock
+{
+  mb_port_sptr d_p0;
+  
+public:
+  sr0(mb_runtime *runtime, const std::string &instance_name, pmt_t user_arg);
+  ~sr0();
+  void initial_transition();
+};
+
+sr0::sr0(mb_runtime *runtime, const std::string &instance_name, pmt_t user_arg)
+  : mb_mblock(runtime, instance_name, user_arg)
+{
+  d_p0 = define_port("p0", "qa-send-cs", false, mb_port::INTERNAL);
+
+  define_component("mb1", "sr1");
+  define_component("mb2", "sr1");
+
+  connect("self", "p0", "mb1", "p1");
+  connect("mb1", "p2", "mb2", "p3");
+  connect("mb1", "p3", "mb2", "p2");
+}
+
+sr0::~sr0(){}
+
+void
+sr0::initial_transition()
+{
+  // std::cout << instance_name() << "[sr0]: initial_transition\n";
+
+  // send two messages to p0
+  pmt_t our_name = pmt_intern(instance_name());
+  d_p0->send(s_control, pmt_list3(our_name, s_p0, pmt_from_long(0)));
+  d_p0->send(s_control, pmt_list3(our_name, s_p0, pmt_from_long(1)));
+}
+  
+REGISTER_MBLOCK_CLASS(sr0);
+
+// ----------------------------------------------------------------
+
+/*
+ * This tests basic message routing using INTERNAL and EXTERNAL ports.
+ * It does not rely on the guts of the runtime being complete,
+ * which is good, because at the time this is being written, it isn't.
+ */
+void
+qa_mblock_send::test_simple_routing()
+{
+  define_protocol_classes();
+
+  mb_message_sptr msg;
+
+  mb_runtime_sptr rt = mb_make_runtime_nop();
+  rt->run("top", "sr0", PMT_F);
+
+  mb_mblock_sptr mb0 = get_top(rt);
+  
+  // Reach into the guts and see if the messages ended up where they should have
+
+  // mb0 should have received two messages sent from mb1 via its p1
+  msg = mb0->impl()->msgq().get_highest_pri_msg_nowait();
+  CPPUNIT_ASSERT(msg);
+  // std::cerr << msg->data() << std::endl;
+  CPPUNIT_ASSERT_EQUAL(s_p0, msg->port_id());
+  CPPUNIT_ASSERT(pmt_equal(pmt_list3(pmt_intern("top/mb1"), s_p1, pmt_from_long(0)),
+                          msg->data()));
+
+  msg = mb0->impl()->msgq().get_highest_pri_msg_nowait();
+  CPPUNIT_ASSERT(msg);
+  // std::cerr << msg->data() << std::endl;
+  CPPUNIT_ASSERT_EQUAL(s_p0, msg->port_id());
+  CPPUNIT_ASSERT(pmt_equal(pmt_list3(pmt_intern("top/mb1"), s_p1, pmt_from_long(1)),
+                          msg->data()));
+
+  // mb1 should have received
+  //   two messages from mb0 via its p0 and
+  //   two messages from mb2 via its p3
+
+  mb_mblock_sptr mb1 = mb0->impl()->component("mb1");
+
+  msg = mb1->impl()->msgq().get_highest_pri_msg_nowait();
+  CPPUNIT_ASSERT(msg);
+  // std::cerr << msg->data() << std::endl;
+  CPPUNIT_ASSERT_EQUAL(s_p1, msg->port_id());
+  CPPUNIT_ASSERT(pmt_equal(pmt_list3(pmt_intern("top"), s_p0, pmt_from_long(0)),
+                          msg->data()));
+
+  msg = mb1->impl()->msgq().get_highest_pri_msg_nowait();
+  CPPUNIT_ASSERT(msg);
+  // std::cerr << msg->data() << std::endl;
+  CPPUNIT_ASSERT_EQUAL(s_p1, msg->port_id());
+  CPPUNIT_ASSERT(pmt_equal(pmt_list3(pmt_intern("top"), s_p0, pmt_from_long(1)),
+                          msg->data()));
+
+  msg = mb1->impl()->msgq().get_highest_pri_msg_nowait();
+  CPPUNIT_ASSERT(msg);
+  // std::cerr << msg->data() << std::endl;
+  CPPUNIT_ASSERT_EQUAL(s_p3, msg->port_id());
+  CPPUNIT_ASSERT(pmt_equal(pmt_list3(pmt_intern("top/mb2"), s_p2, pmt_from_long(0)),
+                          msg->data()));
+
+  msg = mb1->impl()->msgq().get_highest_pri_msg_nowait();
+  CPPUNIT_ASSERT(msg);
+  // std::cerr << msg->data() << std::endl;
+  CPPUNIT_ASSERT_EQUAL(s_p3, msg->port_id());
+  CPPUNIT_ASSERT(pmt_equal(pmt_list3(pmt_intern("top/mb2"), s_p2, pmt_from_long(1)),
+                          msg->data()));
+
+
+  // mb2 should have received
+  //   two messages from mb2 via its p2
+
+  mb_mblock_sptr mb2 = mb0->impl()->component("mb2");
+
+  msg = mb2->impl()->msgq().get_highest_pri_msg_nowait();
+  CPPUNIT_ASSERT(msg);
+  // std::cerr << msg->data() << std::endl;
+  CPPUNIT_ASSERT_EQUAL(s_p3, msg->port_id());
+  CPPUNIT_ASSERT(pmt_equal(pmt_list3(pmt_intern("top/mb1"), s_p2, pmt_from_long(0)),
+                          msg->data()));
+
+  msg = mb2->impl()->msgq().get_highest_pri_msg_nowait();
+  CPPUNIT_ASSERT(msg);
+  // std::cerr << msg->data() << std::endl;
+  CPPUNIT_ASSERT_EQUAL(s_p3, msg->port_id());
+  CPPUNIT_ASSERT(pmt_equal(pmt_list3(pmt_intern("top/mb1"), s_p2, pmt_from_long(1)),
+                          msg->data()));
+}
+
+// ================================================================
+//                    test_relay_routing_1
+// ================================================================
+
+// internal block for test_relay_routing
+
+class rr2 : public mb_mblock
+{
+  mb_port_sptr d_p1;
+  mb_port_sptr d_p2;
+
+public:
+  rr2(mb_runtime *runtime, const std::string &instance_name, pmt_t user_arg);
+  ~rr2();
+  void initial_transition();
+};
+
+rr2::rr2(mb_runtime *runtime, const std::string &instance_name, pmt_t user_arg)
+  : mb_mblock(runtime, instance_name, user_arg)
+{
+  d_p1 = define_port("p1", "qa-send-cs", true,  mb_port::EXTERNAL);
+  d_p2 = define_port("p2", "qa-send-cs", false, mb_port::EXTERNAL);
+}
+
+rr2::~rr2(){}
+  
+void
+rr2::initial_transition()
+{
+  // std::cout << instance_name() << "[rr2]: initial_transition\n";
+
+  // send two messages via p1
+  pmt_t our_name = pmt_intern(instance_name());
+  d_p1->send(s_status, pmt_list3(our_name, s_p1, pmt_from_long(0)));
+  d_p1->send(s_status, pmt_list3(our_name, s_p1, pmt_from_long(1)));
+}
+
+REGISTER_MBLOCK_CLASS(rr2);
+
+// ----------------------------------------------------------------
+
+// intermediate block for test_relay_routing
+
+class rr1 : public mb_mblock
+{
+  mb_port_sptr d_p1;
+  mb_port_sptr d_p2;
+
+public:
+  rr1(mb_runtime *runtime, const std::string &instance_name, pmt_t user_arg);
+  ~rr1();
+};
+
+rr1::rr1(mb_runtime *runtime, const std::string &instance_name, pmt_t user_arg)
+  : mb_mblock(runtime, instance_name, user_arg)
+{
+  d_p1 = define_port("p1", "qa-send-cs", true,  mb_port::RELAY);
+  d_p2 = define_port("p2", "qa-send-cs", false, mb_port::RELAY);
+
+  define_component("c0", "rr2");
+
+  connect("self", "p1", "c0", "p1");
+  connect("self", "p2", "c0", "p2");
+}
+
+rr1::~rr1(){}
+
+REGISTER_MBLOCK_CLASS(rr1);
+
+// ----------------------------------------------------------------
+
+// top-level container for test_relay_routing
+
+class rr0_a : public mb_mblock
+{
+public:
+  rr0_a(mb_runtime *runtime, const std::string &instance_name, pmt_t user_arg);
+  ~rr0_a();
+};
+
+rr0_a::rr0_a(mb_runtime *runtime, const std::string &instance_name, pmt_t user_arg)
+  : mb_mblock(runtime, instance_name, user_arg)
+{
+  define_component("c0", "rr1");
+  define_component("c1", "rr2");
+
+  connect("c0", "p1", "c1", "p2");
+  connect("c0", "p2", "c1", "p1");
+}
+
+rr0_a::~rr0_a(){}
+
+REGISTER_MBLOCK_CLASS(rr0_a);
+
+/*
+ * This tests basic message routing using RELAY and EXTERNAL ports.
+ * It does not rely on the guts of the runtime being complete,
+ * which is good, because at the time this is being written, it isn't.
+ */
+void
+qa_mblock_send::test_relay_routing_1()
+{
+  mb_message_sptr msg;
+
+  mb_runtime_sptr rt = mb_make_runtime_nop();
+  rt->run("top", "rr0_a", PMT_F);
+  mb_mblock_sptr top = get_top(rt);
+
+  // Reach into the guts and see if the messages ended up where they should have
+
+  mb_mblock_sptr c0 = top->impl()->component("c0");
+  mb_mblock_sptr c0c0 = c0->impl()->component("c0");
+
+  mb_mblock_sptr c1 = top->impl()->component("c1");
+
+  // c0c0 should have received
+  //   two message from c1 via its p2
+
+  msg = c0c0->impl()->msgq().get_highest_pri_msg_nowait();
+  CPPUNIT_ASSERT(msg);
+  //std::cerr << msg->data() << std::endl;
+  CPPUNIT_ASSERT_EQUAL(s_p2, msg->port_id());
+  CPPUNIT_ASSERT(pmt_equal(pmt_list3(pmt_intern("top/c1"), s_p1, pmt_from_long(0)),
+                          msg->data()));
+
+  msg = c0c0->impl()->msgq().get_highest_pri_msg_nowait();
+  CPPUNIT_ASSERT(msg);
+  //std::cerr << msg->data() << std::endl;
+  CPPUNIT_ASSERT_EQUAL(s_p2, msg->port_id());
+  CPPUNIT_ASSERT(pmt_equal(pmt_list3(pmt_intern("top/c1"), s_p1, pmt_from_long(1)),
+                          msg->data()));
+
+  // c1 should have received
+  //   two message from c0c0 via its p2
+
+  msg = c1->impl()->msgq().get_highest_pri_msg_nowait();
+  CPPUNIT_ASSERT(msg);
+  //std::cerr << msg->data() << std::endl;
+  CPPUNIT_ASSERT_EQUAL(s_p2, msg->port_id());
+  CPPUNIT_ASSERT(pmt_equal(pmt_list3(pmt_intern("top/c0/c0"), s_p1, pmt_from_long(0)),
+                          msg->data()));
+
+  msg = c1->impl()->msgq().get_highest_pri_msg_nowait();
+  CPPUNIT_ASSERT(msg);
+  //std::cerr << msg->data() << std::endl;
+  CPPUNIT_ASSERT_EQUAL(s_p2, msg->port_id());
+  CPPUNIT_ASSERT(pmt_equal(pmt_list3(pmt_intern("top/c0/c0"), s_p1, pmt_from_long(1)),
+                          msg->data()));
+}
+
+// ================================================================
+//                    test_relay_routing_2
+// ================================================================
+
+// top-level container for test_relay_routing_2
+
+class rr0_b : public mb_mblock
+{
+public:
+  rr0_b(mb_runtime *runtime, const std::string &instance_name, pmt_t user_arg);
+  ~rr0_b();
+};
+
+rr0_b::rr0_b(mb_runtime *runtime, const std::string &instance_name, pmt_t user_arg)
+  : mb_mblock(runtime, instance_name, user_arg)
+{
+  define_component("c0", "rr1");
+  define_component("c1", "rr1");
+
+  connect("c0", "p1", "c1", "p2");
+  connect("c0", "p2", "c1", "p1");
+}
+
+rr0_b::~rr0_b(){}
+
+REGISTER_MBLOCK_CLASS(rr0_b);
+
+/*
+ * This tests basic message routing using RELAY and EXTERNAL ports.
+ * It does not rely on the guts of the runtime being complete,
+ * which is good, because at the time this is being written, it isn't.
+ */
+void
+qa_mblock_send::test_relay_routing_2()
+{
+  mb_message_sptr msg;
+
+  mb_runtime_sptr rt = mb_make_runtime_nop();
+  rt->run("top", "rr0_b", PMT_F);
+  mb_mblock_sptr top = get_top(rt);
+
+  // Reach into the guts and see if the messages ended up where they should have
+
+  mb_mblock_sptr c0 = top->impl()->component("c0");
+  mb_mblock_sptr c0c0 = c0->impl()->component("c0");
+
+  mb_mblock_sptr c1 = top->impl()->component("c1");
+  mb_mblock_sptr c1c0 = c1->impl()->component("c0");
+
+  // c0c0 should have received
+  //   two message from c1c0 via its p2
+
+  msg = c0c0->impl()->msgq().get_highest_pri_msg_nowait();
+  CPPUNIT_ASSERT(msg);
+  // std::cerr << msg->data() << std::endl;
+  CPPUNIT_ASSERT_EQUAL(s_p2, msg->port_id());
+  CPPUNIT_ASSERT(pmt_equal(pmt_list3(pmt_intern("top/c1/c0"), s_p1, pmt_from_long(0)),
+                          msg->data()));
+
+  msg = c0c0->impl()->msgq().get_highest_pri_msg_nowait();
+  CPPUNIT_ASSERT(msg);
+  // std::cerr << msg->data() << std::endl;
+  CPPUNIT_ASSERT_EQUAL(s_p2, msg->port_id());
+  CPPUNIT_ASSERT(pmt_equal(pmt_list3(pmt_intern("top/c1/c0"), s_p1, pmt_from_long(1)),
+                          msg->data()));
+
+  // c1c0 should have received
+  //   two message from c0c0 via its p2
+
+  msg = c1c0->impl()->msgq().get_highest_pri_msg_nowait();
+  CPPUNIT_ASSERT(msg);
+  // std::cerr << msg->data() << std::endl;
+  CPPUNIT_ASSERT_EQUAL(s_p2, msg->port_id());
+  CPPUNIT_ASSERT(pmt_equal(pmt_list3(pmt_intern("top/c0/c0"), s_p1, pmt_from_long(0)),
+                          msg->data()));
+
+  msg = c1c0->impl()->msgq().get_highest_pri_msg_nowait();
+  CPPUNIT_ASSERT(msg);
+  // std::cerr << msg->data() << std::endl;
+  CPPUNIT_ASSERT_EQUAL(s_p2, msg->port_id());
+  CPPUNIT_ASSERT(pmt_equal(pmt_list3(pmt_intern("top/c0/c0"), s_p1, pmt_from_long(1)),
+                          msg->data()));
+}
diff --git a/mblock/src/lib/qa_mblock_send.h b/mblock/src/lib/qa_mblock_send.h
new file mode 100644 (file)
index 0000000..b1a6832
--- /dev/null
@@ -0,0 +1,43 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006,2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 59 Temple Place - Suite 330,
+ * Boston, MA 02111-1307, USA.
+ */
+#ifndef INCLUDED_QA_MBLOCK_SEND_H
+#define INCLUDED_QA_MBLOCK_SEND_H
+
+#include <cppunit/extensions/HelperMacros.h>
+#include <cppunit/TestCase.h>
+
+class qa_mblock_send : public CppUnit::TestCase {
+
+  CPPUNIT_TEST_SUITE(qa_mblock_send);
+  CPPUNIT_TEST(test_simple_routing);
+  CPPUNIT_TEST(test_relay_routing_1);
+  CPPUNIT_TEST(test_relay_routing_2);
+  CPPUNIT_TEST_SUITE_END();
+
+ private:
+  void test_simple_routing();
+  void test_relay_routing_1();
+  void test_relay_routing_2();
+};
+
+#endif /* INCLUDED_QA_MBLOCK_SEND_H */
+
diff --git a/mblock/src/lib/qa_mblock_sys.cc b/mblock/src/lib/qa_mblock_sys.cc
new file mode 100644 (file)
index 0000000..58e48f7
--- /dev/null
@@ -0,0 +1,271 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006,2007,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 59 Temple Place - Suite 330,
+ * Boston, MA 02111-1307, USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+
+#include <qa_mblock_sys.h>
+#include <cppunit/TestAssert.h>
+#include <mblock/mblock.h>
+#include <mblock/runtime.h>
+#include <mb_runtime_nop.h>            // QA only
+#include <mblock/protocol_class.h>
+#include <mblock/exception.h>
+#include <mblock/msg_queue.h>
+#include <mblock/message.h>
+#include <mb_mblock_impl.h>
+#include <mblock/msg_accepter.h>
+#include <mblock/class_registry.h>
+#include <stdio.h>
+#include <string.h>
+#include <iostream>
+
+
+static pmt_t s_data    = pmt_intern("data");
+static pmt_t s_status  = pmt_intern("status");
+static pmt_t s_control = pmt_intern("control");
+static pmt_t s_p0   = pmt_intern("p0");
+static pmt_t s_p1   = pmt_intern("p1");
+static pmt_t s_p2   = pmt_intern("p2");
+static pmt_t s_p3   = pmt_intern("p3");
+static pmt_t s_e1   = pmt_intern("e1");
+static pmt_t s_r1   = pmt_intern("r1");
+
+static void
+define_protocol_classes()
+{
+  mb_make_protocol_class(s_data,               // name
+                        pmt_list1(s_data),     // incoming
+                        pmt_list1(s_data));    // outgoing
+}
+
+
+// ================================================================
+//                       test_sys_1
+// ================================================================
+
+class sys_1 : public mb_mblock
+{
+  pmt_t                d_user_arg;
+  mb_port_sptr d_data;
+
+public:
+  sys_1(mb_runtime *runtime, const std::string &instance_name, pmt_t user_arg);
+  ~sys_1();
+  void initial_transition();
+};
+
+sys_1::sys_1(mb_runtime *runtime, const std::string &instance_name, pmt_t user_arg)
+  : mb_mblock(runtime, instance_name, user_arg),
+    d_user_arg(user_arg)
+{
+  d_data = define_port("data", "data", true, mb_port::EXTERNAL);
+}
+
+sys_1::~sys_1(){}
+  
+void
+sys_1::initial_transition()
+{
+  shutdown_all(d_user_arg);
+}
+
+REGISTER_MBLOCK_CLASS(sys_1);
+
+void
+qa_mblock_sys::test_sys_1()
+{
+  define_protocol_classes();
+
+  pmt_t        result;
+  pmt_t        n1 = pmt_from_long(1);
+  pmt_t        n2 = pmt_from_long(2);
+
+  mb_runtime_sptr rt1 = mb_make_runtime();
+
+#if 0
+  try {
+    rt1->run("top-1", "sys_1", n1, &result);
+  }
+  catch (omni_thread_fatal e){
+    std::cerr << "caught omni_thread_fatal: error = " << e.error
+             << ": " << strerror(e.error) << std::endl;
+  }
+  catch (omni_thread_invalid){
+    std::cerr << "caught omni_thread_invalid\n";
+  }
+#else
+    rt1->run("top-1", "sys_1", n1, &result);
+#endif
+  CPPUNIT_ASSERT(pmt_equal(n1, result));
+  
+  // Execute run a second time, with the same rt, to ensure sanity.
+  rt1->run("top-2", "sys_1", n2, &result);
+  CPPUNIT_ASSERT(pmt_equal(n2, result));
+}
+
+// ================================================================
+//                       test_sys_2
+// ================================================================
+
+class squarer : public mb_mblock
+{
+  mb_port_sptr d_data;
+
+public:
+  squarer(mb_runtime *runtime, const std::string &instance_name, pmt_t user_arg);
+
+  void handle_message(mb_message_sptr msg);
+};
+
+squarer::squarer(mb_runtime *runtime, const std::string &instance_name, pmt_t user_arg)
+  : mb_mblock(runtime, instance_name, user_arg)
+{
+  d_data = define_port("data", "data", true, mb_port::EXTERNAL);
+}
+
+void
+squarer::handle_message(mb_message_sptr msg)
+{
+  if (!pmt_eq(msg->signal(), s_data))  // we only handle the "data" message
+    return;
+
+  // long x -> (long x . long (x * x))
+
+  pmt_t x_pmt = msg->data();
+  long x = pmt_to_long(x_pmt);
+  d_data->send(s_data, pmt_cons(x_pmt, pmt_from_long(x * x)));
+}
+
+REGISTER_MBLOCK_CLASS(squarer);
+
+// ----------------------------------------------------------------
+
+class sys_2 : public mb_mblock
+{
+  mb_port_sptr d_data;
+
+public:
+  sys_2(mb_runtime *runtime, const std::string &instance_name, pmt_t user_arg);
+  void initial_transition();
+  void handle_message(mb_message_sptr msg);
+};
+
+sys_2::sys_2(mb_runtime *runtime, const std::string &instance_name, pmt_t user_arg)
+  : mb_mblock(runtime, instance_name, user_arg)
+{
+  d_data = define_port("data", "data", true, mb_port::INTERNAL);
+  define_component("squarer", "squarer");
+  connect("self", "data", "squarer", "data");
+}
+
+void
+sys_2::initial_transition()
+{
+  // FIXME start timer to detect general failure
+
+  d_data->send(s_data, pmt_from_long(0)); // send initial message
+}
+
+void
+sys_2::handle_message(mb_message_sptr msg)
+{
+  if (!pmt_eq(msg->signal(), s_data))  // we only handle the "data" message
+    return;
+
+  // first check correctness of message
+
+  long x = pmt_to_long(pmt_car(msg->data()));
+  long y = pmt_to_long(pmt_cdr(msg->data()));
+
+  // std::cout << msg->data() << std::endl;
+
+  if (y != x * x){
+    std::cerr << "sys_2::handle_message: Expected y == x * x.  Got y = "
+             << y << " for x = " << x << std::endl;
+
+    shutdown_all(PMT_F);       // failed
+  }
+
+  if (x == 100)
+    shutdown_all(PMT_T);                       // done, OK
+  else 
+    d_data->send(s_data, pmt_from_long(x + 1));        // send next request
+}
+
+REGISTER_MBLOCK_CLASS(sys_2);
+
+// ----------------------------------------------------------------
+
+void
+qa_mblock_sys::test_sys_2()
+{
+  mb_runtime_sptr rt = mb_make_runtime();
+  pmt_t result = PMT_NIL;
+
+  // std::cerr << "qa_mblock_sys::test_sys_2 (enter)\n";
+  
+  rt->run("top-sys-2", "sys_2", PMT_F, &result);
+  CPPUNIT_ASSERT(pmt_equal(PMT_T, result));
+}
+
+// ================================================================
+//                       test_bitset_1
+// ================================================================
+
+void
+qa_mblock_sys::test_bitset_1()
+{
+  mb_runtime_sptr rt = mb_make_runtime();
+  pmt_t result = PMT_NIL;
+
+  long nmsgs =        1000;
+  long batch_size =      8;
+  
+  pmt_t arg = pmt_list2(pmt_from_long(nmsgs),  // # of messages to send through pipe
+                       pmt_from_long(batch_size));
+
+  rt->run("top", "qa_bitset_top", arg, &result);
+
+  CPPUNIT_ASSERT(pmt_equal(PMT_T, result));
+}
+
+// ================================================================
+//                       test_disconnect
+// ================================================================
+
+void
+qa_mblock_sys::test_disconnect()
+{
+  mb_runtime_sptr rt = mb_make_runtime();
+  pmt_t result = PMT_NIL;
+
+  long nmsgs =        10240;
+  
+  pmt_t arg = pmt_list1(pmt_from_long(nmsgs)); // # of messages to send through pipe
+
+
+  rt->run("top", "qa_disconnect_top", arg, &result);
+
+  CPPUNIT_ASSERT(pmt_equal(PMT_T, result));
+}
diff --git a/mblock/src/lib/qa_mblock_sys.h b/mblock/src/lib/qa_mblock_sys.h
new file mode 100644 (file)
index 0000000..0e0053a
--- /dev/null
@@ -0,0 +1,45 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006,2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 59 Temple Place - Suite 330,
+ * Boston, MA 02111-1307, USA.
+ */
+#ifndef INCLUDED_QA_MBLOCK_SYS_H
+#define INCLUDED_QA_MBLOCK_SYS_H
+
+#include <cppunit/extensions/HelperMacros.h>
+#include <cppunit/TestCase.h>
+
+class qa_mblock_sys : public CppUnit::TestCase {
+
+  CPPUNIT_TEST_SUITE(qa_mblock_sys);
+  CPPUNIT_TEST(test_sys_1);
+  CPPUNIT_TEST(test_sys_2);
+  CPPUNIT_TEST(test_bitset_1);
+  CPPUNIT_TEST(test_disconnect);
+  CPPUNIT_TEST_SUITE_END();
+
+ private:
+  void test_sys_1();
+  void test_sys_2();
+  void test_bitset_1();
+  void test_disconnect();
+};
+
+#endif /* INCLUDED_QA_MBLOCK_SYS_H */
+
diff --git a/mblock/src/lib/qa_timeouts.cc b/mblock/src/lib/qa_timeouts.cc
new file mode 100644 (file)
index 0000000..2505635
--- /dev/null
@@ -0,0 +1,290 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <qa_timeouts.h>
+#include <cppunit/TestAssert.h>
+#include <mblock/mblock.h>
+#include <mblock/runtime.h>
+#include <mblock/protocol_class.h>
+#include <mblock/message.h>
+#include <mblock/msg_accepter.h>
+#include <mblock/class_registry.h>
+#include <mb_timer_queue.h>
+#include <string.h>
+#include <iostream>
+
+
+static pmt_t s_timeout = pmt_intern("%timeout");
+static pmt_t s_done = pmt_intern("done");
+
+
+// ------------------------------------------------------------------------
+//    Exercise the priority queue used to implement timeouts.
+// ------------------------------------------------------------------------
+void
+qa_timeouts::test_timer_queue()
+{
+  mb_timer_queue       tq;
+  mb_msg_accepter_sptr accepter;
+
+  mb_timeout_sptr      t1000_000 =
+    mb_timeout_sptr(new mb_timeout(mb_time(1000,0), PMT_F, accepter));
+
+  mb_timeout_sptr      t2000_000 =
+    mb_timeout_sptr(new mb_timeout(mb_time(2000,0), PMT_F, accepter));
+                                                                   
+  mb_timeout_sptr      t3000_000 =
+    mb_timeout_sptr(new mb_timeout(mb_time(3000,0), PMT_F, accepter));
+                                                                   
+  mb_timeout_sptr      t3000_125 =
+    mb_timeout_sptr(new mb_timeout(mb_time(3000,125), PMT_F, accepter));
+                                                                   
+  mb_timeout_sptr      t3000_250 =
+    mb_timeout_sptr(new mb_timeout(mb_time(3000,250), PMT_F, accepter));
+                                                                   
+  mb_timeout_sptr      t4000_000 =
+    mb_timeout_sptr(new mb_timeout(mb_time(4000,0), PMT_F, accepter));
+                                                                   
+  // insert in pseudo-random order
+
+  tq.push(t3000_125);
+  tq.push(t1000_000);
+  tq.push(t4000_000);
+  tq.push(t3000_250);
+  tq.push(t2000_000);
+  tq.push(t3000_000);
+
+  CPPUNIT_ASSERT_EQUAL(t1000_000, tq.top());
+  tq.pop();
+  
+  CPPUNIT_ASSERT_EQUAL(t2000_000, tq.top());
+  tq.pop();
+  
+  CPPUNIT_ASSERT_EQUAL(t3000_000, tq.top());
+  tq.pop();
+  
+  CPPUNIT_ASSERT_EQUAL(t3000_125, tq.top());
+  tq.pop();
+  
+  CPPUNIT_ASSERT_EQUAL(t3000_250, tq.top());
+  tq.pop();
+  
+  CPPUNIT_ASSERT_EQUAL(t4000_000, tq.top());
+  tq.pop();
+
+  CPPUNIT_ASSERT(tq.empty());
+
+  // insert in pseudo-random order
+
+  tq.push(t3000_000);
+  tq.push(t4000_000);
+  tq.push(t3000_125);
+  tq.push(t1000_000);
+  tq.push(t2000_000);
+  tq.push(t3000_250);
+
+  tq.cancel(t1000_000->handle());
+
+  CPPUNIT_ASSERT_EQUAL(t2000_000, tq.top());
+  tq.pop();
+  
+  CPPUNIT_ASSERT_EQUAL(t3000_000, tq.top());
+  tq.pop();
+  
+  tq.cancel(t3000_250->handle());
+
+  CPPUNIT_ASSERT_EQUAL(t3000_125, tq.top());
+  tq.pop();
+  
+  CPPUNIT_ASSERT_EQUAL(t4000_000, tq.top());
+  tq.pop();
+  
+  CPPUNIT_ASSERT(tq.empty());
+}
+
+// ------------------------------------------------------------------------
+//   Test one-shot timeouts
+// ------------------------------------------------------------------------
+
+// FWIW, on SuSE 10.1 for x86-64, clock_getres returns 0.004 seconds.
+
+// #define TIMING_MARGIN 0.010 // seconds   // was failing on some systems
+#define TIMING_MARGIN 0.025    // seconds  (really sloppy; consider enabling RT scheduler)
+
+
+class qa_timeouts_1_top : public mb_mblock
+{
+  int          d_nleft;
+  int          d_nerrors;
+  mb_time      d_t0;
+  
+public:
+  qa_timeouts_1_top(mb_runtime *runtime,
+                   const std::string &instance_name, pmt_t user_arg);
+
+  void initial_transition();
+  void handle_message(mb_message_sptr msg);
+};
+
+qa_timeouts_1_top::qa_timeouts_1_top(mb_runtime *runtime,
+                                    const std::string &instance_name,
+                                    pmt_t user_arg)
+  : mb_mblock(runtime, instance_name, user_arg),
+    d_nleft(0), d_nerrors(0)
+{
+}
+
+void
+qa_timeouts_1_top::initial_transition()
+{
+  d_t0 = mb_time::time();      // now
+
+  schedule_one_shot_timeout(d_t0 + 0.200, pmt_from_double(0.200));
+  schedule_one_shot_timeout(d_t0 + 0.125, pmt_from_double(0.125));
+  schedule_one_shot_timeout(d_t0 + 0.075, pmt_from_double(0.075));
+  schedule_one_shot_timeout(d_t0 + 0.175, pmt_from_double(0.175));
+
+  d_nleft = 4;
+}
+
+void
+qa_timeouts_1_top::handle_message(mb_message_sptr msg)
+{
+  if (pmt_eq(msg->signal(), s_timeout)){
+    mb_time t_now = mb_time::time();
+    double expected_delta_t = pmt_to_double(msg->data());
+    double actual_delta_t = (t_now - d_t0).double_time();
+    double delta = expected_delta_t - actual_delta_t;
+
+    if (fabs(delta) > TIMING_MARGIN){
+      std::cerr << "qa_timeouts_1_top: expected_delta_t = " << expected_delta_t
+               << " actual_delta_t = " << actual_delta_t << std::endl;
+      d_nerrors++;
+    }
+
+    if (--d_nleft <= 0)
+      shutdown_all(d_nerrors == 0 ? PMT_T : PMT_F);
+  }
+}
+
+REGISTER_MBLOCK_CLASS(qa_timeouts_1_top);
+
+void
+qa_timeouts::test_timeouts_1()
+{
+  mb_runtime_sptr rt = mb_make_runtime();
+  pmt_t result = PMT_NIL;
+
+  rt->run("top", "qa_timeouts_1_top", PMT_F, &result);
+
+  CPPUNIT_ASSERT(pmt_equal(PMT_T, result));
+}
+
+// ------------------------------------------------------------------------
+//   Test periodic timeouts
+// ------------------------------------------------------------------------
+
+class qa_timeouts_2_top : public mb_mblock
+{
+  int          d_nhandled;
+  int          d_nerrors;
+  double       d_delta_t;
+  mb_time      d_t0;
+  
+public:
+  qa_timeouts_2_top(mb_runtime *runtime,
+                   const std::string &instance_name, pmt_t user_arg);
+
+  void initial_transition();
+  void handle_message(mb_message_sptr msg);
+};
+
+qa_timeouts_2_top::qa_timeouts_2_top(mb_runtime *runtime,
+                                    const std::string &instance_name,
+                                    pmt_t user_arg)
+  : mb_mblock(runtime, instance_name, user_arg),
+    d_nhandled(0), d_nerrors(0), d_delta_t(0.075)
+{
+}
+
+void
+qa_timeouts_2_top::initial_transition()
+{
+  d_t0 = mb_time::time();      // now
+
+  schedule_periodic_timeout(d_t0 + d_delta_t, mb_time(d_delta_t), PMT_T);
+}
+
+void
+qa_timeouts_2_top::handle_message(mb_message_sptr msg)
+{
+  static const int NMSGS_TO_HANDLE = 5;
+
+  if (pmt_eq(msg->signal(), s_timeout)
+      && !pmt_eq(msg->data(), s_done)){
+
+    mb_time t_now = mb_time::time();
+
+    d_nhandled++;
+
+    double expected_delta_t = d_delta_t * d_nhandled;
+    double actual_delta_t = (t_now - d_t0).double_time();
+    double delta = expected_delta_t - actual_delta_t;
+
+    if (fabs(delta) > TIMING_MARGIN){
+      std::cerr << "qa_timeouts_2_top: expected_delta_t = " << expected_delta_t
+               << " actual_delta_t = " << actual_delta_t << std::endl;
+      d_nerrors++;
+    }
+
+    if (d_nhandled == NMSGS_TO_HANDLE){
+      cancel_timeout(msg->metadata()); // test cancel_timeout...
+      schedule_one_shot_timeout(d_t0 + (d_delta_t * (d_nhandled + 2)), s_done);
+    }
+  }
+
+  if (pmt_eq(msg->signal(), s_timeout)
+      && pmt_eq(msg->data(), s_done)){
+    if (d_nhandled != NMSGS_TO_HANDLE){
+      std::cerr << "qa_timeouts_2_top: d_nhandled = " << d_nhandled
+               << " expected d_nhandled = " << NMSGS_TO_HANDLE
+               << " (cancel_timeout didn't work)\n";
+      d_nerrors++;
+    }
+    shutdown_all(d_nerrors == 0 ? PMT_T : PMT_F);
+  }
+}
+
+REGISTER_MBLOCK_CLASS(qa_timeouts_2_top);
+
+void
+qa_timeouts::test_timeouts_2()
+{
+  mb_runtime_sptr rt = mb_make_runtime();
+  pmt_t result = PMT_NIL;
+
+  rt->run("top", "qa_timeouts_2_top", PMT_F, &result);
+
+  CPPUNIT_ASSERT(pmt_equal(PMT_T, result));
+}
diff --git a/mblock/src/lib/qa_timeouts.h b/mblock/src/lib/qa_timeouts.h
new file mode 100644 (file)
index 0000000..7c64436
--- /dev/null
@@ -0,0 +1,43 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006,2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 59 Temple Place - Suite 330,
+ * Boston, MA 02111-1307, USA.
+ */
+#ifndef INCLUDED_QA_TIMEOUTS_H
+#define INCLUDED_QA_TIMEOUTS_H
+
+#include <cppunit/extensions/HelperMacros.h>
+#include <cppunit/TestCase.h>
+
+class qa_timeouts : public CppUnit::TestCase {
+
+  CPPUNIT_TEST_SUITE(qa_timeouts);
+  CPPUNIT_TEST(test_timer_queue);
+  CPPUNIT_TEST(test_timeouts_1);
+  CPPUNIT_TEST(test_timeouts_2);
+  CPPUNIT_TEST_SUITE_END();
+
+ private:
+  void test_timer_queue();
+  void test_timeouts_1();
+  void test_timeouts_2();
+};
+
+#endif /* INCLUDED_QA_TIMEOUTS_H */
+
diff --git a/mblock/src/lib/test_mblock.cc b/mblock/src/lib/test_mblock.cc
new file mode 100644 (file)
index 0000000..b98d1b5
--- /dev/null
@@ -0,0 +1,37 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 59 Temple Place - Suite 330,
+ * Boston, MA 02111-1307, USA.
+ */
+
+#include <cppunit/TextTestRunner.h>
+#include <qa_mblock.h>
+
+int 
+main(int argc, char **argv)
+{
+  
+  CppUnit::TextTestRunner      runner;
+
+  runner.addTest(qa_mblock::suite ());
+  
+  bool was_successful = runner.run("", false);
+
+  return was_successful ? 0 : 1;
+}
diff --git a/mblock/src/scheme/Makefile.am b/mblock/src/scheme/Makefile.am
new file mode 100644 (file)
index 0000000..4980063
--- /dev/null
@@ -0,0 +1,21 @@
+#
+# Copyright 2007 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License along
+# with this program; if not, write to the Free Software Foundation, Inc.,
+# 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+#
+
+SUBDIRS = gnuradio
diff --git a/mblock/src/scheme/Makefile.in b/mblock/src/scheme/Makefile.in
new file mode 100644 (file)
index 0000000..313e8b2
--- /dev/null
@@ -0,0 +1,895 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2007 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License along
+# with this program; if not, write to the Free Software Foundation, Inc.,
+# 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+#
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+subdir = mblock/src/scheme
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+SUBDIRS = gnuradio
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am  $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  mblock/src/scheme/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  mblock/src/scheme/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+check-am: all-am
+check: check-recursive
+all-am: Makefile
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am
+
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/mblock/src/scheme/gnuradio/Makefile.am b/mblock/src/scheme/gnuradio/Makefile.am
new file mode 100644 (file)
index 0000000..e9bfc88
--- /dev/null
@@ -0,0 +1,23 @@
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License along
+# with this program; if not, write to the Free Software Foundation, Inc.,
+# 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+#
+
+EXTRA_DIST = \
+       compile-mbh.scm
+
diff --git a/mblock/src/scheme/gnuradio/Makefile.in b/mblock/src/scheme/gnuradio/Makefile.in
new file mode 100644 (file)
index 0000000..4ea5d04
--- /dev/null
@@ -0,0 +1,739 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License along
+# with this program; if not, write to the Free Software Foundation, Inc.,
+# 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+#
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+subdir = mblock/src/scheme/gnuradio
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+EXTRA_DIST = \
+       compile-mbh.scm
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am  $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  mblock/src/scheme/gnuradio/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  mblock/src/scheme/gnuradio/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+check-am: all-am
+check: check-am
+all-am: Makefile
+installdirs:
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       distclean distclean-generic distclean-libtool distdir dvi \
+       dvi-am html html-am info info-am install install-am \
+       install-data install-data-am install-dvi install-dvi-am \
+       install-exec install-exec-am install-html install-html-am \
+       install-info install-info-am install-man install-pdf \
+       install-pdf-am install-ps install-ps-am install-strip \
+       installcheck installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am uninstall uninstall-am
+
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/mblock/src/scheme/gnuradio/compile-mbh.scm b/mblock/src/scheme/gnuradio/compile-mbh.scm
new file mode 100755 (executable)
index 0000000..3008534
--- /dev/null
@@ -0,0 +1,231 @@
+#!/usr/bin/guile \
+-e main -s
+!#
+;; -*-scheme-*-
+;;
+;; Copyright 2007,2008 Free Software Foundation, Inc.
+;; 
+;; This file is part of GNU Radio
+;; 
+;; GNU Radio is free software; you can redistribute it and/or modify
+;; it under the terms of the GNU General Public License as published by
+;; the Free Software Foundation; either version 3, or (at your option)
+;; any later version.
+;; 
+;; GNU Radio is distributed in the hope that it will be useful,
+;; but WITHOUT ANY WARRANTY; without even the implied warranty of
+;; MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+;; GNU General Public License for more details.
+;; 
+;; You should have received a copy of the GNU General Public License along
+;; with this program; if not, write to the Free Software Foundation, Inc.,
+;; 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+;;
+
+;; usage: compile-mbh <input-file> <output-file>
+
+(use-modules (ice-9 getopt-long))
+(use-modules (ice-9 format))
+(use-modules (ice-9 pretty-print))
+;(use-modules (ice-9 slib))
+(use-modules (gnuradio pmt-serialize))
+(use-modules (gnuradio macros-etc))
+
+(debug-enable 'backtrace)
+
+;; ----------------------------------------------------------------
+
+(define (main args)
+
+  (define (usage)
+    (format 0 "usage: ~a input-file output-file~%" (car args)))
+
+  (when (not (= (length args) 3))
+       (usage)
+       (exit 1))
+      
+  (let ((input-filename (cadr args))
+       (output-filename (caddr args)))
+      (if (compile-mbh-file input-filename output-filename)
+         (exit 0)
+         (exit 1))))
+
+
+;; ----------------------------------------------------------------
+;; constructor and accessors for protocol-class
+
+(define %protocol-class-tag (string->symbol "[PROTOCOL-CLASS-TAG]"))
+
+(define (make-protocol-class name incoming outgoing)
+  (vector %protocol-class-tag name incoming outgoing))
+
+(define (protocol-class? obj)
+  (and (vector? obj) (eq? %protocol-class-tag (vector-ref obj 0))))
+
+(define (protocol-class-name pc)
+  (vector-ref pc 1))
+
+(define (protocol-class-incoming pc)
+  (vector-ref pc 2))
+
+(define (protocol-class-outgoing pc)
+  (vector-ref pc 3))
+
+
+;; ----------------------------------------------------------------
+
+(define (syntax-error msg e)
+  (throw 'syntax-error msg e))
+
+(define (unrecognized-form form)
+  (syntax-error "Unrecognized form" form))
+
+
+(define (mbh-chk-length= e y n)
+  (cond ((and (null? y)(zero? n))
+        #f)
+        ((null? y)
+         (syntax-error "Expression has too few subexpressions" e))
+        ((atom? y)
+         (syntax-error (if (atom? e)
+                           "List expected"
+                           "Expression ends with `dotted' atom")
+                       e))
+        ((zero? n)
+         (syntax-error "Expression has too many subexpressions" e))
+        (else
+          (mbh-chk-length= e (cdr y) (- n 1)))))
+
+(define (mbh-chk-length>= e y n)
+  (cond ((and (null? y)(< n 1))
+        #f)
+        ((atom? y)
+         (mbh-chk-length= e y -1))
+        (else
+          (mbh-chk-length>= e (cdr y) (- n 1)))))
+
+
+(define (compile-mbh-file input-filename output-filename)
+  (let ((i-port (open-input-file input-filename))
+       (o-port (open-output-file output-filename)))
+
+    (letrec
+      ((protocol-classes '())          ; alist
+
+       (lookup-protocol-class          ; returns protocol-class or #f
+       (lambda (name)
+         (cond ((assq name protocol-classes) => cdr)
+               (else #f))))
+
+       (register-protocol-class
+       (lambda (pc)
+         (set! protocol-classes (acons (protocol-class-name pc)
+                                         pc protocol-classes))
+         pc))
+                                         
+       (parse-top-level-form
+       (lambda (form)
+         (mbh-chk-length>= form form 1)
+         (case (car form)
+           ((define-protocol-class) (parse-define-protocol-class form))
+           (else (syntax-error form)))))
+
+       (parse-define-protocol-class
+       (lambda (form)               
+         (mbh-chk-length>= form form 2)
+           ;; form => (define-protocol-class name
+           ;;           (:include protocol-class-name)
+           ;;           (:incoming list-of-msgs)
+           ;;           (:outgoing list-of-msgs))
+           (let ((name (cadr form))
+                 (incoming '())
+                 (outgoing '()))
+             (if (lookup-protocol-class name)
+                 (syntax-error "Duplicate protocol-class name" name))
+             (for-each
+              (lambda (sub-form)
+                (mbh-chk-length>= sub-form sub-form 1)
+                (case (car sub-form)
+                  ((:include)
+                   (mbh-chk-length>= sub-form sub-form 2)
+                   (cond ((lookup-protocol-class (cadr sub-form)) =>
+                          (lambda (pc)
+                            (set! incoming (append incoming (protocol-class-incoming pc)))
+                            (set! outgoing (append outgoing (protocol-class-outgoing pc)))))
+                         (else
+                          (syntax-error "Unknown protocol-class-name" (cadr sub-form)))))
+                  ((:incoming)
+                   (set! incoming (append incoming (cdr sub-form))))
+                  ((:outgoing)
+                   (set! outgoing (append outgoing (cdr sub-form))))
+                  (else
+                   (unrecognized-form (car sub-form)))))
+              (cddr form))
+             
+             (register-protocol-class (make-protocol-class name incoming outgoing)))))
+
+       ) ; end of bindings
+
+      (for-each-in-file i-port parse-top-level-form)
+
+      ;; generate the output here...
+
+      (letrec ((classes (map cdr protocol-classes))
+              (so-stream (make-serial-output-stream))
+              (format-output-for-c++
+               (lambda (output)
+                 (format o-port "//~%")
+                 (format o-port "// Machine generated by compile-mbh from ~a~%" input-filename)
+                 (format o-port "//~%")
+                 (format o-port "// protocol-classes: ~{~a ~}~%" (map car protocol-classes))
+                 (format o-port "//~%")
+
+                 (format o-port "#include <mblock/protocol_class.h>~%")
+                 (format o-port "#include <unistd.h>~%")
+                 (format o-port
+                         "static const char~%protocol_class_init_data[~d] = {~%  "
+                         (length output))
+
+                 (do ((lst output (cdr lst))
+                      (i 0 (+ i 1)))
+                     ((null? lst) #t)
+                   (format o-port "~a, " (car lst))
+                   (when (= 15 (modulo i 16))
+                         (format o-port "~%  ")))
+
+                 (format o-port "~&};~%")
+                 (format o-port "static mb_protocol_class_init _init_(protocol_class_init_data, sizeof(protocol_class_init_data));~%")
+                 )))
+                 
+                 
+       (map (lambda (pc)
+              (let ((obj-to-dump
+                     (list (protocol-class-name pc)                    ; class name
+                           (map car (protocol-class-incoming pc))      ; incoming msg names
+                           (map car (protocol-class-outgoing pc))      ; outgoing msg names
+                           ;;(protocol-class-incoming pc)              ; full incoming msg descriptions
+                           ;;(protocol-class-outgoing pc)              ; full outgoing msg descriptions
+                           ))) 
+                ;;(pretty-print obj-to-dump)  
+                (pmt-serialize obj-to-dump (so-stream 'put-byte))))
+            classes)
+
+       (format-output-for-c++ ((so-stream 'get-output)))
+
+       #t))))
+
+
+(define (make-serial-output-stream)
+  (letrec ((output '())
+          (put-byte
+           (lambda (byte)
+             (set! output (cons byte output))))
+          (get-output
+           (lambda ()
+             (reverse output))))
+    (lambda (key)
+      (case key
+       ((put-byte) put-byte)
+       ((get-output) get-output)
+       (else (error "Unknown key" key))))))
+
diff --git a/missing b/missing
new file mode 100755 (executable)
index 0000000..1c8ff70
--- /dev/null
+++ b/missing
@@ -0,0 +1,367 @@
+#! /bin/sh
+# Common stub for a few missing GNU programs while installing.
+
+scriptversion=2006-05-10.23
+
+# Copyright (C) 1996, 1997, 1999, 2000, 2002, 2003, 2004, 2005, 2006
+#   Free Software Foundation, Inc.
+# Originally by Fran,cois Pinard <pinard@iro.umontreal.ca>, 1996.
+
+# This program is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 2, or (at your option)
+# any later version.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+
+# You should have received a copy of the GNU General Public License
+# along with this program; if not, write to the Free Software
+# Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA
+# 02110-1301, USA.
+
+# As a special exception to the GNU General Public License, if you
+# distribute this file as part of a program that contains a
+# configuration script generated by Autoconf, you may include it under
+# the same distribution terms that you use for the rest of that program.
+
+if test $# -eq 0; then
+  echo 1>&2 "Try \`$0 --help' for more information"
+  exit 1
+fi
+
+run=:
+sed_output='s/.* --output[ =]\([^ ]*\).*/\1/p'
+sed_minuso='s/.* -o \([^ ]*\).*/\1/p'
+
+# In the cases where this matters, `missing' is being run in the
+# srcdir already.
+if test -f configure.ac; then
+  configure_ac=configure.ac
+else
+  configure_ac=configure.in
+fi
+
+msg="missing on your system"
+
+case $1 in
+--run)
+  # Try to run requested program, and just exit if it succeeds.
+  run=
+  shift
+  "$@" && exit 0
+  # Exit code 63 means version mismatch.  This often happens
+  # when the user try to use an ancient version of a tool on
+  # a file that requires a minimum version.  In this case we
+  # we should proceed has if the program had been absent, or
+  # if --run hadn't been passed.
+  if test $? = 63; then
+    run=:
+    msg="probably too old"
+  fi
+  ;;
+
+  -h|--h|--he|--hel|--help)
+    echo "\
+$0 [OPTION]... PROGRAM [ARGUMENT]...
+
+Handle \`PROGRAM [ARGUMENT]...' for when PROGRAM is missing, or return an
+error status if there is no known handling for PROGRAM.
+
+Options:
+  -h, --help      display this help and exit
+  -v, --version   output version information and exit
+  --run           try to run the given command, and emulate it if it fails
+
+Supported PROGRAM values:
+  aclocal      touch file \`aclocal.m4'
+  autoconf     touch file \`configure'
+  autoheader   touch file \`config.h.in'
+  autom4te     touch the output file, or create a stub one
+  automake     touch all \`Makefile.in' files
+  bison        create \`y.tab.[ch]', if possible, from existing .[ch]
+  flex         create \`lex.yy.c', if possible, from existing .c
+  help2man     touch the output file
+  lex          create \`lex.yy.c', if possible, from existing .c
+  makeinfo     touch the output file
+  tar          try tar, gnutar, gtar, then tar without non-portable flags
+  yacc         create \`y.tab.[ch]', if possible, from existing .[ch]
+
+Send bug reports to <bug-automake@gnu.org>."
+    exit $?
+    ;;
+
+  -v|--v|--ve|--ver|--vers|--versi|--versio|--version)
+    echo "missing $scriptversion (GNU Automake)"
+    exit $?
+    ;;
+
+  -*)
+    echo 1>&2 "$0: Unknown \`$1' option"
+    echo 1>&2 "Try \`$0 --help' for more information"
+    exit 1
+    ;;
+
+esac
+
+# Now exit if we have it, but it failed.  Also exit now if we
+# don't have it and --version was passed (most likely to detect
+# the program).
+case $1 in
+  lex|yacc)
+    # Not GNU programs, they don't have --version.
+    ;;
+
+  tar)
+    if test -n "$run"; then
+       echo 1>&2 "ERROR: \`tar' requires --run"
+       exit 1
+    elif test "x$2" = "x--version" || test "x$2" = "x--help"; then
+       exit 1
+    fi
+    ;;
+
+  *)
+    if test -z "$run" && ($1 --version) > /dev/null 2>&1; then
+       # We have it, but it failed.
+       exit 1
+    elif test "x$2" = "x--version" || test "x$2" = "x--help"; then
+       # Could not run --version or --help.  This is probably someone
+       # running `$TOOL --version' or `$TOOL --help' to check whether
+       # $TOOL exists and not knowing $TOOL uses missing.
+       exit 1
+    fi
+    ;;
+esac
+
+# If it does not exist, or fails to run (possibly an outdated version),
+# try to emulate it.
+case $1 in
+  aclocal*)
+    echo 1>&2 "\
+WARNING: \`$1' is $msg.  You should only need it if
+         you modified \`acinclude.m4' or \`${configure_ac}'.  You might want
+         to install the \`Automake' and \`Perl' packages.  Grab them from
+         any GNU archive site."
+    touch aclocal.m4
+    ;;
+
+  autoconf)
+    echo 1>&2 "\
+WARNING: \`$1' is $msg.  You should only need it if
+         you modified \`${configure_ac}'.  You might want to install the
+         \`Autoconf' and \`GNU m4' packages.  Grab them from any GNU
+         archive site."
+    touch configure
+    ;;
+
+  autoheader)
+    echo 1>&2 "\
+WARNING: \`$1' is $msg.  You should only need it if
+         you modified \`acconfig.h' or \`${configure_ac}'.  You might want
+         to install the \`Autoconf' and \`GNU m4' packages.  Grab them
+         from any GNU archive site."
+    files=`sed -n 's/^[ ]*A[CM]_CONFIG_HEADER(\([^)]*\)).*/\1/p' ${configure_ac}`
+    test -z "$files" && files="config.h"
+    touch_files=
+    for f in $files; do
+      case $f in
+      *:*) touch_files="$touch_files "`echo "$f" |
+                                      sed -e 's/^[^:]*://' -e 's/:.*//'`;;
+      *) touch_files="$touch_files $f.in";;
+      esac
+    done
+    touch $touch_files
+    ;;
+
+  automake*)
+    echo 1>&2 "\
+WARNING: \`$1' is $msg.  You should only need it if
+         you modified \`Makefile.am', \`acinclude.m4' or \`${configure_ac}'.
+         You might want to install the \`Automake' and \`Perl' packages.
+         Grab them from any GNU archive site."
+    find . -type f -name Makefile.am -print |
+          sed 's/\.am$/.in/' |
+          while read f; do touch "$f"; done
+    ;;
+
+  autom4te)
+    echo 1>&2 "\
+WARNING: \`$1' is needed, but is $msg.
+         You might have modified some files without having the
+         proper tools for further handling them.
+         You can get \`$1' as part of \`Autoconf' from any GNU
+         archive site."
+
+    file=`echo "$*" | sed -n "$sed_output"`
+    test -z "$file" && file=`echo "$*" | sed -n "$sed_minuso"`
+    if test -f "$file"; then
+       touch $file
+    else
+       test -z "$file" || exec >$file
+       echo "#! /bin/sh"
+       echo "# Created by GNU Automake missing as a replacement of"
+       echo "#  $ $@"
+       echo "exit 0"
+       chmod +x $file
+       exit 1
+    fi
+    ;;
+
+  bison|yacc)
+    echo 1>&2 "\
+WARNING: \`$1' $msg.  You should only need it if
+         you modified a \`.y' file.  You may need the \`Bison' package
+         in order for those modifications to take effect.  You can get
+         \`Bison' from any GNU archive site."
+    rm -f y.tab.c y.tab.h
+    if test $# -ne 1; then
+        eval LASTARG="\${$#}"
+       case $LASTARG in
+       *.y)
+           SRCFILE=`echo "$LASTARG" | sed 's/y$/c/'`
+           if test -f "$SRCFILE"; then
+                cp "$SRCFILE" y.tab.c
+           fi
+           SRCFILE=`echo "$LASTARG" | sed 's/y$/h/'`
+           if test -f "$SRCFILE"; then
+                cp "$SRCFILE" y.tab.h
+           fi
+         ;;
+       esac
+    fi
+    if test ! -f y.tab.h; then
+       echo >y.tab.h
+    fi
+    if test ! -f y.tab.c; then
+       echo 'main() { return 0; }' >y.tab.c
+    fi
+    ;;
+
+  lex|flex)
+    echo 1>&2 "\
+WARNING: \`$1' is $msg.  You should only need it if
+         you modified a \`.l' file.  You may need the \`Flex' package
+         in order for those modifications to take effect.  You can get
+         \`Flex' from any GNU archive site."
+    rm -f lex.yy.c
+    if test $# -ne 1; then
+        eval LASTARG="\${$#}"
+       case $LASTARG in
+       *.l)
+           SRCFILE=`echo "$LASTARG" | sed 's/l$/c/'`
+           if test -f "$SRCFILE"; then
+                cp "$SRCFILE" lex.yy.c
+           fi
+         ;;
+       esac
+    fi
+    if test ! -f lex.yy.c; then
+       echo 'main() { return 0; }' >lex.yy.c
+    fi
+    ;;
+
+  help2man)
+    echo 1>&2 "\
+WARNING: \`$1' is $msg.  You should only need it if
+        you modified a dependency of a manual page.  You may need the
+        \`Help2man' package in order for those modifications to take
+        effect.  You can get \`Help2man' from any GNU archive site."
+
+    file=`echo "$*" | sed -n "$sed_output"`
+    test -z "$file" && file=`echo "$*" | sed -n "$sed_minuso"`
+    if test -f "$file"; then
+       touch $file
+    else
+       test -z "$file" || exec >$file
+       echo ".ab help2man is required to generate this page"
+       exit 1
+    fi
+    ;;
+
+  makeinfo)
+    echo 1>&2 "\
+WARNING: \`$1' is $msg.  You should only need it if
+         you modified a \`.texi' or \`.texinfo' file, or any other file
+         indirectly affecting the aspect of the manual.  The spurious
+         call might also be the consequence of using a buggy \`make' (AIX,
+         DU, IRIX).  You might want to install the \`Texinfo' package or
+         the \`GNU make' package.  Grab either from any GNU archive site."
+    # The file to touch is that specified with -o ...
+    file=`echo "$*" | sed -n "$sed_output"`
+    test -z "$file" && file=`echo "$*" | sed -n "$sed_minuso"`
+    if test -z "$file"; then
+      # ... or it is the one specified with @setfilename ...
+      infile=`echo "$*" | sed 's/.* \([^ ]*\) *$/\1/'`
+      file=`sed -n '
+       /^@setfilename/{
+         s/.* \([^ ]*\) *$/\1/
+         p
+         q
+       }' $infile`
+      # ... or it is derived from the source name (dir/f.texi becomes f.info)
+      test -z "$file" && file=`echo "$infile" | sed 's,.*/,,;s,.[^.]*$,,'`.info
+    fi
+    # If the file does not exist, the user really needs makeinfo;
+    # let's fail without touching anything.
+    test -f $file || exit 1
+    touch $file
+    ;;
+
+  tar)
+    shift
+
+    # We have already tried tar in the generic part.
+    # Look for gnutar/gtar before invocation to avoid ugly error
+    # messages.
+    if (gnutar --version > /dev/null 2>&1); then
+       gnutar "$@" && exit 0
+    fi
+    if (gtar --version > /dev/null 2>&1); then
+       gtar "$@" && exit 0
+    fi
+    firstarg="$1"
+    if shift; then
+       case $firstarg in
+       *o*)
+           firstarg=`echo "$firstarg" | sed s/o//`
+           tar "$firstarg" "$@" && exit 0
+           ;;
+       esac
+       case $firstarg in
+       *h*)
+           firstarg=`echo "$firstarg" | sed s/h//`
+           tar "$firstarg" "$@" && exit 0
+           ;;
+       esac
+    fi
+
+    echo 1>&2 "\
+WARNING: I can't seem to be able to run \`tar' with the given arguments.
+         You may want to install GNU tar or Free paxutils, or check the
+         command line arguments."
+    exit 1
+    ;;
+
+  *)
+    echo 1>&2 "\
+WARNING: \`$1' is needed, and is $msg.
+         You might have modified some files without having the
+         proper tools for further handling them.  Check the \`README' file,
+         it often tells you about the needed prerequisites for installing
+         this package.  You may also peek at any GNU archive site, in case
+         some other package would contain this missing \`$1' program."
+    exit 1
+    ;;
+esac
+
+exit 0
+
+# Local variables:
+# eval: (add-hook 'write-file-hooks 'time-stamp)
+# time-stamp-start: "scriptversion="
+# time-stamp-format: "%:y-%02m-%02d.%02H"
+# time-stamp-end: "$"
+# End:
diff --git a/omnithread/Makefile.am b/omnithread/Makefile.am
new file mode 100644 (file)
index 0000000..88df271
--- /dev/null
@@ -0,0 +1,70 @@
+#
+# Copyright 2003,2008 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+include $(top_srcdir)/Makefile.common
+
+SUBDIRS = gnuradio
+
+# This is the omnithread package,
+#   extracted from the omniORB-4.0.1 distribution
+
+# we should do some configure hacking to determine these on the fly
+OMNITHREAD_DEFINES = -DPthreadDraftVersion=10
+
+AM_CPPFLAGS = $(DEFINES) $(OMNITHREAD_DEFINES) $(OMNITHREAD_INCLUDES) \
+        $(WITH_INCLUDES)
+
+# we call it libgromnithread to avoid a collision with libomnithread on Debian
+lib_LTLIBRARIES = libgromnithread.la
+
+# At this point we only support the posix and nt pthreads i/f...
+
+if OMNITHREAD_POSIX
+libgromnithread_la_SOURCES =           \
+       omni_time.cc \
+       posix.cc
+endif
+
+if OMNITHREAD_NT
+libgromnithread_la_SOURCES =           \
+       omni_time.cc \
+       nt.cc
+endif
+
+libgromnithread_la_LDFLAGS = $(NO_UNDEFINED) 
+
+libgromnithread_la_LIBADD =            \
+       $(PTHREAD_LIBS)
+
+pkgconfigdir = $(libdir)/pkgconfig
+pkgconfig_DATA = gnuradio-omnithread.pc
+
+# ... but this code also came with the package
+
+EXTRA_DIST =                           \
+       gnuradio-omnithread.pc.in       \
+       mach.cc                         \
+       nt.cc                           \
+       posix.cc                        \
+       solaris.cc                      \
+       threaddata.cc                   \
+       vxWorks.cc                      \
+       dir.mk
diff --git a/omnithread/Makefile.in b/omnithread/Makefile.in
new file mode 100644 (file)
index 0000000..220dc75
--- /dev/null
@@ -0,0 +1,1198 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2003,2008 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(srcdir)/gnuradio-omnithread.pc.in \
+       $(top_srcdir)/Makefile.common
+subdir = omnithread
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES = gnuradio-omnithread.pc
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(libdir)" "$(DESTDIR)$(pkgconfigdir)"
+libLTLIBRARIES_INSTALL = $(INSTALL)
+LTLIBRARIES = $(lib_LTLIBRARIES)
+am__DEPENDENCIES_1 =
+libgromnithread_la_DEPENDENCIES = $(am__DEPENDENCIES_1)
+am__libgromnithread_la_SOURCES_DIST = omni_time.cc nt.cc posix.cc
+@OMNITHREAD_NT_FALSE@@OMNITHREAD_POSIX_TRUE@am_libgromnithread_la_OBJECTS =  \
+@OMNITHREAD_NT_FALSE@@OMNITHREAD_POSIX_TRUE@   omni_time.lo \
+@OMNITHREAD_NT_FALSE@@OMNITHREAD_POSIX_TRUE@   posix.lo
+@OMNITHREAD_NT_TRUE@am_libgromnithread_la_OBJECTS = omni_time.lo nt.lo
+libgromnithread_la_OBJECTS = $(am_libgromnithread_la_OBJECTS)
+libgromnithread_la_LINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) \
+       $(LIBTOOLFLAGS) --mode=link $(CXXLD) $(AM_CXXFLAGS) \
+       $(CXXFLAGS) $(libgromnithread_la_LDFLAGS) $(LDFLAGS) -o $@
+DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
+depcomp = $(SHELL) $(top_srcdir)/depcomp
+am__depfiles_maybe = depfiles
+CXXCOMPILE = $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+LTCXXCOMPILE = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+CXXLD = $(CXX)
+CXXLINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CXXLD) $(AM_CXXFLAGS) $(CXXFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+SOURCES = $(libgromnithread_la_SOURCES)
+DIST_SOURCES = $(am__libgromnithread_la_SOURCES_DIST)
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+pkgconfigDATA_INSTALL = $(INSTALL_DATA)
+DATA = $(pkgconfig_DATA)
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+SUBDIRS = gnuradio
+
+# This is the omnithread package,
+#   extracted from the omniORB-4.0.1 distribution
+
+# we should do some configure hacking to determine these on the fly
+OMNITHREAD_DEFINES = -DPthreadDraftVersion=10
+AM_CPPFLAGS = $(DEFINES) $(OMNITHREAD_DEFINES) $(OMNITHREAD_INCLUDES) \
+        $(WITH_INCLUDES)
+
+
+# we call it libgromnithread to avoid a collision with libomnithread on Debian
+lib_LTLIBRARIES = libgromnithread.la
+@OMNITHREAD_NT_TRUE@libgromnithread_la_SOURCES = \
+@OMNITHREAD_NT_TRUE@   omni_time.cc \
+@OMNITHREAD_NT_TRUE@   nt.cc
+
+
+# At this point we only support the posix and nt pthreads i/f...
+@OMNITHREAD_POSIX_TRUE@libgromnithread_la_SOURCES = \
+@OMNITHREAD_POSIX_TRUE@        omni_time.cc \
+@OMNITHREAD_POSIX_TRUE@        posix.cc
+
+libgromnithread_la_LDFLAGS = $(NO_UNDEFINED) 
+libgromnithread_la_LIBADD = \
+       $(PTHREAD_LIBS)
+
+pkgconfigdir = $(libdir)/pkgconfig
+pkgconfig_DATA = gnuradio-omnithread.pc
+
+# ... but this code also came with the package
+EXTRA_DIST = \
+       gnuradio-omnithread.pc.in       \
+       mach.cc                         \
+       nt.cc                           \
+       posix.cc                        \
+       solaris.cc                      \
+       threaddata.cc                   \
+       vxWorks.cc                      \
+       dir.mk
+
+all: all-recursive
+
+.SUFFIXES:
+.SUFFIXES: .cc .lo .o .obj
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  omnithread/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  omnithread/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+gnuradio-omnithread.pc: $(top_builddir)/config.status $(srcdir)/gnuradio-omnithread.pc.in
+       cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@
+install-libLTLIBRARIES: $(lib_LTLIBRARIES)
+       @$(NORMAL_INSTALL)
+       test -z "$(libdir)" || $(MKDIR_P) "$(DESTDIR)$(libdir)"
+       @list='$(lib_LTLIBRARIES)'; for p in $$list; do \
+         if test -f $$p; then \
+           f=$(am__strip_dir) \
+           echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(libLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) '$$p' '$(DESTDIR)$(libdir)/$$f'"; \
+           $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(libLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) "$$p" "$(DESTDIR)$(libdir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-libLTLIBRARIES:
+       @$(NORMAL_UNINSTALL)
+       @list='$(lib_LTLIBRARIES)'; for p in $$list; do \
+         p=$(am__strip_dir) \
+         echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f '$(DESTDIR)$(libdir)/$$p'"; \
+         $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f "$(DESTDIR)$(libdir)/$$p"; \
+       done
+
+clean-libLTLIBRARIES:
+       -test -z "$(lib_LTLIBRARIES)" || rm -f $(lib_LTLIBRARIES)
+       @list='$(lib_LTLIBRARIES)'; for p in $$list; do \
+         dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
+         test "$$dir" != "$$p" || dir=.; \
+         echo "rm -f \"$${dir}/so_locations\""; \
+         rm -f "$${dir}/so_locations"; \
+       done
+libgromnithread.la: $(libgromnithread_la_OBJECTS) $(libgromnithread_la_DEPENDENCIES) 
+       $(libgromnithread_la_LINK) -rpath $(libdir) $(libgromnithread_la_OBJECTS) $(libgromnithread_la_LIBADD) $(LIBS)
+
+mostlyclean-compile:
+       -rm -f *.$(OBJEXT)
+
+distclean-compile:
+       -rm -f *.tab.c
+
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/nt.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/omni_time.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/posix.Plo@am__quote@
+
+.cc.o:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ $<
+
+.cc.obj:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ `$(CYGPATH_W) '$<'`
+
+.cc.lo:
+@am__fastdepCXX_TRUE@  $(LTCXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LTCXXCOMPILE) -c -o $@ $<
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-pkgconfigDATA: $(pkgconfig_DATA)
+       @$(NORMAL_INSTALL)
+       test -z "$(pkgconfigdir)" || $(MKDIR_P) "$(DESTDIR)$(pkgconfigdir)"
+       @list='$(pkgconfig_DATA)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(pkgconfigDATA_INSTALL) '$$d$$p' '$(DESTDIR)$(pkgconfigdir)/$$f'"; \
+         $(pkgconfigDATA_INSTALL) "$$d$$p" "$(DESTDIR)$(pkgconfigdir)/$$f"; \
+       done
+
+uninstall-pkgconfigDATA:
+       @$(NORMAL_UNINSTALL)
+       @list='$(pkgconfig_DATA)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(pkgconfigdir)/$$f'"; \
+         rm -f "$(DESTDIR)$(pkgconfigdir)/$$f"; \
+       done
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-recursive
+all-am: Makefile $(LTLIBRARIES) $(DATA)
+installdirs: installdirs-recursive
+installdirs-am:
+       for dir in "$(DESTDIR)$(libdir)" "$(DESTDIR)$(pkgconfigdir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libLTLIBRARIES clean-libtool \
+       mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+distclean-am: clean-am distclean-compile distclean-generic \
+       distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am: install-pkgconfigDATA
+
+install-dvi: install-dvi-recursive
+
+install-exec-am: install-libLTLIBRARIES
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-compile mostlyclean-generic \
+       mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am: uninstall-libLTLIBRARIES uninstall-pkgconfigDATA
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic \
+       clean-libLTLIBRARIES clean-libtool ctags ctags-recursive \
+       dist-hook distclean distclean-compile distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-libLTLIBRARIES install-man install-pdf \
+       install-pdf-am install-pkgconfigDATA install-ps install-ps-am \
+       install-strip installcheck installcheck-am installdirs \
+       installdirs-am maintainer-clean maintainer-clean-generic \
+       mostlyclean mostlyclean-compile mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am uninstall-libLTLIBRARIES \
+       uninstall-pkgconfigDATA
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/omnithread/dir.mk b/omnithread/dir.mk
new file mode 100644 (file)
index 0000000..d538034
--- /dev/null
@@ -0,0 +1,229 @@
+ifeq ($(ThreadSystem),Solaris)
+CXXSRCS = solaris.cc
+DIR_CPPFLAGS = $(OMNITHREAD_CPPFLAGS)
+endif
+
+ifeq ($(ThreadSystem),Posix)
+CXXSRCS = posix.cc
+DIR_CPPFLAGS = $(OMNITHREAD_CPPFLAGS) $(OMNITHREAD_POSIX_CPPFLAGS)
+endif
+
+ifeq ($(ThreadSystem),NT)
+CXXSRCS = nt.cc
+DIR_CPPFLAGS = $(OMNITHREAD_CPPFLAGS)
+MSVC_STATICLIB_CXXNODEBUGFLAGS += -D_WINSTATIC
+MSVC_STATICLIB_CXXDEBUGFLAGS += -D_WINSTATIC
+MSVC_DLL_CXXNODEBUGFLAGS += -D_OMNITHREAD_DLL
+MSVC_DLL_CXXDEBUGFLAGS += -D_OMNITHREAD_DLL
+endif
+
+ifeq ($(ThreadSystem),NTPosix)
+CXXSRCS = posix.cc
+DIR_CPPFLAGS = $(OMNITHREAD_CPPFLAGS)
+MSVC_STATICLIB_CXXNODEBUGFLAGS += -D_WINSTATIC
+MSVC_STATICLIB_CXXDEBUGFLAGS += -D_WINSTATIC
+MSVC_DLL_CXXNODEBUGFLAGS += -D_OMNITHREAD_DLL
+MSVC_DLL_CXXDEBUGFLAGS += -D_OMNITHREAD_DLL
+endif
+
+ifeq ($(ThreadSystem),Mach)
+CXXSRCS = mach.cc
+DIR_CPPFLAGS = $(OMNITHREAD_CPPFLAGS)
+endif
+
+ifeq ($(ThreadSystem),vxWorks)
+CXXSRCS = vxWorks.cc
+OBJS = vxWorks.o
+DIR_CPPFLAGS = $(OMNITHREAD_CPPFLAGS)
+endif
+
+LIB_NAME     := omnithread
+LIB_VERSION  := $(OMNITHREAD_VERSION)
+LIB_OBJS     := $(CXXSRCS:.cc=.o)
+LIB_IMPORTS  := $(OMNITHREAD_PLATFORM_LIB)
+
+all:: mkstatic mkshared
+
+export:: mkstatic mkshared
+
+ifdef INSTALLTARGET
+install:: mkstatic mkshared
+endif
+
+vers := $(subst ., ,$(LIB_VERSION))
+ifeq ($(words $(vers)), 2)
+  vers  := _ $(vers)
+  major := ""
+else
+  major := $(word 1, $(vers))
+endif
+
+namespec := $(LIB_NAME) $(vers)
+
+##############################################################################
+# Build Static library
+##############################################################################
+
+ifndef NoStaticLibrary
+
+staticlib := static/$(patsubst %,$(LibNoDebugPattern),$(LIB_NAME)$(major))
+
+mkstatic::
+       @(dir=static; $(CreateDir))
+
+mkstatic:: $(staticlib)
+
+$(staticlib): $(patsubst %, static/%, $(LIB_OBJS))
+       @$(StaticLinkLibrary)
+
+export:: $(staticlib)
+       @$(ExportLibrary)
+
+ifdef INSTALLTARGET
+install:: $(staticlib)
+       @$(InstallLibrary)
+endif
+
+clean::
+       $(RM) static/*.o
+       $(RM) $(staticlib)
+
+veryclean::
+       $(RM) static/*.o
+       $(RM) $(staticlib)
+
+else
+
+mkstatic::
+
+endif
+
+
+##############################################################################
+# Build Shared library
+##############################################################################
+ifdef BuildSharedLibrary
+
+shlib := shared/$(shell $(SharedLibraryFullName) $(namespec))
+
+ifdef Win32Platform
+# in case of Win32 lossage:
+  imps := $(patsubst $(DLLDebugSearchPattern),$(DLLNoDebugSearchPattern), \
+          $(LIB_IMPORTS))
+else
+  imps := $(LIB_IMPORTS)
+endif
+
+mkshared::
+       @(dir=shared; $(CreateDir))
+
+mkshared:: $(shlib)
+
+$(shlib): $(patsubst %, shared/%, $(LIB_OBJS))
+       @(namespec="$(namespec)" extralibs="$(imps)" nodeffile=1; \
+         $(MakeCXXSharedLibrary))
+
+export:: $(shlib)
+       @(namespec="$(namespec)"; \
+          $(ExportSharedLibrary))
+
+ifdef INSTALLTARGET
+install:: $(shlib)
+       @(namespec="$(namespec)"; \
+          $(InstallSharedLibrary))
+endif
+
+clean::
+       $(RM) shared/*.o
+       (dir=shared; $(CleanSharedLibrary))
+
+veryclean::
+       $(RM) shared/*.o
+       @(dir=shared; $(CleanSharedLibrary))
+
+else
+
+mkshared::
+
+endif
+
+##############################################################################
+# Build debug libraries for Win32
+##############################################################################
+ifdef Win32Platform
+
+ifdef BuildSharedLibrary
+
+all:: mkstaticdbug mkshareddbug
+
+export:: mkstaticdbug mkshareddbug
+
+else
+
+all:: mkstaticdbug
+
+export:: mkstaticdbug
+
+endif
+
+
+#####################################################
+#      Static debug libraries
+#####################################################
+
+dbuglib := debug/$(patsubst %,$(LibDebugPattern),$(LIB_NAME)$(major))
+
+mkstaticdbug::
+       @(dir=debug; $(CreateDir))
+
+mkstaticdbug:: $(dbuglib)
+
+$(dbuglib): $(patsubst %, debug/%, $(LIB_OBJS))
+       @$(StaticLinkLibrary)
+
+export:: $(dbuglib)
+       @$(ExportLibrary)
+
+clean::
+       $(RM) debug/*.o
+       $(RM) $(dbuglib)
+
+veryclean::
+       $(RM) debug/*.o
+       $(RM) $(dbuglib)
+
+#####################################################
+#      DLL debug libraries
+#####################################################
+
+ifdef BuildSharedLibrary
+
+dbugshlib := shareddebug/$(shell $(SharedLibraryDebugFullName) $(namespec))
+
+dbugimps  := $(patsubst $(DLLNoDebugSearchPattern),$(DLLDebugSearchPattern), \
+               $(LIB_IMPORTS))
+
+mkshareddbug::
+       @(dir=shareddebug; $(CreateDir))
+
+mkshareddbug:: $(dbugshlib)
+
+$(dbugshlib): $(patsubst %, shareddebug/%, $(LIB_OBJS))
+       (namespec="$(namespec)" debug=1 extralibs="$(dbugimps)" nodeffile=1; \
+         $(MakeCXXSharedLibrary))
+
+export:: $(dbugshlib)
+       @(namespec="$(namespec)" debug=1; \
+          $(ExportSharedLibrary))
+
+clean::
+       $(RM) shareddebug/*.o
+       @(dir=shareddebug; $(CleanSharedLibrary))
+
+veryclean::
+       $(RM) shareddebug/*.o
+       @(dir=shareddebug; $(CleanSharedLibrary))
+
+endif
+endif
+
diff --git a/omnithread/gnuradio-omnithread.pc.in b/omnithread/gnuradio-omnithread.pc.in
new file mode 100644 (file)
index 0000000..0a94562
--- /dev/null
@@ -0,0 +1,11 @@
+prefix=@prefix@
+exec_prefix=@exec_prefix@
+libdir=@libdir@
+includedir=@includedir@/gnuradio
+
+Name: gnuradio-omnithread
+Description: The GNU Radio omniORB threading library
+Requires:
+Version: @VERSION@
+Libs: -L${libdir} -lgromnithread
+Cflags: -I${includedir} @DEFINES@ @PTHREAD_CFLAGS@
diff --git a/omnithread/gnuradio/Makefile.am b/omnithread/gnuradio/Makefile.am
new file mode 100644 (file)
index 0000000..1ec5612
--- /dev/null
@@ -0,0 +1,32 @@
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+include $(top_srcdir)/Makefile.common
+
+grinclude_HEADERS =                    \
+       omnithread.h                    \
+       omni_time.h                     \
+       ot_mach.h                       \
+       ot_nt.h                         \
+       ot_posix.h                      \
+       ot_pthread_nt.h                 \
+       ot_solaris.h                    \
+       ot_VxThread.h                   
diff --git a/omnithread/gnuradio/Makefile.in b/omnithread/gnuradio/Makefile.in
new file mode 100644 (file)
index 0000000..3615dde
--- /dev/null
@@ -0,0 +1,956 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(grinclude_HEADERS) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(top_srcdir)/Makefile.common
+subdir = omnithread/gnuradio
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(grincludedir)"
+grincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+HEADERS = $(grinclude_HEADERS)
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+grinclude_HEADERS = \
+       omnithread.h                    \
+       omni_time.h                     \
+       ot_mach.h                       \
+       ot_nt.h                         \
+       ot_posix.h                      \
+       ot_pthread_nt.h                 \
+       ot_solaris.h                    \
+       ot_VxThread.h                   
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  omnithread/gnuradio/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  omnithread/gnuradio/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-grincludeHEADERS: $(grinclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(grincludedir)" || $(MKDIR_P) "$(DESTDIR)$(grincludedir)"
+       @list='$(grinclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(grincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(grincludedir)/$$f'"; \
+         $(grincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(grincludedir)/$$f"; \
+       done
+
+uninstall-grincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(grinclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(grincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(grincludedir)/$$f"; \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile $(HEADERS)
+installdirs:
+       for dir in "$(DESTDIR)$(grincludedir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-grincludeHEADERS
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-grincludeHEADERS
+
+.MAKE: install-am install-strip
+
+.PHONY: CTAGS GTAGS all all-am check check-am clean clean-generic \
+       clean-libtool ctags dist-hook distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-grincludeHEADERS install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-ps install-ps-am \
+       install-strip installcheck installcheck-am installdirs \
+       maintainer-clean maintainer-clean-generic mostlyclean \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       tags uninstall uninstall-am uninstall-grincludeHEADERS
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/omnithread/gnuradio/omni_time.h b/omnithread/gnuradio/omni_time.h
new file mode 100644 (file)
index 0000000..bfb1516
--- /dev/null
@@ -0,0 +1,89 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+#ifndef INCLUDED_OMNI_TIME_H
+#define INCLUDED_OMNI_TIME_H
+
+struct omni_time {
+  long int d_secs;     // seconds.
+  long int d_nsecs;    // nanoseconds.  Always in [0, 1e9-1]
+
+  omni_time() : d_secs(0), d_nsecs(0) {}
+  omni_time(long secs, long nanosecs=0) : d_secs(secs), d_nsecs(nanosecs) {}
+
+  // N.B., this only makes sense for differences between times.
+  // Double doesn't have enough bits to precisely represent an absolute time.
+  omni_time(double secs);
+
+  // N.B. This only makes sense for differences between times.
+  // Double doesn't have enough bits to precisely represent an absolute time.
+  double double_time() const { return (double)d_secs + d_nsecs * 1e-9; }
+
+  /*!
+   * \brief Return an absolute time suitable for use with
+   * schedule_one_shot_timeout & schedule_periodic_timeout
+   *
+   * The return value is the current time plus the given relative offset.
+   */
+  static omni_time time(const omni_time &relative_offset = omni_time());
+};
+
+
+inline static bool
+operator<(const omni_time &x, const omni_time &y)
+{
+  return ((x.d_secs < y.d_secs)
+         || (x.d_secs == y.d_secs && x.d_nsecs < y.d_nsecs));
+}
+
+inline static bool
+operator>(const omni_time &x, const omni_time &y)
+{
+  return ((x.d_secs > y.d_secs)
+         || (x.d_secs == y.d_secs && x.d_nsecs > y.d_nsecs));
+}
+
+inline static bool
+operator>=(const omni_time &x, const omni_time &y)
+{
+  return ((x.d_secs > y.d_secs)
+         || (x.d_secs == y.d_secs && x.d_nsecs >= y.d_nsecs));
+}
+
+inline static bool
+operator<=(const omni_time &x, const omni_time &y)
+{
+  return ((x.d_secs < y.d_secs)
+         || (x.d_secs == y.d_secs && x.d_nsecs <= y.d_nsecs));
+}
+
+inline static bool
+operator==(const omni_time &x, const omni_time &y)
+{
+  return (x.d_secs == y.d_secs && x.d_nsecs == y.d_nsecs);
+}
+
+
+omni_time operator+(const omni_time &x, const omni_time &y);
+omni_time operator+(const omni_time &x, double y);
+omni_time operator-(const omni_time &x, const omni_time &y);
+omni_time operator-(const omni_time &x, double y);
+
+#endif /* INCLUDED_OMNI_TIME_H */
diff --git a/omnithread/gnuradio/omnithread.h b/omnithread/gnuradio/omnithread.h
new file mode 100644 (file)
index 0000000..8e8162b
--- /dev/null
@@ -0,0 +1,626 @@
+// -*- Mode: C++; -*-
+//                             Package : omnithread
+// omnithread.h                        Created : 7/94 tjr
+//
+//    Copyright (C) 2006 Free Software Foundation, Inc.
+//    Copyright (C) 1994,1995,1996, 1997 Olivetti & Oracle Research Laboratory
+//
+//    This file is part of the omnithread library
+//
+//    The omnithread library is free software; you can redistribute it and/or
+//    modify it under the terms of the GNU Library General Public
+//    License as published by the Free Software Foundation; either
+//    version 2 of the License, or (at your option) any later version.
+//
+//    This library is distributed in the hope that it will be useful,
+//    but WITHOUT ANY WARRANTY; without even the implied warranty of
+//    MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
+//    Library General Public License for more details.
+//
+//    You should have received a copy of the GNU Library General Public
+//    License along with this library; if not, write to the Free
+//    Software Foundation, Inc., 51 Franklin Street, Boston, MA  
+//    02110-1301, USA
+//
+
+//
+// Interface to OMNI thread abstraction.
+//
+// This file declares classes for threads and synchronisation objects
+// (mutexes, condition variables and counting semaphores).
+//
+// Wherever a seemingly arbitrary choice has had to be made as to the interface
+// provided, the intention here has been to be as POSIX-like as possible.  This
+// is why there is no semaphore timed wait, for example.
+//
+
+#ifndef __omnithread_h_
+#define __omnithread_h_
+
+#ifndef NULL
+#define NULL 0
+#endif
+
+class omni_mutex;
+class omni_condition;
+class omni_semaphore;
+class omni_thread;
+
+//
+// OMNI_THREAD_EXPOSE can be defined as public or protected to expose the
+// implementation class - this may be useful for debugging.  Hopefully this
+// won't change the underlying structure which the compiler generates so that
+// this can work without recompiling the library.
+//
+
+#ifndef OMNI_THREAD_EXPOSE
+#define OMNI_THREAD_EXPOSE private
+#endif
+
+//
+// Include implementation-specific header file.
+//
+// This must define 4 CPP macros of the form OMNI_x_IMPLEMENTATION for mutex,
+// condition variable, semaphore and thread.  Each should define any
+// implementation-specific members of the corresponding classes.
+//
+
+
+//
+// For now, we assume they've always got a Posix Threads implementation.
+// If not, it'll take some configure hacking to sort it out, along with
+// the relevant libraries to link with, etc.
+//
+
+#if !defined(OMNITHREAD_POSIX) && !defined(OMNITHREAD_NT) && defined HAVE_CONFIG_H
+// #include <config.h>      // No, No, No!  Never include <config.h> from a header
+#endif
+
+#if defined(OMNITHREAD_POSIX)
+#include <gnuradio/ot_posix.h>
+
+#elif defined(OMNITHREAD_NT)
+#include <gnuradio/ot_nt.h>
+
+#ifdef _MSC_VER
+
+// Using MSVC++ to compile. If compiling library as a DLL,
+// define _OMNITHREAD_DLL. If compiling as a statuc library, define
+// _WINSTATIC
+// If compiling an application that is to be statically linked to omnithread,
+// define _WINSTATIC (if the application is  to be dynamically linked, 
+// there is no need to define any of these macros).
+
+#if defined (_OMNITHREAD_DLL) && defined(_WINSTATIC)
+#error "Both _OMNITHREAD_DLL and _WINSTATIC are defined."
+#elif defined(_OMNITHREAD_DLL)
+#define _OMNITHREAD_NTDLL_ __declspec(dllexport)
+#elif !defined(_WINSTATIC)
+#define _OMNITHREAD_NTDLL_ __declspec(dllimport)
+#elif defined(_WINSTATIC)
+#define _OMNITHREAD_NTDLL_
+#endif
+ // _OMNITHREAD_DLL && _WINSTATIC
+
+#else
+
+// Not using MSVC++ to compile
+#define _OMNITHREAD_NTDLL_
+
+#endif
+ // _MSC_VER
+#elif defined(__vxWorks__)
+#include <gnuradio/ot_VxThread.h>
+
+#elif defined(__sunos__)
+#if __OSVERSION__ != 5
+// XXX Workaround for SUN C++ compiler (seen on 4.2) Template.DB code
+//     regeneration bug. See omniORB2/CORBA_sysdep.h for details.
+#if !defined(__SUNPRO_CC) || __OSVERSION__ != '5'
+#error "Only SunOS 5.x or later is supported."
+#endif
+#endif
+#ifdef UseSolarisThreads
+#include <gnuradio/ot_solaris.h>
+#else
+#include <gnuradio/ot_posix.h>
+#endif
+
+#elif defined(__rtems__)
+#include <gnuradio/ot_posix.h>
+#include <sched.h>
+
+#elif defined(__macos__)
+#include <gnuradio/ot_posix.h>
+#include <sched.h>
+
+#else
+#error "No implementation header file"
+#endif
+
+
+#if !defined(__WIN32__)
+#define _OMNITHREAD_NTDLL_
+#endif
+
+#if (!defined(OMNI_MUTEX_IMPLEMENTATION)        || \
+     !defined(OMNI_MUTEX_LOCK_IMPLEMENTATION)   || \
+     !defined(OMNI_MUTEX_TRYLOCK_IMPLEMENTATION)|| \
+     !defined(OMNI_MUTEX_UNLOCK_IMPLEMENTATION) || \
+     !defined(OMNI_CONDITION_IMPLEMENTATION)    || \
+     !defined(OMNI_SEMAPHORE_IMPLEMENTATION)    || \
+     !defined(OMNI_THREAD_IMPLEMENTATION))
+#error "Implementation header file incomplete"
+#endif
+
+
+//
+// This exception is thrown in the event of a fatal error.
+//
+
+class _OMNITHREAD_NTDLL_ omni_thread_fatal {
+public:
+    int error;
+    omni_thread_fatal(int e = 0) : error(e) {}
+};
+
+
+//
+// This exception is thrown when an operation is invoked with invalid
+// arguments.
+//
+
+class _OMNITHREAD_NTDLL_ omni_thread_invalid {};
+
+
+///////////////////////////////////////////////////////////////////////////
+//
+// Mutex
+//
+///////////////////////////////////////////////////////////////////////////
+
+class _OMNITHREAD_NTDLL_ omni_mutex {
+
+public:
+    omni_mutex(void);
+    ~omni_mutex(void);
+
+    inline void lock(void)    { OMNI_MUTEX_LOCK_IMPLEMENTATION   }
+    inline void unlock(void)  { OMNI_MUTEX_UNLOCK_IMPLEMENTATION }
+    inline int trylock(void)  { return OMNI_MUTEX_TRYLOCK_IMPLEMENTATION }
+       // if mutex is unlocked, lock it and return 1 (true).
+       // If it's already locked then return 0 (false).
+
+    inline void acquire(void) { lock(); }
+    inline void release(void) { unlock(); }
+       // the names lock and unlock are preferred over acquire and release
+       // since we are attempting to be as POSIX-like as possible.
+
+    friend class omni_condition;
+
+private:
+    // dummy copy constructor and operator= to prevent copying
+    omni_mutex(const omni_mutex&);
+    omni_mutex& operator=(const omni_mutex&);
+
+OMNI_THREAD_EXPOSE:
+    OMNI_MUTEX_IMPLEMENTATION
+};
+
+//
+// As an alternative to:
+// {
+//   mutex.lock();
+//   .....
+//   mutex.unlock();
+// }
+//
+// you can use a single instance of the omni_mutex_lock class:
+//
+// {
+//   omni_mutex_lock l(mutex);
+//   ....
+// }
+//
+// This has the advantage that mutex.unlock() will be called automatically
+// when an exception is thrown.
+//
+
+class _OMNITHREAD_NTDLL_ omni_mutex_lock {
+    omni_mutex& mutex;
+public:
+    omni_mutex_lock(omni_mutex& m) : mutex(m) { mutex.lock(); }
+    ~omni_mutex_lock(void) { mutex.unlock(); }
+private:
+    // dummy copy constructor and operator= to prevent copying
+    omni_mutex_lock(const omni_mutex_lock&);
+    omni_mutex_lock& operator=(const omni_mutex_lock&);
+};
+
+
+///////////////////////////////////////////////////////////////////////////
+//
+// Condition variable
+//
+///////////////////////////////////////////////////////////////////////////
+
+class _OMNITHREAD_NTDLL_ omni_condition {
+
+    omni_mutex* mutex;
+
+public:
+    omni_condition(omni_mutex* m);
+       // constructor must be given a pointer to an existing mutex. The
+       // condition variable is then linked to the mutex, so that there is an
+       // implicit unlock and lock around wait() and timed_wait().
+
+    ~omni_condition(void);
+
+    void wait(void);
+       // wait for the condition variable to be signalled.  The mutex is
+       // implicitly released before waiting and locked again after waking up.
+       // If wait() is called by multiple threads, a signal may wake up more
+       // than one thread.  See POSIX threads documentation for details.
+
+    int timedwait(unsigned long secs, unsigned long nanosecs = 0);
+       // timedwait() is given an absolute time to wait until.  To wait for a
+       // relative time from now, use omni_thread::get_time. See POSIX threads
+       // documentation for why absolute times are better than relative.
+       // Returns 1 (true) if successfully signalled, 0 (false) if time
+       // expired.
+
+    void signal(void);
+       // if one or more threads have called wait(), signal wakes up at least
+       // one of them, possibly more.  See POSIX threads documentation for
+       // details.
+
+    void broadcast(void);
+       // broadcast is like signal but wakes all threads which have called
+       // wait().
+
+private:
+    // dummy copy constructor and operator= to prevent copying
+    omni_condition(const omni_condition&);
+    omni_condition& operator=(const omni_condition&);
+
+OMNI_THREAD_EXPOSE:
+    OMNI_CONDITION_IMPLEMENTATION
+};
+
+
+///////////////////////////////////////////////////////////////////////////
+//
+// Counting (or binary) semaphore
+//
+///////////////////////////////////////////////////////////////////////////
+
+class _OMNITHREAD_NTDLL_ omni_semaphore {
+
+public:
+    // if max_count == 1, you've got a binary semaphore.
+    omni_semaphore(unsigned int initial = 1, unsigned int max_count = 0x7fffffff);
+    ~omni_semaphore(void);
+
+    void wait(void);
+       // if semaphore value is > 0 then decrement it and carry on. If it's
+       // already 0 then block.
+
+    int trywait(void);
+       // if semaphore value is > 0 then decrement it and return 1 (true).
+       // If it's already 0 then return 0 (false).
+
+    void post(void);
+       // if any threads are blocked in wait(), wake one of them up. Otherwise
+       // increment the value of the semaphore.
+
+private:
+    // dummy copy constructor and operator= to prevent copying
+    omni_semaphore(const omni_semaphore&);
+    omni_semaphore& operator=(const omni_semaphore&);
+
+OMNI_THREAD_EXPOSE:
+    OMNI_SEMAPHORE_IMPLEMENTATION
+};
+
+//
+// A helper class for semaphores, similar to omni_mutex_lock above.
+//
+
+class _OMNITHREAD_NTDLL_ omni_semaphore_lock {
+    omni_semaphore& sem;
+public:
+    omni_semaphore_lock(omni_semaphore& s) : sem(s) { sem.wait(); }
+    ~omni_semaphore_lock(void) { sem.post(); }
+private:
+    // dummy copy constructor and operator= to prevent copying
+    omni_semaphore_lock(const omni_semaphore_lock&);
+    omni_semaphore_lock& operator=(const omni_semaphore_lock&);
+};
+
+
+///////////////////////////////////////////////////////////////////////////
+//
+// Thread
+//
+///////////////////////////////////////////////////////////////////////////
+
+class _OMNITHREAD_NTDLL_ omni_thread {
+
+public:
+
+    enum priority_t {
+       PRIORITY_LOW,
+       PRIORITY_NORMAL,
+       PRIORITY_HIGH
+    };
+
+    enum state_t {
+       STATE_NEW,              // thread object exists but thread hasn't
+                               // started yet.
+       STATE_RUNNING,          // thread is running.
+       STATE_TERMINATED        // thread has terminated but storage has not
+                               // been reclaimed (i.e. waiting to be joined).
+    };
+
+    //
+    // Constructors set up the thread object but the thread won't start until
+    // start() is called. The create method can be used to construct and start
+    // a thread in a single call.
+    //
+
+    omni_thread(void (*fn)(void*), void* arg = NULL,
+               priority_t pri = PRIORITY_NORMAL);
+    omni_thread(void* (*fn)(void*), void* arg = NULL,
+               priority_t pri = PRIORITY_NORMAL);
+       // these constructors create a thread which will run the given function
+       // when start() is called.  The thread will be detached if given a
+       // function with void return type, undetached if given a function
+       // returning void*. If a thread is detached, storage for the thread is
+       // reclaimed automatically on termination. Only an undetached thread
+       // can be joined.
+
+    void start(void);
+       // start() causes a thread created with one of the constructors to
+       // start executing the appropriate function.
+
+protected:
+
+    omni_thread(void* arg = NULL, priority_t pri = PRIORITY_NORMAL);
+       // this constructor is used in a derived class.  The thread will
+       // execute the run() or run_undetached() member functions depending on
+       // whether start() or start_undetached() is called respectively.
+
+public:
+
+    void start_undetached(void);
+       // can be used with the above constructor in a derived class to cause
+       // the thread to be undetached.  In this case the thread executes the
+       // run_undetached member function.
+
+protected:
+
+    virtual ~omni_thread(void);
+       // destructor cannot be called by user (except via a derived class).
+       // Use exit() or cancel() instead. This also means a thread object must
+       // be allocated with new - it cannot be statically or automatically
+       // allocated. The destructor of a class that inherits from omni_thread
+       // shouldn't be public either (otherwise the thread object can be
+       // destroyed while the underlying thread is still running).
+
+public:
+
+    void join(void**);
+       // join causes the calling thread to wait for another's completion,
+       // putting the return value in the variable of type void* whose address
+       // is given (unless passed a null pointer). Only undetached threads
+       // may be joined. Storage for the thread will be reclaimed.
+
+    void set_priority(priority_t);
+       // set the priority of the thread.
+
+    static omni_thread* create(void (*fn)(void*), void* arg = NULL,
+                              priority_t pri = PRIORITY_NORMAL);
+    static omni_thread* create(void* (*fn)(void*), void* arg = NULL,
+                              priority_t pri = PRIORITY_NORMAL);
+       // create spawns a new thread executing the given function with the
+       // given argument at the given priority. Returns a pointer to the
+       // thread object. It simply constructs a new thread object then calls
+       // start.
+
+    static void exit(void* return_value = NULL);
+       // causes the calling thread to terminate.
+
+    static omni_thread* self(void);
+       // returns the calling thread's omni_thread object.  If the
+       // calling thread is not the main thread and is not created
+       // using this library, returns 0. (But see create_dummy()
+       // below.)
+
+    static void yield(void);
+       // allows another thread to run.
+
+    static void sleep(unsigned long secs, unsigned long nanosecs = 0);
+       // sleeps for the given time.
+
+    static void get_time(unsigned long* abs_sec, unsigned long* abs_nsec,
+                        unsigned long rel_sec = 0, unsigned long rel_nsec=0);
+       // calculates an absolute time in seconds and nanoseconds, suitable for
+       // use in timed_waits on condition variables, which is the current time
+       // plus the given relative offset.
+
+
+    static void stacksize(unsigned long sz);
+    static unsigned long stacksize();
+        // Use this value as the stack size when spawning a new thread.
+        // The default value (0) means that the thread library default is
+        // to be used.
+
+
+    // Per-thread data
+    //
+    // These functions allow you to attach additional data to an
+    // omni_thread. First allocate a key for yourself with
+    // allocate_key(). Then you can store any object whose class is
+    // derived from value_t. Any values still stored in the
+    // omni_thread when the thread exits are deleted.
+    //
+    // These functions are NOT thread safe, so you should be very
+    // careful about setting/getting data in a different thread to the
+    // current thread.
+
+    typedef unsigned int key_t;
+    static key_t allocate_key();
+
+    class value_t {
+    public:
+      virtual ~value_t() {}
+    };
+
+    value_t* set_value(key_t k, value_t* v);
+        // Sets a value associated with the given key. The key must
+        // have been allocated with allocate_key(). If a value has
+        // already been set with the specified key, the old value_t
+        // object is deleted and replaced. Returns the value which was
+        // set, or zero if the key is invalid.
+
+    value_t* get_value(key_t k);
+        // Returns the value associated with the key. If the key is
+        // invalid, or there is no value for the key, returns zero.
+
+    value_t* remove_value(key_t k);
+        // Removes the value associated with the key and returns it.
+        // If the key is invalid, or there is no value for the key,
+        // returns zero.
+
+
+    // Dummy omni_thread
+    //
+    // Sometimes, an application finds itself with threads created
+    // outside of omnithread which must interact with omnithread
+    // features such as the per-thread data. In this situation,
+    // omni_thread::self() would normally return 0. These functions
+    // allow the application to create a suitable dummy omni_thread
+    // object.
+
+    static omni_thread* create_dummy(void);
+        // creates a dummy omni_thread for the calling thread. Future
+        // calls to self() will return the dummy omni_thread. Throws
+        // omni_thread_invalid if this thread already has an
+        // associated omni_thread (real or dummy).
+
+    static void release_dummy();
+        // release the dummy omni_thread for this thread. This
+        // function MUST be called before the thread exits. Throws
+        // omni_thread_invalid if the calling thread does not have a
+        // dummy omni_thread.
+
+    // class ensure_self should be created on the stack. If created in
+    // a thread without an associated omni_thread, it creates a dummy
+    // thread which is released when the ensure_self object is deleted.
+
+    class ensure_self {
+    public:
+      inline ensure_self() : _dummy(0)
+      {
+       _self = omni_thread::self();
+       if (!_self) {
+         _dummy = 1;
+         _self  = omni_thread::create_dummy();
+       }
+      }
+      inline ~ensure_self()
+      {
+       if (_dummy)
+         omni_thread::release_dummy();
+      }
+      inline omni_thread* self() { return _self; }
+    private:
+      omni_thread* _self;
+      int          _dummy;
+    };
+
+
+private:
+
+    virtual void run(void* /*arg*/) {}
+    virtual void* run_undetached(void* /*arg*/) { return NULL; }
+       // can be overridden in a derived class.  When constructed using the
+       // the constructor omni_thread(void*, priority_t), these functions are
+       // called by start() and start_undetached() respectively.
+
+    void common_constructor(void* arg, priority_t pri, int det);
+       // implements the common parts of the constructors.
+
+    omni_mutex mutex;
+       // used to protect any members which can change after construction,
+       // i.e. the following 2 members.
+
+    state_t _state;
+    priority_t _priority;
+
+    static omni_mutex* next_id_mutex;
+    static int next_id;
+    int _id;
+
+    void (*fn_void)(void*);
+    void* (*fn_ret)(void*);
+    void* thread_arg;
+    int detached;
+    int _dummy;
+    value_t**     _values;
+    unsigned long _value_alloc;
+
+    omni_thread(const omni_thread&);
+    omni_thread& operator=(const omni_thread&);
+    // Not implemented
+
+public:
+
+    priority_t priority(void) {
+
+       // return this thread's priority.
+
+       omni_mutex_lock l(mutex);
+       return _priority;
+    }
+
+    state_t state(void) {
+
+       // return thread state (invalid, new, running or terminated).
+
+       omni_mutex_lock l(mutex);
+       return _state;
+    }
+
+    int id(void) { return _id; }
+       // return unique thread id within the current process.
+
+
+    // This class plus the instance of it declared below allows us to execute
+    // some initialisation code before main() is called.
+
+    class _OMNITHREAD_NTDLL_ init_t {
+    public:
+       init_t(void);
+        ~init_t(void);
+    };
+
+    friend class init_t;
+    friend class omni_thread_dummy;
+
+OMNI_THREAD_EXPOSE:
+    OMNI_THREAD_IMPLEMENTATION
+};
+
+#ifndef __rtems__
+static omni_thread::init_t omni_thread_init;
+#else
+// RTEMS calls global Ctor/Dtor in a context that is not
+// a posix thread. Calls to functions to pthread_self() in
+// that context returns NULL. 
+// So, for RTEMS we will make the thread initialization at the
+// beginning of the Init task that has a posix context.
+#endif
+
+#endif
diff --git a/omnithread/gnuradio/ot_VxThread.h b/omnithread/gnuradio/ot_VxThread.h
new file mode 100644 (file)
index 0000000..e96c036
--- /dev/null
@@ -0,0 +1,118 @@
+#ifndef __VXTHREAD_H__
+#define __VXTHREAD_H__
+/*
+%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
+%% Project:     omniORB
+%% Filename:    $Filename$
+%% Author:      Guillaume/Bill ARRECKX
+%%              Copyright Wavetek Wandel & Goltermann, Plymouth.
+%% Description: OMNI thread implementation classes for VxWorks threads
+%% Notes:
+%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
+%% $Log$
+%% Revision 1.1  2004/04/10 18:00:52  eb
+%% Initial revision
+%%
+%% Revision 1.1.1.1  2004/03/01 00:20:27  eb
+%% initial checkin
+%%
+%% Revision 1.1  2003/05/25 05:29:04  eb
+%% see ChangeLog
+%%
+%% Revision 1.1.2.1  2003/02/17 02:03:07  dgrisby
+%% vxWorks port. (Thanks Michael Sturm / Acterna Eningen GmbH).
+%%
+%% Revision 1.1.1.1  2002/11/19 14:55:21  sokcevti
+%% OmniOrb4.0.0 VxWorks port
+%%
+%% Revision 1.2  2002/06/14 12:45:50  engeln
+%% unnecessary members in condition removed.
+%% ---
+%%
+%% Revision 1.1.1.1  2002/04/02 10:08:49  sokcevti
+%% omniORB4 initial realease
+%%
+%% Revision 1.1  2001/03/23 16:50:23  hartmut
+%% Initial Version 2.8
+%%
+%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%%
+*/
+
+
+///////////////////////////////////////////////////////////////////////////
+// Includes
+///////////////////////////////////////////////////////////////////////////
+#include <vxWorks.h>
+#include <semLib.h>
+#include <taskLib.h>
+
+
+///////////////////////////////////////////////////////////////////////////
+// Externs prototypes
+///////////////////////////////////////////////////////////////////////////
+extern "C" void omni_thread_wrapper(void* ptr);
+
+
+///////////////////////////////////////////////////////////////////////////
+// Exported macros
+// Note: These are added as private members in each class implementation.
+///////////////////////////////////////////////////////////////////////////
+#define OMNI_MUTEX_IMPLEMENTATION \
+   SEM_ID mutexID;     \
+   bool m_bConstructed;
+
+#define OMNI_CONDITION_IMPLEMENTATION \
+   long waiters_; \
+   SEM_ID waiters_lock_; \
+   SEM_ID sema_;
+
+#define OMNI_SEMAPHORE_IMPLEMENTATION \
+   SEM_ID semID;
+
+#define OMNI_MUTEX_LOCK_IMPLEMENTATION                  \
+       if(semTake(mutexID, WAIT_FOREVER) != OK)        \
+       {       \
+               throw omni_thread_fatal(errno); \
+       }
+
+#define OMNI_MUTEX_UNLOCK_IMPLEMENTATION                \
+       if(semGive(mutexID) != OK)      \
+       {       \
+               throw omni_thread_fatal(errno); \
+       }
+
+#define OMNI_THREAD_IMPLEMENTATION \
+   friend void omni_thread_wrapper(void* ptr); \
+   static int vxworks_priority(priority_t); \
+   omni_condition *running_cond; \
+   void* return_val; \
+   int tid; \
+   public: \
+   static void attach(void); \
+   static void detach(void); \
+   static void show(void);
+
+
+///////////////////////////////////////////////////////////////////////////
+// Porting macros
+///////////////////////////////////////////////////////////////////////////
+// This is a wrapper function for the 'main' function which does not exists
+//  as such in VxWorks. The wrapper creates a launch function instead,
+//  which spawns the application wrapped in a omni_thread.
+// Argc will always be null.
+///////////////////////////////////////////////////////////////////////////
+#define main( discarded_argc, discarded_argv ) \
+        omni_discard_retval() \
+          { \
+          throw; \
+          } \
+        int omni_main( int argc, char **argv ); \
+        void launch( ) \
+          { \
+          omni_thread* th = new omni_thread( (void(*)(void*))omni_main );\
+          th->start();\
+          }\
+        int omni_main( int argc, char **argv )
+
+
+#endif // ndef __VXTHREAD_H__
diff --git a/omnithread/gnuradio/ot_mach.h b/omnithread/gnuradio/ot_mach.h
new file mode 100644 (file)
index 0000000..7636192
--- /dev/null
@@ -0,0 +1,51 @@
+//                             Package : omnithread
+// omnithread/posix.h          Created : 7/97 lars immisch lars@ibp.de
+//
+//    Copyright (C) 1994,1995,1996, 1997 Immisch, becker & Partner
+//
+//    This file is part of the omnithread library
+//
+//    The omnithread library is free software; you can redistribute it and/or
+//    modify it under the terms of the GNU Library General Public
+//    License as published by the Free Software Foundation; either
+//    version 2 of the License, or (at your option) any later version.
+//
+//    This library is distributed in the hope that it will be useful,
+//    but WITHOUT ANY WARRANTY; without even the implied warranty of
+//    MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
+//    Library General Public License for more details.
+//
+//    You should have received a copy of the GNU Library General Public
+//    License along with this library; if not, write to the Free
+//    Software Foundation, Inc., 51 Franklin Street, Boston, MA  
+//    02110-1301, USA
+//
+//
+// OMNI thread implementation classes for posix threads
+//
+
+#ifndef __omnithread_mach_h_
+#define __omnithread_mach_h_
+
+#include <mach/cthreads.h>
+
+extern "C" void* omni_thread_wrapper(void* ptr);
+
+#define OMNI_MUTEX_IMPLEMENTATION                      \
+    struct mutex mach_mutex;
+
+#define OMNI_CONDITION_IMPLEMENTATION                  \
+    struct condition mach_cond;
+
+#define OMNI_SEMAPHORE_IMPLEMENTATION                  \
+    omni_mutex m;                                      \
+    omni_condition c;                                  \
+    int value;
+
+
+#define OMNI_THREAD_IMPLEMENTATION                     \
+    cthread_t mach_thread;                             \
+    static int mach_priority(priority_t);              \
+    friend void* omni_thread_wrapper(void* ptr);
+
+#endif
diff --git a/omnithread/gnuradio/ot_nt.h b/omnithread/gnuradio/ot_nt.h
new file mode 100644 (file)
index 0000000..551ccf2
--- /dev/null
@@ -0,0 +1,85 @@
+//                             Package : omnithread
+// omnithread/nt.h             Created : 6/95 tjr
+//
+//    Copyright (C) 1995, 1996, 1997 Olivetti & Oracle Research Laboratory
+//
+//    This file is part of the omnithread library
+//
+//    The omnithread library is free software; you can redistribute it and/or
+//    modify it under the terms of the GNU Library General Public
+//    License as published by the Free Software Foundation; either
+//    version 2 of the License, or (at your option) any later version.
+//
+//    This library is distributed in the hope that it will be useful,
+//    but WITHOUT ANY WARRANTY; without even the implied warranty of
+//    MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
+//    Library General Public License for more details.
+//
+//    You should have received a copy of the GNU Library General Public
+//    License along with this library; if not, write to the Free
+//    Software Foundation, Inc., 51 Franklin Street, Boston, MA  
+//    02110-1301, USA
+//
+//
+// OMNI thread implementation classes for NT threads.
+//
+
+#ifndef __omnithread_nt_h_
+#define __omnithread_nt_h_
+
+#ifndef WIN32_LEAN_AND_MEAN
+#  define WIN32_LEAN_AND_MEAN
+#  define OMNI_DEFINED_WIN32_LEAN_AND_MEAN
+#endif
+
+#include <windows.h>
+
+#ifdef OMNI_DEFINED_WIN32_LEAN_AND_MEAN
+#  undef WIN32_LEAN_AND_MEAN
+#  undef OMNI_DEFINED_WIN32_LEAN_AND_MEAN
+#endif
+
+
+#ifndef __BCPLUSPLUS__
+#define OMNI_THREAD_WRAPPER \
+    unsigned __stdcall omni_thread_wrapper(LPVOID ptr);
+#else
+#define OMNI_THREAD_WRAPPER \
+    void _USERENTRY omni_thread_wrapper(void *ptr);
+#endif
+
+extern "C" OMNI_THREAD_WRAPPER;
+
+#define OMNI_MUTEX_IMPLEMENTATION                      \
+    CRITICAL_SECTION crit;
+
+#define OMNI_MUTEX_LOCK_IMPLEMENTATION                  \
+    EnterCriticalSection(&crit);
+
+#define OMNI_MUTEX_TRYLOCK_IMPLEMENTATION               \
+    TryEnterCriticalSection(&crit);
+
+#define OMNI_MUTEX_UNLOCK_IMPLEMENTATION                \
+    LeaveCriticalSection(&crit);
+
+#define OMNI_CONDITION_IMPLEMENTATION                  \
+    CRITICAL_SECTION crit;                             \
+    omni_thread* waiting_head;                         \
+    omni_thread* waiting_tail;
+
+#define OMNI_SEMAPHORE_IMPLEMENTATION                  \
+    HANDLE nt_sem;
+
+#define OMNI_THREAD_IMPLEMENTATION                     \
+    HANDLE handle;                                     \
+    DWORD nt_id;                                       \
+    void* return_val;                                  \
+    HANDLE cond_semaphore;                             \
+    omni_thread* cond_next;                            \
+    omni_thread* cond_prev;                            \
+    BOOL cond_waiting;                                 \
+    static int nt_priority(priority_t);                        \
+    friend class omni_condition;                       \
+    friend OMNI_THREAD_WRAPPER;
+
+#endif
diff --git a/omnithread/gnuradio/ot_posix.h b/omnithread/gnuradio/ot_posix.h
new file mode 100644 (file)
index 0000000..666ccc0
--- /dev/null
@@ -0,0 +1,81 @@
+//                             Package : omnithread
+// omnithread/posix.h          Created : 7/94 tjr
+//
+//    Copyright (C) 2006 Free Software Foundation, Inc.
+//    Copyright (C) 1994,1995,1996, 1997 Olivetti & Oracle Research Laboratory
+//
+//    This file is part of the omnithread library
+//
+//    The omnithread library is free software; you can redistribute it and/or
+//    modify it under the terms of the GNU Library General Public
+//    License as published by the Free Software Foundation; either
+//    version 2 of the License, or (at your option) any later version.
+//
+//    This library is distributed in the hope that it will be useful,
+//    but WITHOUT ANY WARRANTY; without even the implied warranty of
+//    MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
+//    Library General Public License for more details.
+//
+//    You should have received a copy of the GNU Library General Public
+//    License along with this library; if not, write to the Free
+//    Software Foundation, Inc., 51 Franklin Street, Boston, MA  
+//    02110-1301, USA
+//
+//
+// OMNI thread implementation classes for posix threads
+//
+
+#ifndef __omnithread_posix_h_
+#define __omnithread_posix_h_
+
+#if defined(__alpha__) && defined(__osf1__) || defined(__hpux__)
+// stop unnecessary definitions of TRY, etc on OSF
+#ifndef EXC_HANDLING
+#define EXC_HANDLING
+#endif
+#endif
+
+#ifndef __POSIX_NT__
+#  include <pthread.h>
+#else
+#  ifndef WIN32_LEAN_AND_MEAN
+#    define WIN32_LEAN_AND_MEAN
+#    define OMNI_DEFINED_WIN32_LEAN_AND_MEAN
+#  endif
+#  include <windows.h>
+#  include "pthread_nt.h"
+#  ifdef OMNI_DEFINED_WIN32_LEAN_AND_MEAN
+#    undef WIN32_LEAN_AND_MEAN
+#    undef OMNI_DEFINED_WIN32_LEAN_AND_MEAN
+#  endif
+#endif
+
+extern "C" void* omni_thread_wrapper(void* ptr);
+
+#define OMNI_MUTEX_IMPLEMENTATION                      \
+    pthread_mutex_t posix_mutex;
+
+#define OMNI_MUTEX_LOCK_IMPLEMENTATION                  \
+    pthread_mutex_lock(&posix_mutex);
+
+#define OMNI_MUTEX_TRYLOCK_IMPLEMENTATION               \
+    (pthread_mutex_trylock(&posix_mutex)==0);
+
+#define OMNI_MUTEX_UNLOCK_IMPLEMENTATION                \
+    pthread_mutex_unlock(&posix_mutex);
+
+#define OMNI_CONDITION_IMPLEMENTATION                  \
+    pthread_cond_t posix_cond;
+
+#define OMNI_SEMAPHORE_IMPLEMENTATION                  \
+    omni_mutex m;                                      \
+    omni_condition c;                                  \
+    int value;                                         \
+    int max_count;
+
+#define OMNI_THREAD_IMPLEMENTATION                     \
+    pthread_t posix_thread;                            \
+    static int posix_priority(priority_t);             \
+    friend void* omni_thread_wrapper(void* ptr);
+
+#endif
diff --git a/omnithread/gnuradio/ot_pthread_nt.h b/omnithread/gnuradio/ot_pthread_nt.h
new file mode 100644 (file)
index 0000000..324b525
--- /dev/null
@@ -0,0 +1,186 @@
+/*                             Package : omnithread
+   omnithread/pthread_nt.h     Created : Steven Brenneis <brennes1@rjrt.com>
+  
+      Copyright (C) 1998 Steven Brennes
+  
+      This file is part of the omnithread library
+  
+      The omnithread library is free software; you can redistribute it and/or
+      modify it under the terms of the GNU Library General Public
+      License as published by the Free Software Foundation; either
+      version 2 of the License, or (at your option) any later version.
+  
+      This library is distributed in the hope that it will be useful,
+      but WITHOUT ANY WARRANTY; without even the implied warranty of
+      MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
+      Library General Public License for more details.
+  
+      You should have received a copy of the GNU Library General Public
+      License along with this library; if not, write to the Free
+      Software Foundation, Inc., 51 Franklin Street, Boston, MA  
+      02110-1301, USA
+  
+      Posix Threads implementation for Windows NT, version 4.0
+*/
+
+#ifndef PTHREAD_NT_H_INCLUDED
+#define PTHREAD_NT_H_INCLUDED
+
+#include <errno.h>
+
+#ifndef ETIMEDOUT
+//     May have to be changed if NT starts supporting more errno values
+#define ETIMEDOUT 60
+#endif
+
+#undef PthreadDraftVersion
+#define PthreadDraftVersion 10
+
+#define NoNanoSleep
+
+#define PthreadSupportThreadPriority
+
+#ifdef __cplusplus
+extern "C" {
+#endif
+
+#ifndef _TIMERS_T_
+#define _TIMERS_T_
+       typedef struct timespec {
+               unsigned long tv_sec;
+               long tv_nsec;
+       } timespec_t;
+#endif
+
+typedef char* __pthreadLongString_t;
+typedef void* __pthreadLongAddr_t;
+typedef __pthreadLongAddr_t* __pthreadLongAddr_p;
+typedef long __pthreadLongInt_t;
+typedef unsigned long __pthreadLongUint_t;
+typedef __pthreadLongAddr_p __pthreadTsd_t;
+
+typedef struct __pthread_mutex_t {
+       unsigned int lock;           /* LOCK, SLOW, TYPE, RECURSIVE  */
+       unsigned int valid;          /* Validation info */
+       __pthreadLongString_t name;   /* Name of mutex */
+       unsigned int arg;            /* printf argument for  name */
+       unsigned int depth;          /* Recursive lock depth */
+       unsigned long sequence;       /* Mutex sequence number */
+       unsigned long owner;          /* Current owner (if known */
+       __pthreadLongAddr_t block;          /* Pointer to blocking struct */
+} pthread_mutex_t;
+
+typedef struct __pthread_mutexattr_t {
+       long valid;
+       __pthreadLongUint_t reserved[15];
+} pthread_mutexattr_t;
+
+typedef struct __pthread_cond_t {
+       unsigned int state;          /* EVENT, SLOW, REFCNT */
+       unsigned int valid;          /* Validation info */
+       __pthreadLongString_t name;   /* Name of condition variable */
+       unsigned int arg;            /* printf argument for name */
+       unsigned long sequence;       /* Condition variable seq # */
+       __pthreadLongAddr_t block;          /* Pointer to blocking struct */
+} pthread_cond_t ;
+
+typedef struct __pthread_condattr_t {
+       long valid;
+       __pthreadLongUint_t reserved[13];
+} pthread_condattr_t ;
+
+typedef struct __pthread_transp_t {
+       __pthreadLongAddr_t reserved1;      /* Reserved to posix_nt */
+       __pthreadLongAddr_t reserved2;      /* Reserved to posix_nt */
+       unsigned short size;           /* Size of data structure */
+       unsigned char reserved3[2];   /* Reserved to posix_nt */
+       __pthreadLongAddr_t reserved4;   /* Reserved to posix_nt */
+       __pthreadLongUint_t sequence;       /* Thread sequence number */
+       __pthreadLongUint_t reserved5[2];   /* Reserved to posix_nt */
+       __pthreadLongAddr_t per_kt_area;    /* Pointer to kernel context */
+       __pthreadLongAddr_t stack_base;     /* Current stack base */
+       __pthreadLongAddr_t stack_reserve; /* Current stack reserve zone */
+       __pthreadLongAddr_t stack_yellow;   /* Current stack yellow zone */
+       __pthreadLongAddr_t stack_guard;    /* Current stack guard zone */
+       __pthreadLongUint_t stack_size;     /* Size of stack */
+       __pthreadTsd_t tsd_values;     /* TSD array (indexed by key) */
+       unsigned long tsd_count;      /* Number of TSD cells */
+       __pthreadLongAddr_t reserved6;      /* Reserved to posix_nt */
+       __pthreadLongAddr_t reserved7;      /* Reserved to posix_nt */
+       unsigned int thread_flags;   /* Dynamic external state */
+} pthread_transp_t, *pthread_transp_p;
+
+typedef pthread_transp_p pthread_t;
+
+typedef struct __pthread_attr_t {
+       long valid;
+       __pthreadLongString_t name;
+       __pthreadLongUint_t arg;
+       __pthreadLongUint_t reserved[19];
+} pthread_attr_t ;
+
+typedef unsigned int pthread_key_t;
+
+typedef struct sched_param {
+       int sched_priority;
+} sched_param_t;
+        
+/*     Function Prototypes */
+
+int pthread_create(pthread_t *thread, const pthread_attr_t *attr,
+                  void *(*start_routine)(void*), void *arg);
+int pthread_detach(pthread_t thread);
+int pthread_join(pthread_t thread, void **value_ptr);
+void pthread_exit(void *value_ptr);
+int pthread_attr_init(pthread_attr_t *attr);
+int pthread_attr_destroy(pthread_attr_t *attr);
+int pthread_attr_setstacksize(pthread_attr_t *attr, size_t stacksize);
+int pthread_attr_getstacksize(const pthread_attr_t *attr, 
+                             size_t *stacksize);
+int pthread_cond_init(pthread_cond_t *cond,
+                     const pthread_condattr_t *attr);
+int pthread_cond_destroy(pthread_cond_t *cond);
+int pthread_cond_wait(pthread_cond_t *cond, pthread_mutex_t *mutex);
+int pthread_cond_timedwait(pthread_cond_t *cond, 
+                          pthread_mutex_t *mutex,
+                          const struct timespec *abstime);
+int pthread_cond_signal(pthread_cond_t *cond);
+int pthread_cond_broadcast(pthread_cond_t *cond);
+int pthread_key_create(pthread_key_t *key, void (*destructor)(void*));
+int pthread_key_delete(pthread_key_t key);
+int pthread_mutex_destroy(pthread_mutex_t *mutex);
+int pthread_mutex_init(pthread_mutex_t *mutex, 
+                      const pthread_mutexattr_t *attr);
+int pthread_mutex_lock(pthread_mutex_t *mutex);
+int pthread_mutex_trylock(pthread_mutex_t *mutex);
+int pthread_mutex_unlock(pthread_mutex_t *mutex);
+pthread_t pthread_self();
+int pthread_setspecific(pthread_key_t key, const void *value);
+void *pthread_getspecific(pthread_key_t key);
+int pthread_getschedparam(pthread_t thread, int *policy,
+                         struct sched_param *param);
+int pthread_setschedparam(pthread_t thread, int policy,
+                         const struct sched_param *param);
+int pthread_attr_setschedparam(pthread_attr_t *attr, 
+                              const struct sched_param *param);
+int pthread_attr_getschedparam(const pthread_attr_t *attr, 
+                              struct sched_param *param);
+
+int pthread_delay_np(const struct timespec *interval);
+int pthread_get_expiration_np(const struct timespec *delta,
+                             struct timespec *abstime);
+
+# define SCHED_FIFO 1
+# define SCHED_RR 2
+# define SCHED_OTHER 3
+
+int sched_yield();
+int sched_get_priority_max(int policy);
+int sched_get_priority_min(int policy);
+
+
+#ifdef __cplusplus
+}
+#endif
+
+#endif //      PTHREAD_NT_H_INCLUDED
diff --git a/omnithread/gnuradio/ot_solaris.h b/omnithread/gnuradio/ot_solaris.h
new file mode 100644 (file)
index 0000000..f4fea0b
--- /dev/null
@@ -0,0 +1,47 @@
+//                             Package : omnithread
+// omnithread/solaris.h                Created : 7/94 tjr
+//
+//    Copyright (C) 1994,1995,1996, 1997 Olivetti & Oracle Research Laboratory
+//
+//    This file is part of the omnithread library
+//
+//    The omnithread library is free software; you can redistribute it and/or
+//    modify it under the terms of the GNU Library General Public
+//    License as published by the Free Software Foundation; either
+//    version 2 of the License, or (at your option) any later version.
+//
+//    This library is distributed in the hope that it will be useful,
+//    but WITHOUT ANY WARRANTY; without even the implied warranty of
+//    MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
+//    Library General Public License for more details.
+//
+//    You should have received a copy of the GNU Library General Public
+//    License along with this library; if not, write to the Free
+//    Software Foundation, Inc., 51 Franklin Street, Boston, MA  
+//    02110-1301, USA
+//
+// OMNI thread implementation classes for solaris threads.
+//
+
+#ifndef __omnithread_solaris_h_
+#define __omnithread_solaris_h_
+
+#include <thread.h>
+
+extern "C" void* omni_thread_wrapper(void* ptr);
+
+#define OMNI_MUTEX_IMPLEMENTATION                      \
+    mutex_t sol_mutex;
+
+#define OMNI_CONDITION_IMPLEMENTATION                  \
+    cond_t sol_cond;
+
+#define OMNI_SEMAPHORE_IMPLEMENTATION                  \
+    sema_t sol_sem;
+
+#define OMNI_THREAD_IMPLEMENTATION                     \
+    thread_t sol_thread;                               \
+    static int sol_priority(priority_t);               \
+    friend void* omni_thread_wrapper(void* ptr);
+
+#endif
diff --git a/omnithread/mach.cc b/omnithread/mach.cc
new file mode 100644 (file)
index 0000000..aa0465f
--- /dev/null
@@ -0,0 +1,714 @@
+//                             Package : omnithread
+// omnithread/mach.cc          Created : 7/97 lars immisch lars@ibp.de
+//
+//    Copyright (C) 1997 Immisch, Becker & Partner
+//
+//    This file is part of the omnithread library
+//
+//    The omnithread library is free software; you can redistribute it and/or
+//    modify it under the terms of the GNU Library General Public
+//    License as published by the Free Software Foundation; either
+//    version 2 of the License, or (at your option) any later version.
+//
+//    This library is distributed in the hope that it will be useful,
+//    but WITHOUT ANY WARRANTY; without even the implied warranty of
+//    MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
+//    Library General Public License for more details.
+//
+//    You should have received a copy of the GNU Library General Public
+//    License along with this library; if not, write to the Free
+//    Software Foundation, Inc., 51 Franklin Street, Boston, MA  
+//    02110-1301, USA
+//
+
+//
+// Implementation of OMNI thread abstraction for mach threads
+//
+// to the author's pleasure, mach cthreads are very similar to posix threads
+//
+
+#include <stdlib.h>
+#include <errno.h>
+#include <sys/time.h>
+#include <mach/cthreads.h>
+#include "gnuradio/omnithread.h"
+
+#define DB(x) // x
+// #include <iostream> or #include <iostream.h> if DB is on.
+
+#define ERRNO(x) (x)
+
+//
+// static variables
+//
+
+int omni_thread::init_t::count = 0;
+
+omni_mutex* omni_thread::next_id_mutex;
+int omni_thread::next_id = 0;
+
+static int normal_priority;
+static int highest_priority;
+
+static size_t stack_size = 0;
+
+///////////////////////////////////////////////////////////////////////////
+//
+// Mutex
+//
+///////////////////////////////////////////////////////////////////////////
+
+
+omni_mutex::omni_mutex(void)
+{
+  mutex_init(&mach_mutex);     
+}
+
+
+omni_mutex::~omni_mutex(void)
+{
+  mutex_clear(&mach_mutex);    
+}
+
+
+void omni_mutex::lock(void)
+{
+  mutex_lock(&mach_mutex);
+}
+
+
+void omni_mutex::unlock(void)
+{
+  mutex_unlock(&mach_mutex);
+}
+
+
+
+///////////////////////////////////////////////////////////////////////////
+//
+// Condition variable
+//
+///////////////////////////////////////////////////////////////////////////
+
+
+omni_condition::omni_condition(omni_mutex* m) : mutex(m)
+{
+  condition_init(&mach_cond);
+}
+
+
+omni_condition::~omni_condition(void)
+{
+  condition_clear(&mach_cond);
+}
+
+void
+omni_condition::wait(void)
+{
+  condition_wait(&mach_cond, &mutex->mach_mutex);
+}
+
+typedef struct alarmclock_args {
+  unsigned long secs;
+  unsigned long nsecs;
+  bool wakeup;
+  condition_t condition;
+  mutex_t mutex;
+};
+
+any_t alarmclock(any_t arg)
+{
+  alarmclock_args* alarm = (alarmclock_args*)arg;
+       
+  omni_thread::sleep(alarm->secs, alarm->nsecs);
+
+  mutex_lock(alarm->mutex);
+                       
+  alarm->wakeup = TRUE;
+       
+  condition_signal(alarm->condition);
+               
+  mutex_unlock(alarm->mutex);
+
+  return (any_t)TRUE;
+}
+
+int omni_condition::timedwait(unsigned long abs_secs, unsigned long abs_nsecs)
+{
+  alarmclock_args alarm;
+
+  omni_thread::get_time(&alarm.secs, &alarm.nsecs, 0, 0);
+       
+  if (abs_secs < alarm.secs || (abs_secs == alarm.secs && abs_nsecs <= alarm.nsecs))
+    return ETIMEDOUT;
+
+  alarm.secs = abs_secs - alarm.secs;
+  if (abs_nsecs <= alarm.nsecs) {
+    alarm.nsecs = 1000000 - alarm.nsecs + abs_nsecs;
+    alarm.secs--;
+  }
+  else {
+    alarm.nsecs = abs_nsecs - alarm.nsecs;
+  }
+
+  alarm.mutex = &mutex->mach_mutex;
+  alarm.condition = &mach_cond;
+  alarm.wakeup = FALSE;
+       
+  cthread_t ct = cthread_fork((cthread_fn_t)alarmclock, (any_t)&alarm);
+  cthread_detach(ct);
+       
+  condition_wait(&mach_cond, &mutex->mach_mutex);
+               
+  if (alarm.wakeup) {
+    return 0;
+  }
+       
+  // interrupt the alarmclock thread sleep
+  cthread_abort(ct);
+       
+  // wait until it has signalled the condition
+  condition_wait(&mach_cond, &mutex->mach_mutex);
+
+  return 1;
+}
+
+
+void omni_condition::signal(void)
+{
+  condition_signal(&mach_cond);
+}
+
+
+void omni_condition::broadcast(void)
+{
+  condition_signal(&mach_cond);
+}
+
+
+
+///////////////////////////////////////////////////////////////////////////
+//
+// Counting semaphore
+//
+///////////////////////////////////////////////////////////////////////////
+
+
+omni_semaphore::omni_semaphore(unsigned int initial) : c(&m)
+{
+  value = initial;
+}
+
+
+omni_semaphore::~omni_semaphore(void)
+{
+}
+
+
+void 
+omni_semaphore::wait(void)
+{
+  omni_mutex_lock l(m);
+
+  while (value == 0)
+    c.wait();
+
+  value--;
+}
+
+
+int
+omni_semaphore::trywait(void)
+{
+  omni_mutex_lock l(m);
+
+  if (value == 0)
+    return 0;
+
+  value--;
+  return 1;
+}
+
+
+void
+omni_semaphore::post(void)
+{
+  omni_mutex_lock l(m);
+
+  if (value == 0)
+    c.signal();
+
+  value++;
+}
+
+
+
+///////////////////////////////////////////////////////////////////////////
+//
+// Thread
+//
+///////////////////////////////////////////////////////////////////////////
+
+
+
+//
+// Initialisation function (gets called before any user code).
+//
+
+omni_thread::init_t::init_t(void)
+{
+  if (count++ != 0)    // only do it once however many objects get created.
+    return;
+
+  //
+  // find base and max priority. 
+  // This is the initial thread, so the max priority of this
+  // thread also applies to any newly created thread.
+  //
+
+  kern_return_t                                error;
+  struct thread_sched_info     info;
+  unsigned int                         info_count = THREAD_SCHED_INFO_COUNT;
+
+  error = thread_info(thread_self(), THREAD_SCHED_INFO, (thread_info_t)&info, &info_count);
+  if (error != KERN_SUCCESS) {
+    DB(cerr << "omni_thread::init: error determining thread_info" << endl);
+    ::exit(1);
+  }
+  else {
+    normal_priority = info.base_priority;
+    highest_priority = info.max_priority;
+  }
+
+  next_id_mutex = new omni_mutex;
+
+  //
+  // Create object for this (i.e. initial) thread.
+  //
+
+  omni_thread* t = new omni_thread;
+
+  if (t->_state != STATE_NEW) {
+    DB(cerr << "omni_thread::init: problem creating initial thread object\n");
+    ::exit(1);
+  }
+
+  t->_state = STATE_RUNNING;
+  
+  t->mach_thread = cthread_self();
+
+  DB(cerr << "initial thread " << t->id() << endl);
+
+  cthread_set_data(t->mach_thread, (any_t)t);
+}
+
+
+//
+// Wrapper for thread creation.
+//
+
+extern "C" void*
+omni_thread_wrapper(void* ptr)
+{
+  omni_thread* me = (omni_thread*)ptr;
+  
+  DB(cerr << "omni_thread::wrapper: thread " << me->id()
+     << " started\n");
+
+  cthread_set_data(cthread_self(), (any_t)me);
+
+  //
+  // Now invoke the thread function with the given argument.
+  //
+
+  if (me->fn_void != NULL) {
+    (*me->fn_void)(me->thread_arg);
+    omni_thread::exit();
+  }
+  
+  if (me->fn_ret != NULL) {
+    void* return_value = (*me->fn_ret)(me->thread_arg);
+    omni_thread::exit(return_value);
+  }
+  
+  if (me->detached) {
+    me->run(me->thread_arg);
+    omni_thread::exit();
+  } else {
+    void* return_value = me->run_undetached(me->thread_arg);
+    omni_thread::exit(return_value);
+  }
+
+  // should never get here.
+
+  return NULL;
+}
+
+
+//
+// Constructors for omni_thread - set up the thread object but don't
+// start it running.
+//
+
+// construct a detached thread running a given function.
+
+omni_thread::omni_thread(void (*fn)(void*), void* arg, priority_t pri)
+{
+  common_constructor(arg, pri, 1);
+  fn_void = fn;
+  fn_ret = NULL;
+}
+
+// construct an undetached thread running a given function.
+
+omni_thread::omni_thread(void* (*fn)(void*), void* arg, priority_t pri)
+{
+  common_constructor(arg, pri, 0);
+  fn_void = NULL;
+  fn_ret = fn;
+}
+
+// construct a thread which will run either run() or run_undetached().
+
+omni_thread::omni_thread(void* arg, priority_t pri)
+{
+  common_constructor(arg, pri, 1);
+  fn_void = NULL;
+  fn_ret = NULL;
+}
+
+// common part of all constructors.
+
+void omni_thread::common_constructor(void* arg, priority_t pri, int det)
+{
+  _state = STATE_NEW;
+  _priority = pri;
+  
+  next_id_mutex->lock();
+  _id = next_id++;
+  next_id_mutex->unlock();
+  
+  thread_arg = arg;
+  detached = det;      // may be altered in start_undetached()
+
+  _dummy       = 0;
+  _values      = 0;
+  _value_alloc = 0;
+  // posix_thread is set up in initialisation routine or start().
+}
+
+
+//
+// Destructor for omni_thread.
+//
+
+omni_thread::~omni_thread(void)
+{
+  DB(cerr << "destructor called for thread " << id() << endl);
+  if (_values) {
+    for (key_t i=0; i < _value_alloc; i++) {
+      if (_values[i]) {
+       delete _values[i];
+      }
+    }
+    delete [] _values;
+  }
+}
+
+
+//
+// Start the thread
+//
+
+void 
+omni_thread::start(void)
+{
+  omni_mutex_lock l(mutex);
+
+  int rc;
+
+  if (_state != STATE_NEW)
+    throw omni_thread_invalid();
+
+  mach_thread = cthread_fork(omni_thread_wrapper, (any_t)this);
+       
+  _state = STATE_RUNNING;
+
+  if (detached) {
+    cthread_detach(mach_thread);
+  }
+}
+
+//
+// Start a thread which will run the member function run_undetached().
+//
+
+void
+omni_thread::start_undetached(void)
+{
+  if ((fn_void != NULL) || (fn_ret != NULL))
+    throw omni_thread_invalid();
+
+  detached = 0;
+  start();
+}
+
+
+//
+// join - simply check error conditions & call cthread_join.
+//
+
+void 
+omni_thread::join(void** status)
+{
+  mutex.lock();
+
+  if ((_state != STATE_RUNNING) && (_state != STATE_TERMINATED)) {
+    mutex.unlock();
+    throw omni_thread_invalid();
+  }
+
+  mutex.unlock();
+
+  if (this == self())  
+    throw omni_thread_invalid();
+
+  if (detached)
+    throw omni_thread_invalid();
+
+  DB(cerr << "omni_thread::join: doing cthread_join\n");
+
+ *status = cthread_join(mach_thread);
+
+  delete this;
+}
+
+
+//
+// Change this thread's priority.
+//
+
+void
+omni_thread::set_priority(priority_t pri)
+{
+  omni_mutex_lock l(mutex);
+
+  if (_state != STATE_RUNNING)
+    throw omni_thread_invalid();
+
+  _priority = pri;
+
+  kern_return_t rc = cthread_priority(mach_thread, mach_priority(pri), FALSE);
+       
+  if (rc != KERN_SUCCESS)
+    throw omni_thread_fatal(errno);
+}
+
+//
+// create - construct a new thread object and start it running.  Returns thread
+// object if successful, null pointer if not.
+//
+
+// detached version
+
+omni_thread* 
+omni_thread::create(void (*fn)(void*), void* arg, priority_t pri)
+{
+  omni_thread* t = new omni_thread(fn, arg, pri);
+
+  t->start();
+
+  return t;
+}
+
+// undetached version
+
+omni_thread*
+omni_thread::create(void* (*fn)(void*), void* arg, priority_t pri)
+{
+  omni_thread* t = new omni_thread(fn, arg, pri);
+
+  t->start();
+
+  return t;
+}
+
+//
+// exit() _must_ lock the mutex even in the case of a detached thread.  This is
+// because a thread may run to completion before the thread that created it has
+// had a chance to get out of start().  By locking the mutex we ensure that the
+// creating thread must have reached the end of start() before we delete the
+// thread object.  Of course, once the call to start() returns, the user can
+// still incorrectly refer to the thread object, but that's their problem.
+//
+
+void omni_thread::exit(void* return_value)
+{
+  omni_thread* me = self();
+
+  if (me)
+    {
+      me->mutex.lock();
+
+      if (me->_state != STATE_RUNNING)
+       DB(cerr << "omni_thread::exit: thread not in \"running\" state\n");
+
+      me->_state = STATE_TERMINATED;
+
+      me->mutex.unlock();
+
+      DB(cerr << "omni_thread::exit: thread " << me->id() << " detached "
+        << me->detached << " return value " << return_value << endl);
+
+      if (me->detached)
+       delete me;
+    }
+  else
+    {
+      DB(cerr << "omni_thread::exit: called with a non-omnithread. Exit quietly." << endl);
+    }
+  cthread_exit(return_value);
+}
+
+omni_thread* omni_thread::self(void)
+{
+  omni_thread* me;
+
+  me = (omni_thread*)cthread_data(cthread_self());
+
+  if (!me) {
+    // This thread is not created by omni_thread::start because it
+    // doesn't has a class omni_thread instance attached to its key.
+    DB(cerr << "omni_thread::self: called with a non-ominthread. NULL is returned." << endl);
+  }
+       
+  return me;
+}
+
+void omni_thread::yield(void)
+{
+  cthread_yield();
+}
+
+#define MAX_SLEEP_SECONDS (unsigned)4294966    // (2**32-2)/1000
+
+void
+omni_thread::sleep(unsigned long secs, unsigned long nanosecs)
+{
+  if (secs <= MAX_SLEEP_SECONDS) {
+    thread_switch(THREAD_NULL, SWITCH_OPTION_WAIT, secs * 1000 + nanosecs / 1000000);
+    return;
+  }
+
+  unsigned no_of_max_sleeps = secs / MAX_SLEEP_SECONDS;
+
+  for (unsigned i = 0; i < no_of_max_sleeps; i++)
+    thread_switch(THREAD_NULL, SWITCH_OPTION_WAIT, MAX_SLEEP_SECONDS * 1000);
+
+  thread_switch(THREAD_NULL, SWITCH_OPTION_WAIT, 
+               (secs % MAX_SLEEP_SECONDS) * 1000 + nanosecs / 1000000);
+       
+  return;
+}
+
+void
+omni_thread::get_time(unsigned long* abs_sec, unsigned long* abs_nsec,
+                     unsigned long rel_sec, unsigned long rel_nsec)
+{
+  int rc;
+  unsigned long tv_sec;
+  unsigned long tv_nsec;
+  struct timeval tv;
+       
+  rc = gettimeofday(&tv, NULL); 
+  if (rc)      throw omni_thread_fatal(rc);
+
+  tv_sec = tv.tv_sec;
+  tv_nsec = tv.tv_usec * 1000;
+
+  tv_nsec += rel_nsec;
+  tv_sec += rel_sec + tv_nsec / 1000000000;
+  tv_nsec = tv_nsec % 1000000000;
+
+  *abs_sec = tv_sec;
+  *abs_nsec = tv_nsec;
+}
+
+
+int 
+omni_thread::mach_priority(priority_t pri)
+{
+  switch (pri) {
+
+  case PRIORITY_LOW:
+    return 0;
+
+  case PRIORITY_NORMAL:
+    return normal_priority;
+
+  case PRIORITY_HIGH:
+    return highest_priority;
+
+  default:
+    return -1;
+  }
+}
+
+void
+omni_thread::stacksize(unsigned long sz)
+{
+  stack_size = sz;
+}
+
+unsigned long
+omni_thread::stacksize()
+{
+  return stack_size;
+}
+
+
+//
+// Dummy thread
+//
+
+#error This dummy thread code is not tested. It might work if you're lucky.
+
+class omni_thread_dummy : public omni_thread {
+public:
+  inline omni_thread_dummy() : omni_thread()
+  {
+    _dummy = 1;
+    _state = STATE_RUNNING;
+    mach_thread = cthread_self();
+    cthread_set_data(mach_thread, (any_t)this));
+  }
+  inline ~omni_thread_dummy()
+  {
+    cthread_set_data(mach_thread, (any_t)0));
+  }
+};
+
+omni_thread*
+omni_thread::create_dummy()
+{
+  if (omni_thread::self())
+    throw omni_thread_invalid();
+
+  return new omni_thread_dummy;
+}
+
+void
+omni_thread::release_dummy()
+{
+  omni_thread* self = omni_thread::self();
+  if (!self || !self->_dummy)
+    throw omni_thread_invalid();
+
+  omni_thread_dummy* dummy = (omni_thread_dummy*)self;
+  delete dummy;
+}
+
+
+#define INSIDE_THREAD_IMPL_CC
+#include "threaddata.cc"
+#undef INSIDE_THREAD_IMPL_CC
diff --git a/omnithread/nt.cc b/omnithread/nt.cc
new file mode 100644 (file)
index 0000000..2c97d62
--- /dev/null
@@ -0,0 +1,969 @@
+//                             Package : omnithread
+// omnithread/nt.cc            Created : 6/95 tjr
+//
+//    Copyright (C) 2006 Free Software Foundation, Inc.
+//    Copyright (C) 1995-1999 AT&T Laboratories Cambridge
+//
+//    This file is part of the omnithread library
+//
+//    The omnithread library is free software; you can redistribute it and/or
+//    modify it under the terms of the GNU Library General Public
+//    License as published by the Free Software Foundation; either
+//    version 2 of the License, or (at your option) any later version.
+//
+//    This library is distributed in the hope that it will be useful,
+//    but WITHOUT ANY WARRANTY; without even the implied warranty of
+//    MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
+//    Library General Public License for more details.
+//
+//    You should have received a copy of the GNU Library General Public
+//    License along with this library; if not, write to the Free
+//    Software Foundation, Inc., 51 Franklin Street, Boston, MA  
+//    02110-1301, USA
+//
+
+//
+// Implementation of OMNI thread abstraction for NT threads
+//
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+
+#include <stdlib.h>
+#include <errno.h>
+#include <WinError.h>
+#include <gnuradio/omnithread.h>
+#include <process.h>
+
+#define DB(x) // x 
+//#include <iostream.h> or #include <iostream> if DB is on.
+
+static void get_time_now(unsigned long* abs_sec, unsigned long* abs_nsec);
+
+///////////////////////////////////////////////////////////////////////////
+//
+// Mutex
+//
+///////////////////////////////////////////////////////////////////////////
+
+
+omni_mutex::omni_mutex(void)
+{
+    InitializeCriticalSection(&crit);
+}
+
+omni_mutex::~omni_mutex(void)
+{
+    DeleteCriticalSection(&crit);
+}
+
+
+
+///////////////////////////////////////////////////////////////////////////
+//
+// Condition variable
+//
+///////////////////////////////////////////////////////////////////////////
+
+
+//
+// Condition variables are tricky to implement using NT synchronisation
+// primitives, since none of them have the atomic "release mutex and wait to be
+// signalled" which is central to the idea of a condition variable.  To get
+// around this the solution is to record which threads are waiting and
+// explicitly wake up those threads.
+//
+// Here we implement a condition variable using a list of waiting threads
+// (protected by a critical section), and a per-thread semaphore (which
+// actually only needs to be a binary semaphore).
+//
+// To wait on the cv, a thread puts itself on the list of waiting threads for
+// that cv, then releases the mutex and waits on its own personal semaphore.  A
+// signalling thread simply takes a thread from the head of the list and kicks
+// that thread's semaphore.  Broadcast is simply implemented by kicking the
+// semaphore of each waiting thread.
+//
+// The only other tricky part comes when a thread gets a timeout from a timed
+// wait on its semaphore.  Between returning with a timeout from the wait and
+// entering the critical section, a signalling thread could get in, kick the
+// waiting thread's semaphore and remove it from the list.  If this happens,
+// the waiting thread's semaphore is now out of step so it needs resetting, and
+// the thread should indicate that it was signalled rather than that it timed
+// out.
+//
+// It is possible that the thread calling wait or timedwait is not a
+// omni_thread. In this case we have to provide a temporary data structure,
+// i.e. for the duration of the call, for the thread to link itself on the
+// list of waiting threads. _internal_omni_thread_dummy provides such
+// a data structure and _internal_omni_thread_helper is a helper class to
+// deal with this special case for wait() and timedwait(). Once created,
+// the _internal_omni_thread_dummy is cached for use by the next wait() or
+// timedwait() call from a non-omni_thread. This is probably worth doing
+// because creating a Semaphore is quite heavy weight.
+
+class _internal_omni_thread_helper;
+
+class _internal_omni_thread_dummy : public omni_thread {
+public:
+  inline _internal_omni_thread_dummy() : next(0) { }
+  inline ~_internal_omni_thread_dummy() { }
+  friend class _internal_omni_thread_helper;
+private:
+  _internal_omni_thread_dummy* next;
+};
+
+class _internal_omni_thread_helper {
+public:
+  inline _internal_omni_thread_helper()  { 
+    d = 0;
+    t = omni_thread::self();
+    if (!t) {
+      omni_mutex_lock sync(cachelock);
+      if (cache) {
+       d = cache;
+       cache = cache->next;
+      }
+      else {
+       d = new _internal_omni_thread_dummy;
+      }
+      t = d;
+    }
+  }
+  inline ~_internal_omni_thread_helper() { 
+    if (d) {
+      omni_mutex_lock sync(cachelock);
+      d->next = cache;
+      cache = d;
+    }
+  }
+  inline operator omni_thread* () { return t; }
+  inline omni_thread* operator->() { return t; }
+
+  static _internal_omni_thread_dummy* cache;
+  static omni_mutex                   cachelock;
+
+private:
+  _internal_omni_thread_dummy* d;
+  omni_thread*                 t;
+};
+
+_internal_omni_thread_dummy* _internal_omni_thread_helper::cache = 0;
+omni_mutex                   _internal_omni_thread_helper::cachelock;
+
+
+omni_condition::omni_condition(omni_mutex* m) : mutex(m)
+{
+    InitializeCriticalSection(&crit);
+    waiting_head = waiting_tail = NULL;
+}
+
+
+omni_condition::~omni_condition(void)
+{
+    DeleteCriticalSection(&crit);
+    DB( if (waiting_head != NULL) {
+       cerr << "omni_condition::~omni_condition: list of waiting threads "
+            << "is not empty\n";
+    } )
+}
+
+
+void
+omni_condition::wait(void)
+{
+    _internal_omni_thread_helper me;
+
+    EnterCriticalSection(&crit);
+
+    me->cond_next = NULL;
+    me->cond_prev = waiting_tail;
+    if (waiting_head == NULL)
+       waiting_head = me;
+    else
+       waiting_tail->cond_next = me;
+    waiting_tail = me;
+    me->cond_waiting = TRUE;
+
+    LeaveCriticalSection(&crit);
+
+    mutex->unlock();
+
+    DWORD result = WaitForSingleObject(me->cond_semaphore, INFINITE);
+
+    mutex->lock();
+
+    if (result != WAIT_OBJECT_0)
+       throw omni_thread_fatal(GetLastError());
+}
+
+
+int
+omni_condition::timedwait(unsigned long abs_sec, unsigned long abs_nsec)
+{
+    _internal_omni_thread_helper me;
+
+    EnterCriticalSection(&crit);
+
+    me->cond_next = NULL;
+    me->cond_prev = waiting_tail;
+    if (waiting_head == NULL)
+       waiting_head = me;
+    else
+       waiting_tail->cond_next = me;
+    waiting_tail = me;
+    me->cond_waiting = TRUE;
+
+    LeaveCriticalSection(&crit);
+
+    mutex->unlock();
+
+    unsigned long now_sec, now_nsec;
+
+    get_time_now(&now_sec, &now_nsec);
+
+    DWORD timeout;
+    if ((abs_sec <= now_sec) && ((abs_sec < now_sec) || (abs_nsec < now_nsec)))
+      timeout = 0;
+    else {
+      timeout = (abs_sec-now_sec) * 1000;
+
+      if( abs_nsec < now_nsec )  timeout -= (now_nsec-abs_nsec) / 1000000;
+      else                       timeout += (abs_nsec-now_nsec) / 1000000;
+    }
+
+    DWORD result = WaitForSingleObject(me->cond_semaphore, timeout);
+
+    if (result == WAIT_TIMEOUT) {
+       EnterCriticalSection(&crit);
+
+       if (me->cond_waiting) {
+           if (me->cond_prev != NULL)
+               me->cond_prev->cond_next = me->cond_next;
+           else
+               waiting_head = me->cond_next;
+           if (me->cond_next != NULL)
+               me->cond_next->cond_prev = me->cond_prev;
+           else
+               waiting_tail = me->cond_prev;
+           me->cond_waiting = FALSE;
+
+           LeaveCriticalSection(&crit);
+
+           mutex->lock();
+           return 0;
+       }
+
+       //
+       // We timed out but another thread still signalled us.  Wait for
+       // the semaphore (it _must_ have been signalled) to decrement it
+       // again.  Return that we were signalled, not that we timed out.
+       //
+
+       LeaveCriticalSection(&crit);
+
+       result = WaitForSingleObject(me->cond_semaphore, INFINITE);
+    }
+
+    if (result != WAIT_OBJECT_0)
+       throw omni_thread_fatal(GetLastError());
+
+    mutex->lock();
+    return 1;
+}
+
+
+void
+omni_condition::signal(void)
+{
+    EnterCriticalSection(&crit);
+
+    if (waiting_head != NULL) {
+       omni_thread* t = waiting_head;
+       waiting_head = t->cond_next;
+       if (waiting_head == NULL)
+           waiting_tail = NULL;
+       else
+           waiting_head->cond_prev = NULL;
+       t->cond_waiting = FALSE;
+
+       if (!ReleaseSemaphore(t->cond_semaphore, 1, NULL)) {
+           int rc = GetLastError();
+           LeaveCriticalSection(&crit);
+           throw omni_thread_fatal(rc);
+       }
+    }
+
+    LeaveCriticalSection(&crit);
+}
+
+
+void
+omni_condition::broadcast(void)
+{
+    EnterCriticalSection(&crit);
+
+    while (waiting_head != NULL) {
+       omni_thread* t = waiting_head;
+       waiting_head = t->cond_next;
+       if (waiting_head == NULL)
+           waiting_tail = NULL;
+       else
+           waiting_head->cond_prev = NULL;
+       t->cond_waiting = FALSE;
+
+       if (!ReleaseSemaphore(t->cond_semaphore, 1, NULL)) {
+           int rc = GetLastError();
+           LeaveCriticalSection(&crit);
+           throw omni_thread_fatal(rc);
+       }
+    }
+
+    LeaveCriticalSection(&crit);
+}
+
+
+
+///////////////////////////////////////////////////////////////////////////
+//
+// Counting semaphore
+//
+///////////////////////////////////////////////////////////////////////////
+
+
+#define SEMAPHORE_MAX 0x7fffffff
+
+
+omni_semaphore::omni_semaphore(unsigned int initial, unsigned int max_count)
+{
+    if (max_count > SEMAPHORE_MAX)
+      max_count= SEMAPHORE_MAX;
+
+    nt_sem = CreateSemaphore(NULL, initial, max_count, NULL);
+
+    if (nt_sem == NULL) {
+      DB( cerr << "omni_semaphore::omni_semaphore: CreateSemaphore error "
+            << GetLastError() << endl );
+      throw omni_thread_fatal(GetLastError());
+    }
+}
+
+
+omni_semaphore::~omni_semaphore(void)
+{
+  if (!CloseHandle(nt_sem)) {
+    DB( cerr << "omni_semaphore::~omni_semaphore: CloseHandle error "
+            << GetLastError() << endl );
+    throw omni_thread_fatal(GetLastError());
+  }
+}
+
+
+void
+omni_semaphore::wait(void)
+{
+    if (WaitForSingleObject(nt_sem, INFINITE) != WAIT_OBJECT_0)
+       throw omni_thread_fatal(GetLastError());
+}
+
+
+int
+omni_semaphore::trywait(void)
+{
+    switch (WaitForSingleObject(nt_sem, 0)) {
+
+    case WAIT_OBJECT_0:
+       return 1;
+    case WAIT_TIMEOUT:
+       return 0;
+    }
+
+    throw omni_thread_fatal(GetLastError());
+    return 0; /* keep msvc++ happy */
+}
+
+
+void
+omni_semaphore::post(void)
+{
+    if (!ReleaseSemaphore(nt_sem, 1, NULL)
+       && GetLastError() != ERROR_TOO_MANY_POSTS )     // MinGW fix--see ticket:95 in trac
+       throw omni_thread_fatal(GetLastError());
+}
+
+
+
+///////////////////////////////////////////////////////////////////////////
+//
+// Thread
+//
+///////////////////////////////////////////////////////////////////////////
+
+
+//
+// Static variables
+//
+
+omni_mutex* omni_thread::next_id_mutex;
+int omni_thread::next_id = 0;
+static DWORD self_tls_index;
+
+static unsigned int stack_size = 0;
+
+//
+// Initialisation function (gets called before any user code).
+//
+
+static int& count() {
+  static int the_count = 0;
+  return the_count;
+}
+
+omni_thread::init_t::init_t(void)
+{
+    if (count()++ != 0)        // only do it once however many objects get created.
+       return;
+
+    DB(cerr << "omni_thread::init: NT implementation initialising\n");
+
+    self_tls_index = TlsAlloc();
+
+    if (self_tls_index == 0xffffffff)
+       throw omni_thread_fatal(GetLastError());
+
+    next_id_mutex = new omni_mutex;
+
+    //
+    // Create object for this (i.e. initial) thread.
+    //
+
+    omni_thread* t = new omni_thread;
+
+    t->_state = STATE_RUNNING;
+
+    if (!DuplicateHandle(GetCurrentProcess(), GetCurrentThread(),
+                        GetCurrentProcess(), &t->handle,
+                        0, FALSE, DUPLICATE_SAME_ACCESS))
+       throw omni_thread_fatal(GetLastError());
+
+    t->nt_id = GetCurrentThreadId();
+
+    DB(cerr << "initial thread " << t->id() << " NT thread id " << t->nt_id
+       << endl);
+
+    if (!TlsSetValue(self_tls_index, (LPVOID)t))
+       throw omni_thread_fatal(GetLastError());
+
+    if (!SetThreadPriority(t->handle, nt_priority(PRIORITY_NORMAL)))
+       throw omni_thread_fatal(GetLastError());
+}
+
+omni_thread::init_t::~init_t(void)
+{
+    if (--count() != 0) return;
+
+    omni_thread* self = omni_thread::self();
+    if (!self) return;
+
+    TlsSetValue(self_tls_index, (LPVOID)0);
+    delete self;
+
+    delete next_id_mutex;
+
+    TlsFree(self_tls_index);
+}
+
+//
+// Wrapper for thread creation.
+//
+
+extern "C" 
+#ifndef __BCPLUSPLUS__
+unsigned __stdcall
+#else
+void _USERENTRY
+#endif
+omni_thread_wrapper(void* ptr)
+{
+    omni_thread* me = (omni_thread*)ptr;
+
+    DB(cerr << "omni_thread_wrapper: thread " << me->id()
+       << " started\n");
+
+    if (!TlsSetValue(self_tls_index, (LPVOID)me))
+       throw omni_thread_fatal(GetLastError());
+
+    //
+    // Now invoke the thread function with the given argument.
+    //
+
+    if (me->fn_void != NULL) {
+       (*me->fn_void)(me->thread_arg);
+       omni_thread::exit();
+    }
+
+    if (me->fn_ret != NULL) {
+       void* return_value = (*me->fn_ret)(me->thread_arg);
+       omni_thread::exit(return_value);
+    }
+
+    if (me->detached) {
+       me->run(me->thread_arg);
+       omni_thread::exit();
+    } else {
+       void* return_value = me->run_undetached(me->thread_arg);
+       omni_thread::exit(return_value);
+    }
+
+    // should never get here.
+#ifndef __BCPLUSPLUS__
+    return 0;
+#endif
+}
+
+
+//
+// Constructors for omni_thread - set up the thread object but don't
+// start it running.
+//
+
+// construct a detached thread running a given function.
+
+omni_thread::omni_thread(void (*fn)(void*), void* arg, priority_t pri)
+{
+    common_constructor(arg, pri, 1);
+    fn_void = fn;
+    fn_ret = NULL;
+}
+
+// construct an undetached thread running a given function.
+
+omni_thread::omni_thread(void* (*fn)(void*), void* arg, priority_t pri)
+{
+    common_constructor(arg, pri, 0);
+    fn_void = NULL;
+    fn_ret = fn;
+}
+
+// construct a thread which will run either run() or run_undetached().
+
+omni_thread::omni_thread(void* arg, priority_t pri)
+{
+    common_constructor(arg, pri, 1);
+    fn_void = NULL;
+    fn_ret = NULL;
+}
+
+// common part of all constructors.
+
+void
+omni_thread::common_constructor(void* arg, priority_t pri, int det)
+{
+    _state = STATE_NEW;
+    _priority = pri;
+
+    next_id_mutex->lock();
+    _id = next_id++;
+    next_id_mutex->unlock();
+
+    thread_arg = arg;
+    detached = det;    // may be altered in start_undetached()
+
+    cond_semaphore = CreateSemaphore(NULL, 0, SEMAPHORE_MAX, NULL);
+
+    if (cond_semaphore == NULL)
+       throw omni_thread_fatal(GetLastError());
+
+    cond_next = cond_prev = NULL;
+    cond_waiting = FALSE;
+
+    handle = NULL;
+
+    _dummy       = 0;
+    _values      = 0;
+    _value_alloc = 0;
+}
+
+
+//
+// Destructor for omni_thread.
+//
+
+omni_thread::~omni_thread(void)
+{
+    DB(cerr << "destructor called for thread " << id() << endl);
+    if (_values) {
+        for (key_t i=0; i < _value_alloc; i++) {
+           if (_values[i]) {
+               delete _values[i];
+           }
+        }
+       delete [] _values;
+    }
+    if (handle && !CloseHandle(handle))
+       throw omni_thread_fatal(GetLastError());
+    if (cond_semaphore && !CloseHandle(cond_semaphore))
+       throw omni_thread_fatal(GetLastError());
+}
+
+
+//
+// Start the thread
+//
+
+void
+omni_thread::start(void)
+{
+    omni_mutex_lock l(mutex);
+
+    if (_state != STATE_NEW)
+       throw omni_thread_invalid();
+
+#ifndef __BCPLUSPLUS__
+    // MSVC++ or compatiable
+    unsigned int t;
+    handle = (HANDLE)_beginthreadex(
+                        NULL,
+                       stack_size,
+                       omni_thread_wrapper,
+                       (LPVOID)this,
+                       CREATE_SUSPENDED, 
+                       &t);
+    nt_id = t;
+    if (handle == NULL)
+      throw omni_thread_fatal(GetLastError());
+#else
+    // Borland C++
+    handle = (HANDLE)_beginthreadNT(omni_thread_wrapper,
+                                   stack_size,
+                                   (void*)this,
+                                   NULL,
+                                   CREATE_SUSPENDED,
+                                   &nt_id);
+    if (handle == INVALID_HANDLE_VALUE)
+      throw omni_thread_fatal(errno);
+#endif
+
+    if (!SetThreadPriority(handle, nt_priority(_priority)))
+      throw omni_thread_fatal(GetLastError());
+
+    if (ResumeThread(handle) == 0xffffffff)
+       throw omni_thread_fatal(GetLastError());
+
+    _state = STATE_RUNNING;
+}
+
+
+//
+// Start a thread which will run the member function run_undetached().
+//
+
+void
+omni_thread::start_undetached(void)
+{
+    if ((fn_void != NULL) || (fn_ret != NULL))
+       throw omni_thread_invalid();
+
+    detached = 0;
+    start();
+}
+
+
+//
+// join - simply check error conditions & call WaitForSingleObject.
+//
+
+void
+omni_thread::join(void** status)
+{
+    mutex.lock();
+
+    if ((_state != STATE_RUNNING) && (_state != STATE_TERMINATED)) {
+       mutex.unlock();
+       throw omni_thread_invalid();
+    }
+
+    mutex.unlock();
+
+    if (this == self())
+       throw omni_thread_invalid();
+
+    if (detached)
+       throw omni_thread_invalid();
+
+    DB(cerr << "omni_thread::join: doing WaitForSingleObject\n");
+
+    if (WaitForSingleObject(handle, INFINITE) != WAIT_OBJECT_0)
+       throw omni_thread_fatal(GetLastError());
+
+    DB(cerr << "omni_thread::join: WaitForSingleObject succeeded\n");
+
+    if (status)
+      *status = return_val;
+
+    delete this;
+}
+
+
+//
+// Change this thread's priority.
+//
+
+void
+omni_thread::set_priority(priority_t pri)
+{
+    omni_mutex_lock l(mutex);
+
+    if (_state != STATE_RUNNING)
+       throw omni_thread_invalid();
+
+    _priority = pri;
+
+    if (!SetThreadPriority(handle, nt_priority(pri)))
+       throw omni_thread_fatal(GetLastError());
+}
+
+
+//
+// create - construct a new thread object and start it running.  Returns thread
+// object if successful, null pointer if not.
+//
+
+// detached version
+
+omni_thread*
+omni_thread::create(void (*fn)(void*), void* arg, priority_t pri)
+{
+    omni_thread* t = new omni_thread(fn, arg, pri);
+    t->start();
+    return t;
+}
+
+// undetached version
+
+omni_thread*
+omni_thread::create(void* (*fn)(void*), void* arg, priority_t pri)
+{
+    omni_thread* t = new omni_thread(fn, arg, pri);
+    t->start();
+    return t;
+}
+
+
+//
+// exit() _must_ lock the mutex even in the case of a detached thread.  This is
+// because a thread may run to completion before the thread that created it has
+// had a chance to get out of start().  By locking the mutex we ensure that the
+// creating thread must have reached the end of start() before we delete the
+// thread object.  Of course, once the call to start() returns, the user can
+// still incorrectly refer to the thread object, but that's their problem.
+//
+
+void
+omni_thread::exit(void* return_value)
+{
+    omni_thread* me = self();
+
+    if (me)
+      {
+       me->mutex.lock();
+
+       me->_state = STATE_TERMINATED;
+
+       me->mutex.unlock();
+
+       DB(cerr << "omni_thread::exit: thread " << me->id() << " detached "
+          << me->detached << " return value " << return_value << endl);
+
+       if (me->detached) {
+         delete me;
+       } else {
+         me->return_val = return_value;
+       }
+      }
+    else
+      {
+       DB(cerr << "omni_thread::exit: called with a non-omnithread. Exit quietly." << endl);
+      }
+#ifndef __BCPLUSPLUS__
+    // MSVC++ or compatiable
+    //   _endthreadex() does not automatically closes the thread handle.
+    //   The omni_thread dtor closes the thread handle.
+    _endthreadex(0);
+#else
+    // Borland C++
+    //   _endthread() does not automatically closes the thread handle.
+    //   _endthreadex() is only available if __MFC_COMPAT__ is defined and
+    //   all it does is to call _endthread().
+    _endthread();
+#endif
+}
+
+
+omni_thread*
+omni_thread::self(void)
+{
+    LPVOID me;
+
+    me = TlsGetValue(self_tls_index);
+
+    if (me == NULL) {
+      DB(cerr << "omni_thread::self: called with a non-ominthread. NULL is returned." << endl);
+    }
+    return (omni_thread*)me;
+}
+
+
+void
+omni_thread::yield(void)
+{
+    Sleep(0);
+}
+
+
+#define MAX_SLEEP_SECONDS (DWORD)4294966       // (2**32-2)/1000
+
+void
+omni_thread::sleep(unsigned long secs, unsigned long nanosecs)
+{
+    if (secs <= MAX_SLEEP_SECONDS) {
+       Sleep(secs * 1000 + nanosecs / 1000000);
+       return;
+    }
+
+    DWORD no_of_max_sleeps = secs / MAX_SLEEP_SECONDS;
+
+    for (DWORD i = 0; i < no_of_max_sleeps; i++)
+       Sleep(MAX_SLEEP_SECONDS * 1000);
+
+    Sleep((secs % MAX_SLEEP_SECONDS) * 1000 + nanosecs / 1000000);
+}
+
+
+void
+omni_thread::get_time(unsigned long* abs_sec, unsigned long* abs_nsec,
+                     unsigned long rel_sec, unsigned long rel_nsec)
+{
+    get_time_now(abs_sec, abs_nsec);
+    *abs_nsec += rel_nsec;
+    *abs_sec += rel_sec + *abs_nsec / 1000000000;
+    *abs_nsec = *abs_nsec % 1000000000;
+}
+
+
+int
+omni_thread::nt_priority(priority_t pri)
+{
+    switch (pri) {
+
+    case PRIORITY_LOW:
+       return THREAD_PRIORITY_LOWEST;
+
+    case PRIORITY_NORMAL:
+       return THREAD_PRIORITY_NORMAL;
+
+    case PRIORITY_HIGH:
+       return THREAD_PRIORITY_HIGHEST;
+    }
+
+    throw omni_thread_invalid();
+    return 0; /* keep msvc++ happy */
+}
+
+
+static void
+get_time_now(unsigned long* abs_sec, unsigned long* abs_nsec)
+{
+    static int days_in_preceding_months[12]
+       = { 0, 31, 59, 90, 120, 151, 181, 212, 243, 273, 304, 334 };
+    static int days_in_preceding_months_leap[12]
+       = { 0, 31, 60, 91, 121, 152, 182, 213, 244, 274, 305, 335 };
+
+    SYSTEMTIME st;
+
+    GetSystemTime(&st);
+    *abs_nsec = st.wMilliseconds * 1000000;
+
+    // this formula should work until 1st March 2100
+
+    DWORD days = ((st.wYear - 1970) * 365 + (st.wYear - 1969) / 4
+                 + ((st.wYear % 4)
+                    ? days_in_preceding_months[st.wMonth - 1]
+                    : days_in_preceding_months_leap[st.wMonth - 1])
+                 + st.wDay - 1);
+
+    *abs_sec = st.wSecond + 60 * (st.wMinute + 60 * (st.wHour + 24 * days));
+}
+
+void
+omni_thread::stacksize(unsigned long sz)
+{
+  stack_size = sz;
+}
+
+unsigned long
+omni_thread::stacksize()
+{
+  return stack_size;
+}
+
+//
+// Dummy thread
+//
+
+class omni_thread_dummy : public omni_thread {
+public:
+  inline omni_thread_dummy() : omni_thread()
+  {
+    _dummy = 1;
+    _state = STATE_RUNNING;
+
+    if (!DuplicateHandle(GetCurrentProcess(), GetCurrentThread(),
+                        GetCurrentProcess(), &handle,
+                        0, FALSE, DUPLICATE_SAME_ACCESS))
+      throw omni_thread_fatal(GetLastError());
+
+    nt_id = GetCurrentThreadId();
+
+    if (!TlsSetValue(self_tls_index, (LPVOID)this))
+      throw omni_thread_fatal(GetLastError());
+  }
+  inline ~omni_thread_dummy()
+  {
+    if (!TlsSetValue(self_tls_index, (LPVOID)0))
+      throw omni_thread_fatal(GetLastError());
+  }
+};
+
+omni_thread*
+omni_thread::create_dummy()
+{
+  if (omni_thread::self())
+    throw omni_thread_invalid();
+
+  return new omni_thread_dummy;
+}
+
+void
+omni_thread::release_dummy()
+{
+  omni_thread* self = omni_thread::self();
+  if (!self || !self->_dummy)
+    throw omni_thread_invalid();
+
+  omni_thread_dummy* dummy = (omni_thread_dummy*)self;
+  delete dummy;
+}
+
+
+#if defined(__DMC__) && defined(_WINDLL)
+BOOL WINAPI DllMain(HINSTANCE hinstDLL, DWORD fdwReason, LPVOID lpvReserved)
+{
+  return TRUE;
+}
+#endif
+
+
+#define INSIDE_THREAD_IMPL_CC
+#include "threaddata.cc"
+#undef INSIDE_THREAD_IMPL_CC
diff --git a/omnithread/omni_time.cc b/omnithread/omni_time.cc
new file mode 100644 (file)
index 0000000..4920a68
--- /dev/null
@@ -0,0 +1,84 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <gnuradio/omni_time.h>
+#include <gnuradio/omnithread.h>
+#include <math.h>
+#include <assert.h>
+
+
+omni_time::omni_time(double real_secs)
+{
+  double floor_secs = floor(real_secs);
+  d_secs = (long) floor_secs;
+  d_nsecs = (long) ((real_secs - floor_secs) * 1e9);     // always positive
+}
+
+omni_time
+omni_time::time(const omni_time &delta_t)
+{
+  unsigned long        abs_sec, abs_nsec;
+  unsigned long rel_sec  = delta_t.d_secs;
+  unsigned long rel_nsec = delta_t.d_nsecs;
+  
+  omni_thread::get_time(&abs_sec, &abs_nsec, rel_sec, rel_nsec);
+  return omni_time(abs_sec, abs_nsec);
+}
+
+
+omni_time
+operator+(const omni_time &x, const omni_time &y)
+{
+  omni_time r(x.d_secs + y.d_secs, x.d_nsecs + y.d_nsecs);
+  while (r.d_nsecs >= 1000000000){
+    r.d_nsecs -= 1000000000;
+    r.d_secs++;
+  }
+  return r;
+}
+
+omni_time
+operator-(const omni_time &x, const omni_time &y)
+{
+  // assert(!(x < y));
+
+  omni_time r(x.d_secs - y.d_secs, x.d_nsecs - y.d_nsecs);
+  while (r.d_nsecs < 0){
+    r.d_nsecs += 1000000000;
+    r.d_secs--;
+  }
+  return r;
+}
+
+omni_time
+operator+(const omni_time &x, double y)
+{
+  return x + omni_time(y);
+}
+
+omni_time
+operator-(const omni_time &x, double y)
+{
+  return x - omni_time(y);
+}
diff --git a/omnithread/posix.cc b/omnithread/posix.cc
new file mode 100644 (file)
index 0000000..5574a8a
--- /dev/null
@@ -0,0 +1,982 @@
+//                             Package : omnithread
+// omnithread/posix.cc         Created : 7/94 tjr
+//
+//    Copyright (C) 2006 Free Software Foundation, Inc.
+//    Copyright (C) 1994-1999 AT&T Laboratories Cambridge
+//
+//    This file is part of the omnithread library
+//
+//    The omnithread library is free software; you can redistribute it and/or
+//    modify it under the terms of the GNU Library General Public
+//    License as published by the Free Software Foundation; either
+//    version 2 of the License, or (at your option) any later version.
+//
+//    This library is distributed in the hope that it will be useful,
+//    but WITHOUT ANY WARRANTY; without even the implied warranty of
+//    MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
+//    Library General Public License for more details.
+//
+//    You should have received a copy of the GNU Library General Public
+//    License along with this library; if not, write to the Free
+//    Software Foundation, Inc., 51 Franklin Street, Boston, MA  
+//    02110-1301, USA
+//
+
+//
+// Implementation of OMNI thread abstraction for posix threads
+//
+// The source below tests for the definition of the macros:
+//     PthreadDraftVersion
+//     PthreadSupportThreadPriority
+//     NoNanoSleep
+//     NeedPthreadInit
+//
+// As different draft versions of the pthread standard P1003.4a/P1003.1c
+// define slightly different APIs, the macro 'PthreadDraftVersion'
+// identifies the draft version supported by this particular platform.
+//
+// Some unix variants do not support thread priority unless a real-time
+// kernel option is installed. The macro 'PthreadSupportThreadPriority',
+// if defined, enables the use of thread priority. If it is not defined,
+// setting or changing thread priority will be silently ignored.
+//
+// nanosleep() is defined in Posix P1003.4 since Draft 9 (?).
+// Not all platforms support this standard. The macro 'NoNanoSleep'
+// identifies platform that don't.
+//
+
+#include <config.h>
+#include <stdlib.h>
+#include <errno.h>
+#include <time.h>
+#include <gnuradio/omnithread.h>
+
+#if (PthreadDraftVersion == 0)
+#error "PthreadDraftVersion not defined.  If not sure, define it to 10"
+#endif
+
+#ifdef HAVE_NANOSLEEP
+#undef NoNanoSleep
+#else
+#define NoNanoSleep
+#endif
+
+#ifdef HAVE_SYS_TIME_H
+// typedef of struct timeval and gettimeofday();
+#include <sys/time.h>
+#include <unistd.h>
+#endif
+
+#if defined(__linux__) && defined(_MIT_POSIX_THREADS)
+#include <pthread/mit/sys/timers.h>
+#endif
+
+#if defined(__irix__) && defined(PthreadSupportThreadPriority)
+#if _POSIX_THREAD_PRIORITY_SCHEDULING
+#include <sched.h>
+#endif
+#endif
+
+#if 1
+#define DB(x) // x
+#else
+#define DB(x) x
+#include <iostream>
+using std::cerr;
+using std::endl;
+#endif
+
+#if (PthreadDraftVersion <= 6)
+#define ERRNO(x) (((x) != 0) ? (errno) : 0)
+#ifdef __VMS
+// pthread_setprio returns old priority on success (draft version 4:
+// OpenVms version < 7)
+#define THROW_ERRORS(x) { if ((x) == -1) throw omni_thread_fatal(errno); }
+#else
+#define THROW_ERRORS(x) { if ((x) != 0) throw omni_thread_fatal(errno); }
+#endif
+#else
+#define ERRNO(x) (x)
+#define THROW_ERRORS(x) { int rc = (x); \
+                         if (rc != 0) throw omni_thread_fatal(rc); }
+#endif
+
+
+
+///////////////////////////////////////////////////////////////////////////
+//
+// Mutex
+//
+///////////////////////////////////////////////////////////////////////////
+
+
+omni_mutex::omni_mutex(void)
+{
+#if (PthreadDraftVersion == 4)
+    THROW_ERRORS(pthread_mutex_init(&posix_mutex, pthread_mutexattr_default));
+#else
+    THROW_ERRORS(pthread_mutex_init(&posix_mutex, 0));
+#endif
+}
+
+omni_mutex::~omni_mutex(void)
+{
+    THROW_ERRORS(pthread_mutex_destroy(&posix_mutex));
+}
+
+
+///////////////////////////////////////////////////////////////////////////
+//
+// Condition variable
+//
+///////////////////////////////////////////////////////////////////////////
+
+
+omni_condition::omni_condition(omni_mutex* m) : mutex(m)
+{
+#if (PthreadDraftVersion == 4)
+    THROW_ERRORS(pthread_cond_init(&posix_cond, pthread_condattr_default));
+#else
+    THROW_ERRORS(pthread_cond_init(&posix_cond, 0));
+#endif
+}
+
+omni_condition::~omni_condition(void)
+{
+    THROW_ERRORS(pthread_cond_destroy(&posix_cond));
+}
+
+void
+omni_condition::wait(void)
+{
+    THROW_ERRORS(pthread_cond_wait(&posix_cond, &mutex->posix_mutex));
+}
+
+int
+omni_condition::timedwait(unsigned long secs, unsigned long nanosecs)
+{
+    timespec rqts = { secs, nanosecs };
+
+again:
+    int rc = ERRNO(pthread_cond_timedwait(&posix_cond,
+                                         &mutex->posix_mutex, &rqts));
+    if (rc == 0)
+       return 1;
+
+#if (PthreadDraftVersion <= 6)
+    if (rc == EAGAIN)
+       return 0;
+#endif
+
+    // Some versions of unix produces this errno when the wait was
+    // interrupted by a unix signal or fork.
+    // Some versions of the glibc 2.0.x produces this errno when the 
+    // program is debugged under gdb. Straightly speaking this is non-posix
+    // compliant. We catch this here to make debugging possible.
+    if (rc == EINTR)
+      goto again;
+
+    if (rc == ETIMEDOUT)
+       return 0;
+
+    throw omni_thread_fatal(rc);
+#ifdef _MSC_VER
+    return 0;
+#endif
+}
+
+void
+omni_condition::signal(void)
+{
+    THROW_ERRORS(pthread_cond_signal(&posix_cond));
+}
+
+void
+omni_condition::broadcast(void)
+{
+    THROW_ERRORS(pthread_cond_broadcast(&posix_cond));
+}
+
+
+
+///////////////////////////////////////////////////////////////////////////
+//
+// Counting (or binary) semaphore
+//
+///////////////////////////////////////////////////////////////////////////
+
+
+omni_semaphore::omni_semaphore(unsigned int initial, unsigned int _max_count) : c(&m)
+{
+    value = initial;
+    max_count = _max_count;
+    if (value < 0 || max_count < 1)
+      throw omni_thread_fatal(0);
+}
+
+omni_semaphore::~omni_semaphore(void)
+{
+}
+
+void
+omni_semaphore::wait(void)
+{
+    omni_mutex_lock l(m);
+
+    while (value == 0)
+       c.wait();
+
+    value--;
+}
+
+int
+omni_semaphore::trywait(void)
+{
+    omni_mutex_lock l(m);
+
+    if (value == 0)
+       return 0;
+
+    value--;
+    return 1;
+}
+
+void
+omni_semaphore::post(void)
+{
+    {
+        omni_mutex_lock l(m);
+       if (value < max_count)
+         value++;
+    }
+
+    c.signal();
+}
+
+
+
+///////////////////////////////////////////////////////////////////////////
+//
+// Thread
+//
+///////////////////////////////////////////////////////////////////////////
+
+
+//
+// static variables
+//
+
+omni_mutex* omni_thread::next_id_mutex;
+int omni_thread::next_id = 0;
+
+static pthread_key_t self_key;
+
+#ifdef PthreadSupportThreadPriority
+static int lowest_priority;
+static int normal_priority;
+static int highest_priority;
+#endif
+
+#if defined(__osf1__) && defined(__alpha__) || defined(__VMS)
+// omniORB requires a larger stack size than the default (21120) on OSF/1
+static size_t stack_size = 32768;
+#elif defined(__rtems__)
+static size_t stack_size = ThreadStackSize;
+#elif defined(__aix__)
+static size_t stack_size = 262144;
+#else
+static size_t stack_size = 0;
+#endif
+
+//
+// Initialisation function (gets called before any user code).
+//
+
+static int& count() {
+  static int the_count = 0;
+  return the_count;
+}
+
+omni_thread::init_t::init_t(void)
+{
+    if (count()++ != 0)        // only do it once however many objects get created.
+       return;
+
+    DB(cerr << "omni_thread::init: posix 1003.4a/1003.1c (draft "
+       << PthreadDraftVersion << ") implementation initialising\n");
+
+#ifdef NeedPthreadInit
+
+    pthread_init();
+
+#endif
+
+#if (PthreadDraftVersion == 4)
+    THROW_ERRORS(pthread_keycreate(&self_key, NULL));
+#else
+    THROW_ERRORS(pthread_key_create(&self_key, NULL));
+#endif
+
+#ifdef PthreadSupportThreadPriority
+
+#if defined(__osf1__) && defined(__alpha__) || defined(__VMS)
+
+    lowest_priority = PRI_OTHER_MIN;
+    highest_priority = PRI_OTHER_MAX;
+
+#elif defined(__hpux__)
+
+    lowest_priority = PRI_OTHER_MIN;
+    highest_priority = PRI_OTHER_MAX;
+
+#elif defined(__sunos__) && (__OSVERSION__ == 5)
+
+    // a bug in pthread_attr_setschedparam means lowest priority is 1 not 0
+
+    lowest_priority  = 1;
+    highest_priority = 3;
+
+#else
+
+    lowest_priority = sched_get_priority_min(SCHED_FIFO);
+    highest_priority = sched_get_priority_max(SCHED_FIFO);
+
+#endif
+
+    switch (highest_priority - lowest_priority) {
+
+    case 0:
+    case 1:
+       normal_priority = lowest_priority;
+       break;
+
+    default:
+       normal_priority = lowest_priority + 1;
+       break;
+    }
+
+#endif   /* PthreadSupportThreadPriority */
+
+    next_id_mutex = new omni_mutex;
+
+    //
+    // Create object for this (i.e. initial) thread.
+    //
+
+    omni_thread* t = new omni_thread;
+
+    t->_state = STATE_RUNNING;
+
+    t->posix_thread = pthread_self ();
+
+    DB(cerr << "initial thread " << t->id() << endl);
+
+    THROW_ERRORS(pthread_setspecific(self_key, (void*)t));
+
+#ifdef PthreadSupportThreadPriority
+
+#if (PthreadDraftVersion == 4)
+
+    THROW_ERRORS(pthread_setprio(t->posix_thread,
+                                posix_priority(PRIORITY_NORMAL)));
+
+#elif (PthreadDraftVersion == 6)
+
+    pthread_attr_t attr;
+    pthread_attr_init(&attr);
+
+    THROW_ERRORS(pthread_attr_setprio(&attr, posix_priority(PRIORITY_NORMAL)));
+
+    THROW_ERRORS(pthread_setschedattr(t->posix_thread, attr));
+
+#else
+
+    struct sched_param sparam;
+
+    sparam.sched_priority = posix_priority(PRIORITY_NORMAL);
+
+    THROW_ERRORS(pthread_setschedparam(t->posix_thread, SCHED_OTHER, &sparam));
+
+#endif   /* PthreadDraftVersion */
+
+#endif   /* PthreadSupportThreadPriority */
+}
+
+omni_thread::init_t::~init_t(void)
+{
+    if (--count() != 0) return;
+
+    omni_thread* self = omni_thread::self();
+    if (!self) return;
+
+    pthread_setspecific(self_key, 0);
+    delete self;
+
+    delete next_id_mutex;
+}
+
+//
+// Wrapper for thread creation.
+//
+
+extern "C" void* 
+omni_thread_wrapper(void* ptr)
+{
+    omni_thread* me = (omni_thread*)ptr;
+
+    DB(cerr << "omni_thread_wrapper: thread " << me->id()
+       << " started\n");
+
+    THROW_ERRORS(pthread_setspecific(self_key, me));
+
+    //
+    // Now invoke the thread function with the given argument.
+    //
+
+    if (me->fn_void != NULL) {
+       (*me->fn_void)(me->thread_arg);
+       omni_thread::exit();
+    }
+
+    if (me->fn_ret != NULL) {
+       void* return_value = (*me->fn_ret)(me->thread_arg);
+       omni_thread::exit(return_value);
+    }
+
+    if (me->detached) {
+       me->run(me->thread_arg);
+       omni_thread::exit();
+    } else {
+       void* return_value = me->run_undetached(me->thread_arg);
+       omni_thread::exit(return_value);
+    }
+
+    // should never get here.
+
+    return NULL;
+}
+
+
+//
+// Constructors for omni_thread - set up the thread object but don't
+// start it running.
+//
+
+// construct a detached thread running a given function.
+
+omni_thread::omni_thread(void (*fn)(void*), void* arg, priority_t pri)
+{
+    common_constructor(arg, pri, 1);
+    fn_void = fn;
+    fn_ret = NULL;
+}
+
+// construct an undetached thread running a given function.
+
+omni_thread::omni_thread(void* (*fn)(void*), void* arg, priority_t pri)
+{
+    common_constructor(arg, pri, 0);
+    fn_void = NULL;
+    fn_ret = fn;
+}
+
+// construct a thread which will run either run() or run_undetached().
+
+omni_thread::omni_thread(void* arg, priority_t pri)
+{
+    common_constructor(arg, pri, 1);
+    fn_void = NULL;
+    fn_ret = NULL;
+}
+
+// common part of all constructors.
+
+void
+omni_thread::common_constructor(void* arg, priority_t pri, int det)
+{
+    _state = STATE_NEW;
+    _priority = pri;
+
+    next_id_mutex->lock();
+    _id = next_id++;
+    next_id_mutex->unlock();
+
+    thread_arg = arg;
+    detached = det;    // may be altered in start_undetached()
+
+    _dummy       = 0;
+    _values      = 0;
+    _value_alloc = 0;
+    // posix_thread is set up in initialisation routine or start().
+}
+
+
+//
+// Destructor for omni_thread.
+//
+
+omni_thread::~omni_thread(void)
+{
+    DB(cerr << "destructor called for thread " << id() << endl);
+    if (_values) {
+        for (key_t i=0; i < _value_alloc; i++) {
+           if (_values[i]) {
+               delete _values[i];
+           }
+        }
+       delete [] _values;
+    }
+}
+
+
+//
+// Start the thread
+//
+
+void
+omni_thread::start(void)
+{
+    omni_mutex_lock l(mutex);
+
+    if (_state != STATE_NEW)
+       throw omni_thread_invalid();
+
+    pthread_attr_t attr;
+
+#if (PthreadDraftVersion == 4)
+    pthread_attr_create(&attr);
+#else
+    pthread_attr_init(&attr);
+#endif
+
+#if (PthreadDraftVersion == 8)
+    pthread_attr_setdetachstate(&attr,PTHREAD_CREATE_UNDETACHED);
+#endif
+
+#ifdef PthreadSupportThreadPriority
+
+#if (PthreadDraftVersion <= 6)
+
+    THROW_ERRORS(pthread_attr_setprio(&attr, posix_priority(_priority)));
+
+#else
+
+    struct sched_param sparam;
+
+    sparam.sched_priority = posix_priority(_priority);
+
+    THROW_ERRORS(pthread_attr_setschedparam(&attr, &sparam));
+
+#endif /* PthreadDraftVersion */
+
+#endif /* PthreadSupportThreadPriority */
+
+#if !defined(__linux__)
+    if (stack_size) {
+      THROW_ERRORS(pthread_attr_setstacksize(&attr, stack_size));
+    }
+#endif
+
+
+#if (PthreadDraftVersion == 4)
+    THROW_ERRORS(pthread_create(&posix_thread, attr, omni_thread_wrapper,
+                               (void*)this));
+    pthread_attr_delete(&attr);
+#else
+    THROW_ERRORS(pthread_create(&posix_thread, &attr, omni_thread_wrapper,
+                               (void*)this));
+    pthread_attr_destroy(&attr);
+#endif
+
+    _state = STATE_RUNNING;
+
+    if (detached) {
+
+#if (PthreadDraftVersion <= 6)
+       THROW_ERRORS(pthread_detach(&posix_thread));
+#else
+       THROW_ERRORS(pthread_detach(posix_thread));
+#endif
+    }
+}
+
+
+//
+// Start a thread which will run the member function run_undetached().
+//
+
+void
+omni_thread::start_undetached(void)
+{
+    if ((fn_void != NULL) || (fn_ret != NULL))
+       throw omni_thread_invalid();
+
+    detached = 0;
+    start();
+}
+
+
+//
+// join - simply check error conditions & call pthread_join.
+//
+
+void
+omni_thread::join(void** status)
+{
+    mutex.lock();
+
+    if ((_state != STATE_RUNNING) && (_state != STATE_TERMINATED)) {
+       mutex.unlock();
+       throw omni_thread_invalid();
+    }
+
+    mutex.unlock();
+
+    if (this == self())
+       throw omni_thread_invalid();
+
+    if (detached)
+       throw omni_thread_invalid();
+
+    DB(cerr << "omni_thread::join: doing pthread_join\n");
+
+    THROW_ERRORS(pthread_join(posix_thread, status));
+
+    DB(cerr << "omni_thread::join: pthread_join succeeded\n");
+
+#if (PthreadDraftVersion == 4)
+    // With draft 4 pthreads implementations (HPUX 10.x and
+    // Digital Unix 3.2), have to detach the thread after 
+    // join. If not, the storage for the thread will not be
+    // be reclaimed.
+    THROW_ERRORS(pthread_detach(&posix_thread));
+#endif
+
+    delete this;
+}
+
+
+//
+// Change this thread's priority.
+//
+
+void
+omni_thread::set_priority(priority_t pri)
+{
+    omni_mutex_lock l(mutex);
+
+    if (_state != STATE_RUNNING)
+       throw omni_thread_invalid();
+
+    _priority = pri;
+
+#ifdef PthreadSupportThreadPriority
+
+#if (PthreadDraftVersion == 4)
+
+    THROW_ERRORS(pthread_setprio(posix_thread, posix_priority(pri)));
+
+#elif (PthreadDraftVersion == 6)
+
+    pthread_attr_t attr;
+    pthread_attr_init(&attr);
+
+    THROW_ERRORS(pthread_attr_setprio(&attr, posix_priority(pri)));
+
+    THROW_ERRORS(pthread_setschedattr(posix_thread, attr));
+
+#else
+
+    struct sched_param sparam;
+
+    sparam.sched_priority = posix_priority(pri);
+
+    THROW_ERRORS(pthread_setschedparam(posix_thread, SCHED_OTHER, &sparam));
+
+#endif   /* PthreadDraftVersion */
+
+#endif   /* PthreadSupportThreadPriority */
+}
+
+
+//
+// create - construct a new thread object and start it running.  Returns thread
+// object if successful, null pointer if not.
+//
+
+// detached version
+
+omni_thread*
+omni_thread::create(void (*fn)(void*), void* arg, priority_t pri)
+{
+    omni_thread* t = new omni_thread(fn, arg, pri);
+
+    t->start();
+
+    return t;
+}
+
+// undetached version
+
+omni_thread*
+omni_thread::create(void* (*fn)(void*), void* arg, priority_t pri)
+{
+    omni_thread* t = new omni_thread(fn, arg, pri);
+
+    t->start();
+
+    return t;
+}
+
+
+//
+// exit() _must_ lock the mutex even in the case of a detached thread.  This is
+// because a thread may run to completion before the thread that created it has
+// had a chance to get out of start().  By locking the mutex we ensure that the
+// creating thread must have reached the end of start() before we delete the
+// thread object.  Of course, once the call to start() returns, the user can
+// still incorrectly refer to the thread object, but that's their problem.
+//
+
+void
+omni_thread::exit(void* return_value)
+{
+    omni_thread* me = self();
+
+    if (me)
+      {
+       me->mutex.lock();
+
+       me->_state = STATE_TERMINATED;
+
+       me->mutex.unlock();
+
+       DB(cerr << "omni_thread::exit: thread " << me->id() << " detached "
+          << me->detached << " return value " << return_value << endl);
+
+       if (me->detached)
+         delete me;
+      }
+    else
+      {
+       DB(cerr << "omni_thread::exit: called with a non-omnithread. Exit quietly." << endl);
+      }
+
+    pthread_exit(return_value);
+}
+
+
+omni_thread*
+omni_thread::self(void)
+{
+    omni_thread* me;
+
+#if (PthreadDraftVersion <= 6)
+
+    THROW_ERRORS(pthread_getspecific(self_key, (void**)&me));
+
+#else
+
+    me = (omni_thread *)pthread_getspecific(self_key);
+
+#endif
+
+    if (!me) {
+      // This thread is not created by omni_thread::start because it
+      // doesn't has a class omni_thread instance attached to its key.
+      DB(cerr << "omni_thread::self: called with a non-omnithread. NULL is returned." << endl);
+    }
+
+    return me;
+}
+
+
+void
+omni_thread::yield(void)
+{
+#if (PthreadDraftVersion == 6)
+
+    pthread_yield(NULL);
+
+#elif (PthreadDraftVersion < 9)
+
+    pthread_yield();
+
+#else
+
+    THROW_ERRORS(sched_yield());
+
+#endif
+}
+
+
+void
+omni_thread::sleep(unsigned long secs, unsigned long nanosecs)
+{
+    timespec rqts = { secs, nanosecs };
+
+#ifndef NoNanoSleep
+
+    timespec remain;
+    while (nanosleep(&rqts, &remain)) {
+      if (errno == EINTR) {
+       rqts.tv_sec  = remain.tv_sec;
+       rqts.tv_nsec = remain.tv_nsec;
+       continue;
+      }
+      else
+       throw omni_thread_fatal(errno);
+    }
+#else
+
+#if defined(__osf1__) && defined(__alpha__) || defined(__hpux__) && (__OSVERSION__ == 10) || defined(__VMS) || defined(__SINIX__) || defined (__POSIX_NT__)
+
+    if (pthread_delay_np(&rqts) != 0)
+       throw omni_thread_fatal(errno);
+
+#elif defined(__linux__) || defined(__aix__)
+
+    if (secs > 2000) {
+      while ((secs = ::sleep(secs))) ;
+    } else {
+       usleep(secs * 1000000 + (nanosecs / 1000));
+    }
+
+#elif defined(__darwin__) || defined(__macos__)
+
+    // Single UNIX Specification says argument of usleep() must be
+    // less than 1,000,000.
+    secs += nanosecs / 1000000000;
+    nanosecs %= 1000000000;
+    while ((secs = ::sleep(secs))) ;
+    usleep(nanosecs / 1000);
+
+#else
+
+    throw omni_thread_invalid();
+
+#endif
+#endif /* NoNanoSleep */
+}
+
+
+void
+omni_thread::get_time(unsigned long* abs_sec, unsigned long* abs_nsec,
+                     unsigned long rel_sec, unsigned long rel_nsec)
+{
+    timespec abs;
+
+#if defined(__osf1__) && defined(__alpha__) || defined(__hpux__) && (__OSVERSION__ == 10) || defined(__VMS) || defined(__SINIX__) || defined(__POSIX_NT__)
+
+    timespec rel;
+    rel.tv_sec = rel_sec;
+    rel.tv_nsec = rel_nsec;
+    THROW_ERRORS(pthread_get_expiration_np(&rel, &abs));
+
+#else
+
+#ifdef HAVE_CLOCK_GETTIME      /* __linux__ || __aix__ */
+
+    clock_gettime(CLOCK_REALTIME, &abs);
+
+#elif defined(HAVE_GETTIMEOFDAY)       /* defined(__linux__) || defined(__aix__) || defined(__SCO_VERSION__) || defined(__darwin__) || defined(__macos__) */
+
+    struct timeval tv;
+    gettimeofday(&tv, NULL); 
+    abs.tv_sec = tv.tv_sec;
+    abs.tv_nsec = tv.tv_usec * 1000;
+
+#else
+#error no get time support
+#endif /* __linux__ || __aix__ */
+
+    abs.tv_nsec += rel_nsec;
+    abs.tv_sec += rel_sec + abs.tv_nsec / 1000000000;
+    abs.tv_nsec = abs.tv_nsec % 1000000000;
+
+#endif /* __osf1__ && __alpha__ */
+
+    *abs_sec = abs.tv_sec;
+    *abs_nsec = abs.tv_nsec;
+}
+
+
+int
+omni_thread::posix_priority(priority_t pri)
+{
+#ifdef PthreadSupportThreadPriority
+    switch (pri) {
+
+    case PRIORITY_LOW:
+       return lowest_priority;
+
+    case PRIORITY_NORMAL:
+       return normal_priority;
+
+    case PRIORITY_HIGH:
+       return highest_priority;
+
+    }
+#endif
+
+    throw omni_thread_invalid();
+#ifdef _MSC_VER
+    return 0;
+#endif
+}
+
+void
+omni_thread::stacksize(unsigned long sz)
+{
+  stack_size = sz;
+}
+
+unsigned long
+omni_thread::stacksize()
+{
+  return stack_size;
+}
+
+//
+// Dummy thread
+//
+
+class omni_thread_dummy : public omni_thread {
+public:
+  inline omni_thread_dummy() : omni_thread()
+  {
+    _dummy = 1;
+    _state = STATE_RUNNING;
+    posix_thread = pthread_self();
+    THROW_ERRORS(pthread_setspecific(self_key, (void*)this));
+  }
+  inline ~omni_thread_dummy()
+  {
+    THROW_ERRORS(pthread_setspecific(self_key, 0));
+  }
+};
+
+omni_thread*
+omni_thread::create_dummy()
+{
+  if (omni_thread::self())
+    throw omni_thread_invalid();
+
+  return new omni_thread_dummy;
+}
+
+void
+omni_thread::release_dummy()
+{
+  omni_thread* self = omni_thread::self();
+  if (!self || !self->_dummy)
+    throw omni_thread_invalid();
+
+  omni_thread_dummy* dummy = (omni_thread_dummy*)self;
+  delete dummy;
+}
+
+
+#define INSIDE_THREAD_IMPL_CC
+#include "threaddata.cc"
+#undef INSIDE_THREAD_IMPL_CC
diff --git a/omnithread/solaris.cc b/omnithread/solaris.cc
new file mode 100644 (file)
index 0000000..eedaaa9
--- /dev/null
@@ -0,0 +1,615 @@
+//                             Package : omnithread
+// omnithread/solaris.cc       Created : 7/94 tjr
+//
+//    Copyright (C) 1994-1999 AT&T Laboratories Cambridge
+//
+//    This file is part of the omnithread library
+//
+//    The omnithread library is free software; you can redistribute it and/or
+//    modify it under the terms of the GNU Library General Public
+//    License as published by the Free Software Foundation; either
+//    version 2 of the License, or (at your option) any later version.
+//
+//    This library is distributed in the hope that it will be useful,
+//    but WITHOUT ANY WARRANTY; without even the implied warranty of
+//    MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
+//    Library General Public License for more details.
+//
+//    You should have received a copy of the GNU Library General Public
+//    License along with this library; if not, write to the Free
+//    Software Foundation, Inc., 51 Franklin Street, Boston, MA  
+//    02110-1301, USA
+//
+//
+// Implementation of OMNI thread abstraction for solaris threads.
+//
+
+#include <stdlib.h>
+#include <errno.h>
+#include <gnuradio/omnithread.h>
+
+#define DB(x) // x 
+// #include <iostream> or #include <iostream.h> if DB is on.
+
+#define THROW_ERRORS(x) { int rc = (x); \
+                         if (rc != 0) throw omni_thread_fatal(rc); }
+
+
+
+///////////////////////////////////////////////////////////////////////////
+//
+// Mutex
+//
+///////////////////////////////////////////////////////////////////////////
+
+
+omni_mutex::omni_mutex(void)
+{
+    THROW_ERRORS(mutex_init(&sol_mutex, USYNC_THREAD, 0));
+}
+
+omni_mutex::~omni_mutex(void)
+{
+    THROW_ERRORS(mutex_destroy(&sol_mutex));
+}
+
+void
+omni_mutex::lock(void)
+{
+    THROW_ERRORS(mutex_lock(&sol_mutex));
+}
+
+void
+omni_mutex::unlock(void)
+{
+    THROW_ERRORS(mutex_unlock(&sol_mutex));
+}
+
+
+
+///////////////////////////////////////////////////////////////////////////
+//
+// Condition variable
+//
+///////////////////////////////////////////////////////////////////////////
+
+
+omni_condition::omni_condition(omni_mutex* m) : mutex(m)
+{
+    THROW_ERRORS(cond_init(&sol_cond, USYNC_THREAD, 0));
+}
+
+omni_condition::~omni_condition(void)
+{
+    THROW_ERRORS(cond_destroy(&sol_cond));
+}
+
+void
+omni_condition::wait(void)
+{
+    THROW_ERRORS(cond_wait(&sol_cond, &mutex->sol_mutex));
+}
+
+int
+omni_condition::timedwait(unsigned long secs, unsigned long nanosecs)
+{
+    timespec rqts = { secs, nanosecs };
+
+ again:
+    int rc = cond_timedwait(&sol_cond, &mutex->sol_mutex, &rqts);
+
+    if (rc == 0)
+       return 1;
+
+    if (rc == EINTR)
+        goto again;
+
+    if (rc == ETIME)
+       return 0;
+
+    throw omni_thread_fatal(rc);
+}
+
+void
+omni_condition::signal(void)
+{
+    THROW_ERRORS(cond_signal(&sol_cond));
+}
+
+void
+omni_condition::broadcast(void)
+{
+    THROW_ERRORS(cond_broadcast(&sol_cond));
+}
+
+
+
+///////////////////////////////////////////////////////////////////////////
+//
+// Counting semaphore
+//
+///////////////////////////////////////////////////////////////////////////
+
+
+omni_semaphore::omni_semaphore(unsigned int initial)
+{
+    THROW_ERRORS(sema_init(&sol_sem, initial, USYNC_THREAD, NULL));
+}
+
+omni_semaphore::~omni_semaphore(void)
+{
+    THROW_ERRORS(sema_destroy(&sol_sem));
+}
+
+void
+omni_semaphore::wait(void)
+{
+    THROW_ERRORS(sema_wait(&sol_sem));
+}
+
+void
+omni_semaphore::post(void)
+{
+    THROW_ERRORS(sema_post(&sol_sem));
+}
+
+
+
+///////////////////////////////////////////////////////////////////////////
+//
+// Thread
+//
+///////////////////////////////////////////////////////////////////////////
+
+
+//
+// Static variables
+//
+
+int omni_thread::init_t::count = 0;
+
+omni_mutex* omni_thread::next_id_mutex;
+int omni_thread::next_id = 0;
+
+static thread_key_t self_key;
+
+static size_t stack_size = 0;
+
+//
+// Initialisation function (gets called before any user code).
+//
+
+omni_thread::init_t::init_t(void)
+{
+    if (count++ != 0)  // only do it once however many objects get created.
+       return;
+
+    DB(cerr << "omni_thread::init: solaris implementation initialising\n");
+
+    THROW_ERRORS(thr_keycreate(&self_key, NULL));
+
+    next_id_mutex = new omni_mutex;
+
+    //
+    // Create object for this (i.e. initial) thread.
+    //
+
+    omni_thread* t = new omni_thread;
+
+    t->_state = STATE_RUNNING;
+
+    t->sol_thread = thr_self();
+
+    DB(cerr << "initial thread " << t->id() << " sol_thread " << t->sol_thread
+       << endl);
+
+    THROW_ERRORS(thr_setspecific(self_key, (void*)t));
+
+    THROW_ERRORS(thr_setprio(t->sol_thread, sol_priority(PRIORITY_NORMAL)));
+}
+
+
+//
+// Wrapper for thread creation.
+//
+
+extern "C" void*
+omni_thread_wrapper(void* ptr)
+{
+    omni_thread* me = (omni_thread*)ptr;
+
+    DB(cerr << "omni_thread::wrapper: thread " << me->id()
+       << " started\n");
+
+    THROW_ERRORS(thr_setspecific(self_key, me));
+
+    //
+    // Now invoke the thread function with the given argument.
+    //
+
+    if (me->fn_void != NULL) {
+       (*me->fn_void)(me->thread_arg);
+       omni_thread::exit();
+    }
+
+    if (me->fn_ret != NULL) {
+       void* return_value = (*me->fn_ret)(me->thread_arg);
+       omni_thread::exit(return_value);
+    }
+
+    if (me->detached) {
+       me->run(me->thread_arg);
+       omni_thread::exit();
+    } else {
+       void* return_value = me->run_undetached(me->thread_arg);
+       omni_thread::exit(return_value);
+    }
+
+    // should never get here.
+
+    return NULL;
+}
+
+
+//
+// Constructors for omni_thread - set up the thread object but don't
+// start it running.
+//
+
+// construct a detached thread running a given function.
+
+omni_thread::omni_thread(void (*fn)(void*), void* arg, priority_t pri)
+{
+    common_constructor(arg, pri, 1);
+    fn_void = fn;
+    fn_ret = NULL;
+}
+
+// construct an undetached thread running a given function.
+
+omni_thread::omni_thread(void* (*fn)(void*), void* arg, priority_t pri)
+{
+    common_constructor(arg, pri, 0);
+    fn_void = NULL;
+    fn_ret = fn;
+}
+
+// construct a thread which will run either run() or run_undetached().
+
+omni_thread::omni_thread(void* arg, priority_t pri)
+{
+    common_constructor(arg, pri, 1);
+    fn_void = NULL;
+    fn_ret = NULL;
+}
+
+// common part of all constructors.
+
+void
+omni_thread::common_constructor(void* arg, priority_t pri, int det)
+{
+    _state = STATE_NEW;
+    _priority = pri;
+
+    next_id_mutex->lock();
+    _id = next_id++;
+    next_id_mutex->unlock();
+
+    thread_arg = arg;
+    detached = det;    // may be altered in start_undetached()
+
+    _dummy       = 0;
+    _values      = 0;
+    _value_alloc = 0;
+    // sol_thread is set up in initialisation routine or start().
+}
+
+
+//
+// Destructor for omni_thread.
+//
+
+omni_thread::~omni_thread(void)
+{
+    DB(cerr << "destructor called for thread " << id() << endl);
+    if (_values) {
+        for (key_t i=0; i < _value_alloc; i++) {
+           if (_values[i]) {
+               delete _values[i];
+           }
+        }
+       delete [] _values;
+    }
+}
+
+
+//
+// Start the thread
+//
+
+void
+omni_thread::start(void)
+{
+    long flags = 0;
+
+    if (detached)
+       flags |= THR_DETACHED;
+
+    omni_mutex_lock l(mutex);
+
+    if (_state != STATE_NEW)
+       throw omni_thread_invalid();
+
+    THROW_ERRORS(thr_create(0, stack_size, omni_thread_wrapper, (void*)this, flags,
+                           &sol_thread));
+
+    _state = STATE_RUNNING;
+
+    THROW_ERRORS(thr_setprio(sol_thread, sol_priority(_priority)));
+}
+
+
+//
+// Start a thread which will run the member function run_undetached().
+//
+
+void
+omni_thread::start_undetached(void)
+{
+    if ((fn_void != NULL) || (fn_ret != NULL))
+       throw omni_thread_invalid();
+
+    detached = 0;
+    start();
+}
+
+
+//
+// join - simply check error conditions & call thr_join.
+//
+
+void
+omni_thread::join(void** status)
+{
+    mutex.lock();
+
+    if ((_state != STATE_RUNNING) && (_state != STATE_TERMINATED)) {
+       mutex.unlock();
+       throw omni_thread_invalid();
+    }
+
+    mutex.unlock();
+
+    if (this == self())
+       throw omni_thread_invalid();
+
+    if (detached)
+       throw omni_thread_invalid();
+
+    DB(cerr << "omni_thread::join: doing thr_join\n");
+
+    THROW_ERRORS(thr_join(sol_thread, (thread_t *)NULL, status));
+
+    DB(cerr << "omni_thread::join: thr_join succeeded\n");
+
+    delete this;
+}
+
+
+//
+// Change this thread's priority.
+//
+
+void
+omni_thread::set_priority(priority_t pri)
+{
+    omni_mutex_lock l(mutex);
+
+    if (_state != STATE_RUNNING)
+       throw omni_thread_invalid();
+
+    _priority = pri;
+
+    THROW_ERRORS(thr_setprio(sol_thread, sol_priority(pri)));
+}
+
+
+//
+// create - construct a new thread object and start it running.  Returns thread
+// object if successful, null pointer if not.
+//
+
+// detached version
+
+omni_thread*
+omni_thread::create(void (*fn)(void*), void* arg, priority_t pri)
+{
+    omni_thread* t = new omni_thread(fn, arg, pri);
+
+    t->start();
+
+    return t;
+}
+
+// undetached version
+
+omni_thread*
+omni_thread::create(void* (*fn)(void*), void* arg, priority_t pri)
+{
+    omni_thread* t = new omni_thread(fn, arg, pri);
+
+    t->start();
+
+    return t;
+}
+
+
+//
+// exit() _must_ lock the mutex even in the case of a detached thread.  This is
+// because a thread may run to completion before the thread that created it has
+// had a chance to get out of start().  By locking the mutex we ensure that the
+// creating thread must have reached the end of start() before we delete the
+// thread object.  Of course, once the call to start() returns, the user can
+// still incorrectly refer to the thread object, but that's their problem.
+//
+
+void
+omni_thread::exit(void* return_value)
+{
+    omni_thread* me = self();
+
+    if (me)
+      {
+       me->mutex.lock();
+
+       me->_state = STATE_TERMINATED;
+
+       me->mutex.unlock();
+
+       DB(cerr << "omni_thread::exit: thread " << me->id() << " detached "
+          << me->detached << " return value " << return_value << endl);
+
+       if (me->detached)
+         delete me;
+      }
+    else
+      {
+       DB(cerr << "omni_thread::exit: called with a non-omnithread. Exit quietly." << endl);
+      }
+
+    thr_exit(return_value);
+}
+
+
+omni_thread*
+omni_thread::self(void)
+{
+    omni_thread* me;
+
+    THROW_ERRORS(thr_getspecific(self_key, (void**)&me));
+
+    if (!me) {
+      // This thread is not created by omni_thread::start because it
+      // doesn't has a class omni_thread instance attached to its key.
+      DB(cerr << "omni_thread::self: called with a non-ominthread. NULL is returned." << endl);
+    }
+
+    return me;
+}
+
+
+void
+omni_thread::yield(void)
+{
+    thr_yield();
+}
+
+
+void
+omni_thread::sleep(unsigned long secs, unsigned long nanosecs)
+{
+    timespec rqts = { secs, nanosecs };
+    timespec remain;
+    while (nanosleep(&rqts, &remain)) {
+      if (errno == EINTR) {
+       rqts.tv_sec  = remain.tv_sec;
+       rqts.tv_nsec = remain.tv_nsec;
+       continue;
+      }
+      else
+       throw omni_thread_fatal(errno);
+    }
+}
+
+
+void
+omni_thread::get_time(unsigned long* abs_sec, unsigned long* abs_nsec,
+                     unsigned long rel_sec, unsigned long rel_nsec)
+{
+    timespec abs;
+    clock_gettime(CLOCK_REALTIME, &abs);
+    abs.tv_nsec += rel_nsec;
+    abs.tv_sec += rel_sec + abs.tv_nsec / 1000000000;
+    abs.tv_nsec = abs.tv_nsec % 1000000000;
+    *abs_sec = abs.tv_sec;
+    *abs_nsec = abs.tv_nsec;
+}
+
+
+int
+omni_thread::sol_priority(priority_t pri)
+{
+    switch (pri) {
+
+    case PRIORITY_LOW:
+       return 0;
+
+    case PRIORITY_NORMAL:
+       return 1;
+
+    case PRIORITY_HIGH:
+       return 2;
+    }
+
+    throw omni_thread_invalid();
+}
+
+
+void
+omni_thread::stacksize(unsigned long sz)
+{
+  stack_size = sz;
+}
+
+unsigned long
+omni_thread::stacksize()
+{
+  return stack_size;
+}
+
+
+//
+// Dummy thread
+//
+
+#error This dummy thread code is not tested. It might work if you're lucky.
+
+class omni_thread_dummy : public omni_thread {
+public:
+  inline omni_thread_dummy() : omni_thread()
+  {
+    _dummy = 1;
+    _state = STATE_RUNNING;
+    sol_thread = thr_self();
+    THROW_ERRORS(thr_setspecific(self_key, (void*)this));
+  }
+  inline ~omni_thread_dummy()
+  {
+    THROW_ERRORS(thr_setspecific(self_key, 0));
+  }
+};
+
+omni_thread*
+omni_thread::create_dummy()
+{
+  if (omni_thread::self())
+    throw omni_thread_invalid();
+
+  return new omni_thread_dummy;
+}
+
+void
+omni_thread::release_dummy()
+{
+  omni_thread* self = omni_thread::self();
+  if (!self || !self->_dummy)
+    throw omni_thread_invalid();
+
+  omni_thread_dummy* dummy = (omni_thread_dummy*)self;
+  delete dummy;
+}
+
+
+#define INSIDE_THREAD_IMPL_CC
+#include "threaddata.cc"
+#undef INSIDE_THREAD_IMPL_CC
diff --git a/omnithread/threaddata.cc b/omnithread/threaddata.cc
new file mode 100644 (file)
index 0000000..d54c439
--- /dev/null
@@ -0,0 +1,83 @@
+//                             Package : omnithread
+// omnithread/threaddata.cc    Created : 10/2000 dpg1
+//
+//    Copyright (C) 2000 AT&T Laboratories Cambridge
+//
+//    This file is part of the omnithread library
+//
+//    The omnithread library is free software; you can redistribute it and/or
+//    modify it under the terms of the GNU Library General Public
+//    License as published by the Free Software Foundation; either
+//    version 2 of the License, or (at your option) any later version.
+//
+//    This library is distributed in the hope that it will be useful,
+//    but WITHOUT ANY WARRANTY; without even the implied warranty of
+//    MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
+//    Library General Public License for more details.
+//
+//    You should have received a copy of the GNU Library General Public
+//    License along with this library; if not, write to the Free
+//    Software Foundation, Inc., 51 Franklin Street, Boston, MA  
+//    02110-1301, USA
+//
+
+// Implementation of per-thread data
+
+#ifndef INSIDE_THREAD_IMPL_CC
+#error "threaddata.cc must be #included by a thread implementation."
+#endif
+
+
+static omni_thread::key_t allocated_keys = 0;
+
+omni_thread::key_t
+omni_thread::allocate_key()
+{
+  omni_mutex_lock l(*next_id_mutex);
+  return ++allocated_keys;
+}
+
+omni_thread::value_t*
+omni_thread::set_value(key_t k, value_t* v)
+{
+  if (k == 0) return 0;
+  if (k > _value_alloc) {
+    next_id_mutex->lock();
+    key_t alloc = allocated_keys;
+    next_id_mutex->unlock();
+
+    if (k > alloc) return 0;
+
+    value_t** nv = new value_t*[alloc];
+    key_t i = 0;
+    if (_values) {
+      for (; i < _value_alloc; i++)
+       nv[i] = _values[i];
+      delete [] _values;
+    }
+    for (; i < alloc; i++)
+      nv[i] = 0;
+
+    _values = nv;
+    _value_alloc = alloc;
+  }
+  if (_values[k-1]) delete _values[k-1];
+  _values[k-1] = v;
+  return v;
+}
+
+omni_thread::value_t*
+omni_thread::get_value(key_t k)
+{
+  if (k > _value_alloc) return 0;
+  return _values[k-1];
+}
+
+omni_thread::value_t*
+omni_thread::remove_value(key_t k)
+{
+  if (k > _value_alloc) return 0;
+  value_t* v = _values[k-1];
+  _values[k-1] = 0;
+  return v;
+}
diff --git a/omnithread/vxWorks.cc b/omnithread/vxWorks.cc
new file mode 100644 (file)
index 0000000..aaff6cd
--- /dev/null
@@ -0,0 +1,1160 @@
+//////////////////////////////////////////////////////////////////////////////
+// Filename:    vxWorks.cc
+// Author:             Tihomir Sokcevic
+//                                     Acterna, Eningen.
+// Description: vxWorks adaptation of the omnithread wrapper classes
+// Notes:               Munching strategy is imperative
+//////////////////////////////////////////////////////////////////////////////
+// $Log$
+// Revision 1.1  2004/04/10 18:00:52  eb
+// Initial revision
+//
+// Revision 1.1.1.1  2004/03/01 00:20:27  eb
+// initial checkin
+//
+// Revision 1.1  2003/05/25 05:29:04  eb
+// see ChangeLog
+//
+// Revision 1.1.2.1  2003/02/17 02:03:11  dgrisby
+// vxWorks port. (Thanks Michael Sturm / Acterna Eningen GmbH).
+//
+// Revision 1.1.1.1  2002/11/19 14:58:04  sokcevti
+// OmniOrb4.0.0 VxWorks port
+//
+// Revision 1.4  2002/10/15 07:54:09  kuttlest
+// change semaphore from SEM_FIFO to SEM_PRIO
+// ---
+//
+// Revision 1.3  2002/07/05 07:38:52  engeln
+// made priority redefinable on load time by defining int variables
+//     omni_thread_prio_low = 220;
+//     omni_thread_prio_normal = 110;
+//     omni_thread_prio_high = 55;
+// the default priority is prio_normal.
+// The normal priority default has been increased from 200 to 110 and the
+//     high priority from 100 to 55.
+// ---
+//
+// Revision 1.2  2002/06/14 12:44:57  engeln
+// replaced possibly unsafe wakeup procedure in broadcast.
+// ---
+//
+// Revision 1.1.1.1  2002/04/02 10:09:34  sokcevti
+// omniORB4 initial realease
+//
+// Revision 1.0        2001/10/23 14:22:45     sokcevti
+// Initial Version 4.00
+// ---
+//
+//////////////////////////////////////////////////////////////////////////////
+
+
+//////////////////////////////////////////////////////////////////////////////
+// Include files
+//////////////////////////////////////////////////////////////////////////////
+#include <stdlib.h>
+#include <stdio.h>
+#include <errno.h>
+#include <time.h>
+#include <gnuradio/omnithread.h>
+#include <sysLib.h>
+
+#include <assert.h>            // assert
+#include <intLib.h>            // intContext
+
+
+//////////////////////////////////////////////////////////////////////////////
+// Local defines
+//////////////////////////////////////////////////////////////////////////////
+#define ERRNO(x) (((x) != 0) ? (errno) : 0)
+#define THROW_ERRORS(x) { if((x) != OK) throw omni_thread_fatal(errno); }
+#define OMNI_THREAD_ID 0x7F7155AAl
+#define OMNI_STACK_SIZE 32768l
+
+#ifdef _DEBUG
+       #include <fstream>
+       #define DBG_TRACE(X) X
+#else // _DEBUG
+       #define DBG_TRACE(X)
+#endif // _DEBUG
+
+#define DBG_ASSERT(X)
+
+#define DBG_THROW(X) X
+
+int omni_thread_prio_low = 220;
+int omni_thread_prio_normal = 110;
+int omni_thread_prio_high = 55;
+///////////////////////////////////////////////////////////////////////////
+//
+// Mutex
+//
+///////////////////////////////////////////////////////////////////////////
+omni_mutex::omni_mutex(void):m_bConstructed(false)
+{
+       mutexID = semMCreate(SEM_Q_PRIORITY | SEM_INVERSION_SAFE);
+
+       DBG_ASSERT(assert(mutexID != NULL));
+
+       if(mutexID==NULL)
+       {
+               DBG_TRACE(cout<<"Exception: omni_mutex::omni_mutex()  tid: "<<(int)taskIdSelf()<<endl);
+               DBG_THROW(throw omni_thread_fatal(-1));
+       }
+
+       m_bConstructed = true;
+}
+
+omni_mutex::~omni_mutex(void)
+{
+       m_bConstructed = false;
+
+       STATUS status = semDelete(mutexID);
+
+       DBG_ASSERT(assert(status == OK));
+
+       if(status != OK)
+       {
+               DBG_TRACE(cout<<"Exception: omni_mutex::~omni_mutex()  mutexID: "<<(int)mutexID<<" tid: "<<(int)taskIdSelf()<<endl);
+               DBG_THROW(throw omni_thread_fatal(errno));
+       }
+}
+
+/*
+void omni_mutex::lock(void)
+{
+       DBG_ASSERT(assert(!intContext()));              // not in ISR context
+       DBG_ASSERT(assert(m_bConstructed));
+
+       STATUS status = semTake(mutexID, WAIT_FOREVER);
+
+       DBG_ASSERT(assert(status == OK));
+
+       if(status != OK)
+       {
+               DBG_TRACE(cout<<"Exception: omni_mutex::lock()  mutexID: "<<(int)mutexID<<" tid: "<<(int)taskIdSelf()<<endl);
+               DBG_THROW(throw omni_thread_fatal(errno));
+       }
+}
+
+void omni_mutex::unlock(void)
+{
+       DBG_ASSERT(assert(m_bConstructed));
+
+       STATUS status = semGive(mutexID);
+
+       DBG_ASSERT(assert(status == OK));
+
+       if(status != OK)
+       {
+               DBG_TRACE(cout<<"Exception: omni_mutex::unlock()  mutexID: "<<(int)mutexID<<" tid: "<<(int)taskIdSelf()<<endl);
+               DBG_THROW(throw omni_thread_fatal(errno));
+       }
+}
+*/
+
+///////////////////////////////////////////////////////////////////////////
+//
+// Condition variable
+//
+///////////////////////////////////////////////////////////////////////////
+omni_condition::omni_condition(omni_mutex* m) : mutex(m)
+{
+       DBG_TRACE(cout<<"omni_condition::omni_condition  mutexID: "<<(int)mutex->mutexID<<" tid:"<<(int)taskIdSelf()<<endl);
+
+       waiters_ = 0;
+
+       sema_ = semCCreate(SEM_Q_PRIORITY, 0);
+       if(sema_ == NULL)
+       {
+               DBG_TRACE(cout<<"Exception: omni_condition::omni_condition()  tid: "<<(int)taskIdSelf()<<endl);
+               DBG_THROW(throw omni_thread_fatal(errno));
+       }
+
+       waiters_lock_ = semMCreate(SEM_Q_PRIORITY | SEM_INVERSION_SAFE);
+       if(waiters_lock_ == NULL)
+       {
+               DBG_TRACE(cout<<"Exception: omni_condition::omni_condition()  tid: "<<(int)taskIdSelf()<<endl);
+               DBG_THROW(throw omni_thread_fatal(errno));
+       }
+
+}
+
+omni_condition::~omni_condition(void)
+{
+       STATUS status = semDelete(waiters_lock_);
+
+       DBG_ASSERT(assert(status == OK));
+
+       if(status != OK)
+       {
+               DBG_TRACE(cout<<"Exception: omni_condition::~omni_condition"<<endl);
+               DBG_THROW(throw omni_thread_fatal(errno));
+       }
+
+       status = semDelete(sema_);
+
+       DBG_ASSERT(assert(status == OK));
+
+       if(status != OK)
+       {
+               DBG_TRACE(cout<<"Exception: omni_condition::~omni_condition"<<endl);
+               DBG_THROW(throw omni_thread_fatal(errno));
+       }
+}
+
+void omni_condition::wait(void)
+{
+       DBG_TRACE(cout<<"omni_condition::wait            mutexID: "<<(int)mutex->mutexID<<" tid:"<<(int)taskIdSelf()<<endl);
+
+       // Prevent race conditions on the <waiters_> count.
+
+       STATUS status = semTake(waiters_lock_,WAIT_FOREVER);
+
+       DBG_ASSERT(assert(status == OK));
+
+       if(status != OK)
+       {
+               DBG_TRACE(cout<<"Exception: omni_condition::wait"<<endl);
+               DBG_THROW(throw omni_thread_fatal(errno));
+       }
+
+       ++waiters_;
+
+       status = semGive(waiters_lock_);
+
+       DBG_ASSERT(assert(status == OK));
+
+       if(status != OK)
+       {
+               DBG_TRACE(cout<<"Exception: omni_condition::wait"<<endl);
+               DBG_THROW(throw omni_thread_fatal(errno));
+       }
+
+       // disable task lock to have an atomic unlock+semTake
+       taskLock();
+
+       // We keep the lock held just long enough to increment the count of
+       // waiters by one.      Note that we can't keep it held across the call
+       // to wait() since that will deadlock other calls to signal().
+       mutex->unlock();
+
+       // Wait to be awakened by a cond_signal() or cond_broadcast().
+       status = semTake(sema_,WAIT_FOREVER);
+
+       // reenable task rescheduling
+       taskUnlock();
+
+       DBG_ASSERT(assert(status == OK));
+
+       if(status != OK)
+       {
+               DBG_TRACE(cout<<"Exception: omni_condition::wait"<<endl);
+               DBG_THROW(throw omni_thread_fatal(errno));
+       }
+
+       // Reacquire lock to avoid race conditions on the <waiters_> count.
+       status = semTake(waiters_lock_,WAIT_FOREVER);
+
+       DBG_ASSERT(assert(status == OK));
+
+       if(status != OK)
+       {
+               DBG_TRACE(cout<<"Exception: omni_condition::wait"<<endl);
+               DBG_THROW(throw omni_thread_fatal(errno));
+       }
+
+       // We're ready to return, so there's one less waiter.
+       --waiters_;
+
+       // Release the lock so that other collaborating threads can make
+       // progress.
+       status = semGive(waiters_lock_);
+
+       DBG_ASSERT(assert(status == OK));
+
+       if(status != OK)
+       {
+               DBG_TRACE(cout<<"Exception: omni_condition::wait"<<endl);
+               DBG_THROW(throw omni_thread_fatal(errno));
+       }
+
+       // Bad things happened, so let's just return below.
+
+       // We must always regain the <external_mutex>, even when errors
+       // occur because that's the guarantee that we give to our callers.
+       mutex->lock();
+}
+
+
+// The time given is absolute. Return 0 is timeout
+int omni_condition::timedwait(unsigned long secs, unsigned long nanosecs)
+{
+       STATUS result = OK;
+       timespec now;
+       unsigned long timeout;
+       int ticks;
+
+       // Prevent race conditions on the <waiters_> count.
+       STATUS status = semTake(waiters_lock_, WAIT_FOREVER);
+
+       DBG_ASSERT(assert(status == OK));
+
+       if(status != OK)
+       {
+               DBG_TRACE(cout<<"Exception: omni_condition::timedwait"<<endl);
+               DBG_THROW(throw omni_thread_fatal(errno));
+       }
+
+       ++waiters_;
+
+       status = semGive(waiters_lock_);
+
+       DBG_ASSERT(assert(status == OK));
+
+       if(status != OK)
+       {
+               DBG_TRACE(cout<<"Exception: omni_condition::timedwait"<<endl);
+               DBG_THROW(throw omni_thread_fatal(errno));
+       }
+
+       clock_gettime(CLOCK_REALTIME, &now);
+
+       if(((unsigned long)secs <= (unsigned long)now.tv_sec) &&
+               (((unsigned long)secs < (unsigned long)now.tv_sec) ||
+               (nanosecs < (unsigned long)now.tv_nsec)))
+               timeout = 0;
+       else
+               timeout = (secs-now.tv_sec) * 1000 + (nanosecs-now.tv_nsec) / 1000000l;
+
+       // disable task lock to have an atomic unlock+semTake
+       taskLock();
+
+       // We keep the lock held just long enough to increment the count
+       // of waiters by one.
+       mutex->unlock();
+
+       // Wait to be awakened by a signal() or broadcast().
+       ticks = (timeout * sysClkRateGet()) / 1000L;
+       result = semTake(sema_, ticks);
+
+       // reenable task rescheduling
+       taskUnlock();
+
+       // Reacquire lock to avoid race conditions.
+       status = semTake(waiters_lock_, WAIT_FOREVER);
+
+       DBG_ASSERT(assert(status == OK));
+
+       if(status != OK)
+       {
+               DBG_TRACE(cout<<"Exception: omni_condition::timedwait"<<endl);
+               DBG_THROW(throw omni_thread_fatal(errno));
+       }
+
+       --waiters_;
+
+       status = semGive(waiters_lock_);
+
+       DBG_ASSERT(assert(status == OK));
+
+       if(status != OK)
+       {
+               DBG_TRACE(cout<<"Exception: omni_condition::timedwait"<<endl);
+               DBG_THROW(throw omni_thread_fatal(errno));
+       }
+
+       // A timeout has occured - fires exception if the origin is other than timeout
+       if(result!=OK && !(errno == S_objLib_OBJ_TIMEOUT || errno == S_objLib_OBJ_UNAVAILABLE))
+       {
+               DBG_TRACE(cout<<"omni_condition::timedwait! - thread:"<<omni_thread::self()->id()<<" SemID:"<<(int)sema_<<" errno:"<<errno<<endl);
+               DBG_THROW(throw omni_thread_fatal(errno));
+       }
+
+       // We must always regain the <external_mutex>, even when errors
+       // occur because that's the guarantee that we give to our callers.
+       mutex->lock();
+
+       if(result!=OK) // timeout
+               return 0;
+
+       return 1;
+}
+
+void omni_condition::signal(void)
+{
+       DBG_TRACE(cout<<"omni_condition::signal          mutexID: "<<(int)mutex->mutexID<<" tid:"<<(int)taskIdSelf()<<endl);
+
+       STATUS status = semTake(waiters_lock_, WAIT_FOREVER);
+
+       DBG_ASSERT(assert(status == OK));
+
+       if(status != OK)
+       {
+               DBG_TRACE(cout<<"Exception: omni_condition::signal"<<endl);
+               DBG_THROW(throw omni_thread_fatal(errno));
+       }
+
+       int have_waiters = waiters_ > 0;
+
+       status = semGive(waiters_lock_);
+
+       DBG_ASSERT(assert(status == OK));
+
+       if(status != OK)
+       {
+               DBG_TRACE(cout<<"Exception: omni_condition::signal"<<endl);
+               DBG_THROW(throw omni_thread_fatal(errno));
+       }
+
+       if(have_waiters != 0)
+       {
+               status = semGive(sema_);
+
+               DBG_ASSERT(assert(status == OK));
+
+               if(status != OK)
+               {
+                       DBG_TRACE(cout<<"Exception: omni_condition::signal"<<endl);
+                       DBG_THROW(throw omni_thread_fatal(errno));
+               }
+       }
+}
+
+void omni_condition::broadcast(void)
+{
+       DBG_TRACE(cout<<"omni_condition::broadcast       mutexID: "<<(int)mutex->mutexID<<" tid:"<<(int)taskIdSelf()<<endl);
+
+       int have_waiters = 0;
+
+       // The <external_mutex> must be locked before this call is made.
+       // This is needed to ensure that <waiters_> and <was_broadcast_> are
+       // consistent relative to each other.
+       STATUS status = semTake(waiters_lock_, WAIT_FOREVER);
+
+       DBG_ASSERT(assert(status == OK));
+
+       if(status != OK)
+       {
+               DBG_TRACE(cout<<"Exception: omni_condition::signal"<<endl);
+               DBG_THROW(throw omni_thread_fatal(errno));
+       }
+
+       if(waiters_ > 0)
+       {
+               // We are broadcasting, even if there is just one waiter...
+               // Record the fact that we are broadcasting.    This helps the
+               // cond_wait() method know how to optimize itself.      Be sure to
+               // set this with the <waiters_lock_> held.
+               have_waiters = 1;
+       }
+
+       status = semGive(waiters_lock_);
+
+       DBG_ASSERT(assert(status == OK));
+
+       if(status != OK)
+       {
+               DBG_TRACE(cout<<"Exception: omni_condition::signal"<<endl);
+               DBG_THROW(throw omni_thread_fatal(errno));
+       }
+
+       if(have_waiters)
+       {
+               // Wake up all the waiters.
+               status = semFlush(sema_);
+
+                       DBG_ASSERT(assert(status == OK));
+
+                       if(status != OK)
+                       {
+                               DBG_TRACE(cout<<"omni_condition::broadcast1! - thread:"<<omni_thread::self()->id()<<" SemID:"<<(int)sema_<<" errno:"<<errno<<endl);
+                               DBG_THROW(throw omni_thread_fatal(errno));
+                       }
+
+       }
+}
+
+
+///////////////////////////////////////////////////////////////////////////
+//
+// Counting semaphore
+//
+///////////////////////////////////////////////////////////////////////////
+omni_semaphore::omni_semaphore(unsigned int initial)
+{
+
+       DBG_ASSERT(assert(0 <= (int)initial));          // POSIX expects only unsigned init values
+
+       semID = semCCreate(SEM_Q_PRIORITY, (int)initial);
+
+       DBG_ASSERT(assert(semID!=NULL));
+
+       if(semID==NULL)
+       {
+               DBG_TRACE(cout<<"Exception: omni_semaphore::omni_semaphore"<<endl);
+               DBG_THROW(throw omni_thread_fatal(-1));
+       }
+}
+
+omni_semaphore::~omni_semaphore(void)
+{
+       STATUS status = semDelete(semID);
+
+       DBG_ASSERT(assert(status == OK));
+
+       if(status != OK)
+       {
+               DBG_TRACE(cout<<"Exception: omni_semaphore::~omni_semaphore"<<endl);
+               DBG_THROW(throw omni_thread_fatal(errno));
+       }
+}
+
+void omni_semaphore::wait(void)
+{
+       DBG_ASSERT(assert(!intContext()));              // no wait in ISR
+
+       STATUS status = semTake(semID, WAIT_FOREVER);
+
+       DBG_ASSERT(assert(status == OK));
+
+       if(status != OK)
+       {
+               DBG_TRACE(cout<<"Exception: omni_semaphore::wait"<<endl);
+               DBG_THROW(throw omni_thread_fatal(errno));
+       }
+}
+
+int omni_semaphore::trywait(void)
+{
+       STATUS status = semTake(semID, NO_WAIT);
+
+       DBG_ASSERT(assert(status == OK));
+
+       if(status != OK)
+       {
+               if(errno == S_objLib_OBJ_UNAVAILABLE)
+               {
+                       return 0;
+               }
+               else
+               {
+                       DBG_ASSERT(assert(false));
+
+                       DBG_TRACE(cout<<"Exception: omni_semaphore::trywait"<<endl);
+                       DBG_THROW(throw omni_thread_fatal(errno));
+               }
+       }
+
+       return 1;
+}
+
+void omni_semaphore::post(void)
+{
+       STATUS status = semGive(semID);
+
+       DBG_ASSERT(assert(status == OK));
+
+       if(status != OK)
+       {
+               DBG_TRACE(cout<<"Exception: omni_semaphore::post"<<endl);
+               DBG_THROW(throw omni_thread_fatal(errno));
+       }
+}
+
+
+
+///////////////////////////////////////////////////////////////////////////
+//
+// Thread
+//
+///////////////////////////////////////////////////////////////////////////
+
+
+//
+// static variables
+//
+omni_mutex* omni_thread::next_id_mutex = 0;
+int omni_thread::next_id = 0;
+
+// omniORB requires a larger stack size than the default (21120) on OSF/1
+static size_t stack_size = OMNI_STACK_SIZE;
+
+
+//
+// Initialisation function (gets called before any user code).
+//
+
+static int& count() {
+  static int the_count = 0;
+  return the_count;
+}
+
+omni_thread::init_t::init_t(void)
+{
+       // Only do it once however many objects get created.
+       if(count()++ != 0)
+               return;
+
+       attach();
+}
+
+omni_thread::init_t::~init_t(void)
+{
+    if (--count() != 0) return;
+
+    omni_thread* self = omni_thread::self();
+    if (!self) return;
+
+    taskTcb(taskIdSelf())->spare1 = 0;
+    delete self;
+
+    delete next_id_mutex;
+}
+
+
+//
+// Wrapper for thread creation.
+//
+extern "C" void omni_thread_wrapper(void* ptr)
+{
+       omni_thread* me = (omni_thread*)ptr;
+
+       DBG_TRACE(cout<<"omni_thread_wrapper: thread "<<me->id()<<" started\n");
+
+       //
+       // We can now tweaked the task info since the tcb exist now
+       //
+       me->mutex.lock();       // To ensure that start has had time to finish
+       taskTcb(me->tid)->spare1 = OMNI_THREAD_ID;
+       taskTcb(me->tid)->spare2 = (int)ptr;
+       me->mutex.unlock();
+
+       //
+       // Now invoke the thread function with the given argument.
+       //
+       if(me->fn_void != NULL)
+       {
+               (*me->fn_void)(me->thread_arg);
+               omni_thread::exit();
+       }
+
+       if(me->fn_ret != NULL)
+       {
+               void* return_value = (*me->fn_ret)(me->thread_arg);
+               omni_thread::exit(return_value);
+       }
+
+       if(me->detached)
+       {
+               me->run(me->thread_arg);
+               omni_thread::exit();
+       }
+       else
+       {
+               void* return_value = me->run_undetached(me->thread_arg);
+               omni_thread::exit(return_value);
+       }
+}
+
+
+//
+// Special functions for VxWorks only
+//
+void omni_thread::attach(void)
+{
+       DBG_TRACE(cout<<"omni_thread_attach: VxWorks mapping thread initialising\n");
+
+       int _tid = taskIdSelf();
+
+       // Check the task is not already attached
+       if(taskTcb(_tid)->spare1 == OMNI_THREAD_ID)
+               return;
+
+       // Create the mutex required to lock the threads debugging id (create before the thread!!!)
+       if(next_id_mutex == 0)
+               next_id_mutex = new omni_mutex;
+
+       // Create a thread object for THIS running process
+       omni_thread* t = new omni_thread;
+
+       // Lock its mutex straigh away!
+       omni_mutex_lock l(t->mutex);
+
+       // Adjust data members of this instance
+       t->_state = STATE_RUNNING;
+       t->tid = taskIdSelf();
+
+       // Set the thread values so it can be recongnised as a omni_thread
+       // Set the id last can possibly prevent race condition
+       taskTcb(t->tid)->spare2 = (int)t;
+       taskTcb(t->tid)->spare1 = OMNI_THREAD_ID;
+
+       // Create the running_mutex at this stage, but leave it empty. We are not running
+       //      in the task context HERE, so taking it would be disastrous.
+       t->running_cond = new omni_condition(&t->mutex);
+}
+
+
+void omni_thread::detach(void)
+{
+       DBG_TRACE(cout<<"omni_thread_detach: VxWorks detaching thread mapping\n");
+
+       int _tid = taskIdSelf();
+
+       // Check the task has a OMNI_THREAD attached
+       if(taskTcb(_tid)->spare1 != OMNI_THREAD_ID)
+               return;
+
+       // Invalidate the id NOW !
+       taskTcb(_tid)->spare1 = 0;
+
+       // Even if NULL, it is safe to delete the thread
+       omni_thread* t = (omni_thread*)taskTcb(_tid)->spare2;
+       // Fininsh cleaning the tcb structure
+       taskTcb(_tid)->spare2 = 0;
+
+       delete t;
+}
+
+
+//
+// Constructors for omni_thread - set up the thread object but don't
+// start it running.
+//
+
+// construct a detached thread running a given function.
+omni_thread::omni_thread(void (*fn)(void*), void* arg, priority_t pri)
+{
+       common_constructor(arg, pri, 1);
+       fn_void = fn;
+       fn_ret = NULL;
+}
+
+// construct an undetached thread running a given function.
+omni_thread::omni_thread(void* (*fn)(void*), void* arg, priority_t pri)
+{
+       common_constructor(arg, pri, 0);
+       fn_void = NULL;
+       fn_ret = fn;
+}
+
+// construct a thread which will run either run() or run_undetached().
+
+omni_thread::omni_thread(void* arg, priority_t pri)
+{
+       common_constructor(arg, pri, 1);
+       fn_void = NULL;
+       fn_ret = NULL;
+}
+
+// common part of all constructors.
+void omni_thread::common_constructor(void* arg, priority_t pri, int det)
+{
+       _state = STATE_NEW;
+       _priority = pri;
+
+       // Set the debugging id
+       next_id_mutex->lock();
+       _id = next_id++;
+       next_id_mutex->unlock();
+
+       // Note : tid can only be setup when the task is up and running
+       tid = 0;
+
+       thread_arg = arg;
+       detached = det;         // may be altered in start_undetached()
+
+    _dummy       = 0;
+    _values      = 0;
+    _value_alloc = 0;
+}
+
+//
+// Destructor for omni_thread.
+//
+omni_thread::~omni_thread(void)
+{
+       DBG_TRACE(cout<<"omni_thread::~omni_thread for thread "<<id()<<endl);
+
+    if (_values) {
+        for (key_t i=0; i < _value_alloc; i++) {
+           if (_values[i]) {
+               delete _values[i];
+           }
+        }
+       delete [] _values;
+    }
+
+       delete running_cond;
+}
+
+
+//
+// Start the thread
+//
+void omni_thread::start(void)
+{
+       omni_mutex_lock l(mutex);
+
+       DBG_ASSERT(assert(_state == STATE_NEW));
+
+       if(_state != STATE_NEW)
+               DBG_THROW(throw omni_thread_invalid());
+
+       // Allocate memory for the task. (The returned id cannot be trusted by the task)
+       tid = taskSpawn(
+               NULL,                                                            // Task name
+               vxworks_priority(_priority),    // Priority
+               0,                                                                       // Option
+               stack_size,                                              // Stack size
+               (FUNCPTR)omni_thread_wrapper, // Priority
+               (int)this,                                                      // First argument is this
+               0,0,0,0,0,0,0,0,0                                // Remaining unused args
+               );
+
+       DBG_ASSERT(assert(tid!=ERROR));
+
+       if(tid==ERROR)
+               DBG_THROW(throw omni_thread_invalid());
+
+       _state = STATE_RUNNING;
+
+       // Create the running_mutex at this stage, but leave it empty. We are not running
+       //      in the task context HERE, so taking it would be disastrous.
+       running_cond = new omni_condition(&mutex);
+}
+
+
+//
+// Start a thread which will run the member function run_undetached().
+//
+void omni_thread::start_undetached(void)
+{
+       DBG_ASSERT(assert(!((fn_void != NULL) || (fn_ret != NULL))));
+
+       if((fn_void != NULL) || (fn_ret != NULL))
+               DBG_THROW(throw omni_thread_invalid());
+
+       detached = 0;
+
+       start();
+}
+
+
+//
+// join - Wait for the task to complete before returning to the calling process
+//
+void omni_thread::join(void** status)
+{
+       mutex.lock();
+
+       if((_state != STATE_RUNNING) && (_state != STATE_TERMINATED))
+       {
+               mutex.unlock();
+
+               DBG_ASSERT(assert(false));
+
+               DBG_THROW(throw omni_thread_invalid());
+       }
+
+       mutex.unlock();
+
+       DBG_ASSERT(assert(this != self()));
+
+       if(this == self())
+               DBG_THROW(throw omni_thread_invalid());
+
+       DBG_ASSERT(assert(!detached));
+
+       if(detached)
+               DBG_THROW(throw omni_thread_invalid());
+
+       mutex.lock();
+       running_cond->wait();
+       mutex.unlock();
+
+       if(status)
+               *status = return_val;
+
+       delete this;
+}
+
+
+//
+// Change this thread's priority.
+//
+void omni_thread::set_priority(priority_t pri)
+{
+       omni_mutex_lock l(mutex);
+
+       DBG_ASSERT(assert(_state == STATE_RUNNING));
+
+       if(_state != STATE_RUNNING)
+       {
+               DBG_THROW(throw omni_thread_invalid());
+       }
+
+       _priority = pri;
+
+       if(taskPrioritySet(tid, vxworks_priority(pri))==ERROR)
+       {
+               DBG_ASSERT(assert(false));
+
+               DBG_THROW(throw omni_thread_fatal(errno));
+       }
+}
+
+
+//
+// create - construct a new thread object and start it running.        Returns thread
+// object if successful, null pointer if not.
+//
+
+// detached version (the entry point is a void)
+omni_thread* omni_thread::create(void (*fn)(void*), void* arg, priority_t pri)
+{
+       omni_thread* t = new omni_thread(fn, arg, pri);
+
+       t->start();
+
+       return t;
+}
+
+// undetached version (the entry point is a void*)
+omni_thread* omni_thread::create(void* (*fn)(void*), void* arg, priority_t pri)
+{
+       omni_thread* t = new omni_thread(fn, arg, pri);
+
+       t->start();
+
+       return t;
+}
+
+
+//
+// exit() _must_ lock the mutex even in the case of a detached thread. This is
+// because a thread may run to completion before the thread that created it has
+// had a chance to get out of start(). By locking the mutex we ensure that the
+// creating thread must have reached the end of start() before we delete the
+// thread object.      Of course, once the call to start() returns, the user can
+// still incorrectly refer to the thread object, but that's their problem.
+//
+void omni_thread::exit(void* return_value)
+{
+       omni_thread* me = self();
+
+       if(me)
+       {
+               me->mutex.lock();
+
+               me->return_val = return_value;
+               me->_state = STATE_TERMINATED;
+               me->running_cond->signal();
+
+               me->mutex.unlock();
+
+               DBG_TRACE(cout<<"omni_thread::exit: thread "<<me->id()<<" detached "<<me->detached<<" return value "<<(int)return_value<<endl);
+
+               if(me->detached)
+                       delete me;
+       }
+       else
+               DBG_TRACE(cout<<"omni_thread::exit: called with a non-omnithread. Exit quietly."<<endl);
+
+       taskDelete(taskIdSelf());
+}
+
+
+omni_thread* omni_thread::self(void)
+{
+       if(taskTcb(taskIdSelf())->spare1 != OMNI_THREAD_ID)
+               return NULL;
+
+       return (omni_thread*)taskTcb(taskIdSelf())->spare2;
+}
+
+
+void omni_thread::yield(void)
+{
+       taskDelay(NO_WAIT);
+}
+
+
+void omni_thread::sleep(unsigned long secs, unsigned long nanosecs)
+{
+       int tps = sysClkRateGet();
+
+       // Convert to us to avoid overflow in the multiplication
+       //      tps should always be less than 1000 !
+       nanosecs /= 1000;
+
+       taskDelay(secs*tps + (nanosecs*tps)/1000000l);
+}
+
+
+void omni_thread::get_time( unsigned long* abs_sec,
+                                                       unsigned long* abs_nsec,
+                                                       unsigned long rel_sec,
+                                                       unsigned long rel_nsec)
+{
+       timespec abs;
+       clock_gettime(CLOCK_REALTIME, &abs);
+       abs.tv_nsec += rel_nsec;
+       abs.tv_sec += rel_sec + abs.tv_nsec / 1000000000;
+       abs.tv_nsec = abs.tv_nsec % 1000000000;
+       *abs_sec = abs.tv_sec;
+       *abs_nsec = abs.tv_nsec;
+}
+
+
+int omni_thread::vxworks_priority(priority_t pri)
+{
+       switch (pri)
+       {
+       case PRIORITY_LOW:
+               return omni_thread_prio_low;
+
+       case PRIORITY_NORMAL:
+               return omni_thread_prio_normal;
+
+       case PRIORITY_HIGH:
+               return omni_thread_prio_high;
+       }
+
+       DBG_ASSERT(assert(false));
+
+       DBG_THROW(throw omni_thread_invalid());
+}
+
+
+void omni_thread::stacksize(unsigned long sz)
+{
+       stack_size = sz;
+}
+
+
+unsigned long omni_thread::stacksize()
+{
+       return stack_size;
+}
+
+
+void omni_thread::show(void)
+{
+       omni_thread *pThread;
+       int s1, s2;
+       int tid = taskIdSelf();
+
+       printf("TaskId is %.8x\n", tid);
+
+       s1 = taskTcb(tid)->spare1;
+
+       if(s1 != OMNI_THREAD_ID)
+       {
+               printf("Spare 1 is %.8x, and not recongnized\n", s1);
+
+               return;
+       }
+       else
+       {
+               printf("Spare 1 indicate an omni_thread.\n");
+       }
+
+       s2 = taskTcb(tid)->spare2;
+
+       if(s2 == 0)
+       {
+               printf("Spare 2 is NULL! - No thread object attached !!\n");
+
+               return;
+       }
+       else
+       {
+               printf("Thread object at %.8x\n", s2);
+       }
+
+       pThread = (omni_thread *)s2;
+
+       state_t status = pThread->_state;
+
+       printf("        | Thread status is ");
+
+       switch (status)
+       {
+       case STATE_NEW:
+               printf("NEW\n");                break;
+       case STATE_RUNNING:
+               printf("STATE_RUNNING\n"); break;
+       case STATE_TERMINATED:
+               printf("TERMINATED\n"); break;
+       default:
+               printf("Illegal (=%.8x)\n", (unsigned int)status);
+
+               return;
+       }
+
+       if(pThread->tid != tid)
+       {
+               printf("        | Task ID in thread object is different!! (=%.8x)\n", pThread->tid);
+
+               return;
+       }
+       else
+       {
+               printf("        | Task ID in thread consistent\n");
+       }
+
+       printf("\n");
+}
+
+
+//
+// Dummy thread
+//
+
+class omni_thread_dummy : public omni_thread {
+public:
+  inline omni_thread_dummy() : omni_thread()
+  {
+    _dummy = 1;
+    _state = STATE_RUNNING;
+
+       // Adjust data members of this instance
+       tid = taskIdSelf();
+
+       // Set the thread values so it can be recongnised as a omni_thread
+       // Set the id last can possibly prevent race condition
+       taskTcb(tid)->spare2 = (int)this;
+       taskTcb(tid)->spare1 = OMNI_THREAD_ID;
+   }
+  inline ~omni_thread_dummy()
+  {
+       taskTcb(taskIdSelf())->spare1 = 0;
+  }
+};
+
+omni_thread*
+omni_thread::create_dummy()
+{
+  if (omni_thread::self())
+    throw omni_thread_invalid();
+
+  return new omni_thread_dummy;
+}
+
+void
+omni_thread::release_dummy()
+{
+  omni_thread* self = omni_thread::self();
+  if (!self || !self->_dummy)
+    throw omni_thread_invalid();
+
+  omni_thread_dummy* dummy = (omni_thread_dummy*)self;
+  delete dummy;
+}
+
+
+#define INSIDE_THREAD_IMPL_CC
+#include "threaddata.cc"
+#undef INSIDE_THREAD_IMPL_CC
diff --git a/pmt/Makefile.am b/pmt/Makefile.am
new file mode 100644 (file)
index 0000000..758eb1d
--- /dev/null
@@ -0,0 +1,30 @@
+#
+# Copyright 2004,2008 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+include $(top_srcdir)/Makefile.common
+
+EXTRA_DIST = pmt.pc.in
+
+SUBDIRS = src
+DIST_SUBDIRS = src doc
+
+pkgconfigdir = $(libdir)/pkgconfig
+pkgconfig_DATA = pmt.pc
diff --git a/pmt/Makefile.in b/pmt/Makefile.in
new file mode 100644 (file)
index 0000000..0e20a31
--- /dev/null
@@ -0,0 +1,1066 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004,2008 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = README $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(srcdir)/pmt.pc.in $(top_srcdir)/Makefile.common
+subdir = pmt
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES = pmt.pc
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(pkgconfigdir)"
+pkgconfigDATA_INSTALL = $(INSTALL_DATA)
+DATA = $(pkgconfig_DATA)
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+EXTRA_DIST = pmt.pc.in
+SUBDIRS = src
+DIST_SUBDIRS = src doc
+pkgconfigdir = $(libdir)/pkgconfig
+pkgconfig_DATA = pmt.pc
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  pmt/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  pmt/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+pmt.pc: $(top_builddir)/config.status $(srcdir)/pmt.pc.in
+       cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-pkgconfigDATA: $(pkgconfig_DATA)
+       @$(NORMAL_INSTALL)
+       test -z "$(pkgconfigdir)" || $(MKDIR_P) "$(DESTDIR)$(pkgconfigdir)"
+       @list='$(pkgconfig_DATA)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(pkgconfigDATA_INSTALL) '$$d$$p' '$(DESTDIR)$(pkgconfigdir)/$$f'"; \
+         $(pkgconfigDATA_INSTALL) "$$d$$p" "$(DESTDIR)$(pkgconfigdir)/$$f"; \
+       done
+
+uninstall-pkgconfigDATA:
+       @$(NORMAL_UNINSTALL)
+       @list='$(pkgconfig_DATA)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(pkgconfigdir)/$$f'"; \
+         rm -f "$(DESTDIR)$(pkgconfigdir)/$$f"; \
+       done
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-recursive
+all-am: Makefile $(DATA)
+installdirs: installdirs-recursive
+installdirs-am:
+       for dir in "$(DESTDIR)$(pkgconfigdir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am: install-pkgconfigDATA
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am: uninstall-pkgconfigDATA
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive dist-hook distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-pkgconfigDATA install-ps install-ps-am install-strip \
+       installcheck installcheck-am installdirs installdirs-am \
+       maintainer-clean maintainer-clean-generic mostlyclean \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       tags tags-recursive uninstall uninstall-am \
+       uninstall-pkgconfigDATA
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/pmt/README b/pmt/README
new file mode 100644 (file)
index 0000000..59a1f4a
--- /dev/null
@@ -0,0 +1,26 @@
+#
+# Copyright 2006 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+This directory hierarchy contains an implementation of 
+polymorphic types.  These are similar to lisp or python data objects,
+and implement transparent reference counting using the boost shared_ptr
+templates.
+
diff --git a/pmt/doc/Makefile.am b/pmt/doc/Makefile.am
new file mode 100644 (file)
index 0000000..a806b25
--- /dev/null
@@ -0,0 +1,44 @@
+#
+# Copyright 2004,2005,2006 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+TARGETS = 
+
+
+# To avoid build problems for folks who don't have xmlto installed, we
+# don't build the docs by default.
+
+# html: $(TARGETS)
+all: $(TARGETS)
+
+
+EXTRA_DIST =                           
+
+BUILT_XML_FILES =                      
+
+
+# ----------------------------------------------------------------
+
+clean:
+       -rm -f $(TARGETS) $(BUILT_XML_FILES)
+
+# This is non-portable pattern rule.
+#%.html : %.xml
+#      xmlto html-nochunks $<
diff --git a/pmt/doc/Makefile.in b/pmt/doc/Makefile.in
new file mode 100644 (file)
index 0000000..9da82ed
--- /dev/null
@@ -0,0 +1,753 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004,2005,2006 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+subdir = pmt/doc
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+TARGETS = 
+EXTRA_DIST = 
+BUILT_XML_FILES = 
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am  $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  pmt/doc/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  pmt/doc/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+check-am: all-am
+check: check-am
+all-am: Makefile
+installdirs:
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       distclean distclean-generic distclean-libtool distdir dvi \
+       dvi-am html html-am info info-am install install-am \
+       install-data install-data-am install-dvi install-dvi-am \
+       install-exec install-exec-am install-html install-html-am \
+       install-info install-info-am install-man install-pdf \
+       install-pdf-am install-ps install-ps-am install-strip \
+       installcheck installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am uninstall uninstall-am
+
+
+# To avoid build problems for folks who don't have xmlto installed, we
+# don't build the docs by default.
+
+# html: $(TARGETS)
+all: $(TARGETS)
+
+# ----------------------------------------------------------------
+
+clean:
+       -rm -f $(TARGETS) $(BUILT_XML_FILES)
+
+# This is non-portable pattern rule.
+#%.html : %.xml
+#      xmlto html-nochunks $<
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/pmt/pmt.pc.in b/pmt/pmt.pc.in
new file mode 100644 (file)
index 0000000..5a48ea2
--- /dev/null
@@ -0,0 +1,11 @@
+prefix=@prefix@
+exec_prefix=@exec_prefix@
+libdir=@libdir@
+includedir=@includedir@
+
+Name: pmt
+Description: The GNU Radio Polymorphic Type library
+Requires: gnuradio-omnithread
+Version: @VERSION@
+Libs: -L${libdir} -lpmt
+Cflags: -I${includedir} @DEFINES@
diff --git a/pmt/src/Makefile.am b/pmt/src/Makefile.am
new file mode 100644 (file)
index 0000000..8ce9b3d
--- /dev/null
@@ -0,0 +1,22 @@
+#
+# Copyright 2006,2007 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+SUBDIRS = lib scheme
diff --git a/pmt/src/Makefile.in b/pmt/src/Makefile.in
new file mode 100644 (file)
index 0000000..ba8bc23
--- /dev/null
@@ -0,0 +1,896 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2006,2007 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+subdir = pmt/src
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+SUBDIRS = lib scheme
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am  $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  pmt/src/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  pmt/src/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+check-am: all-am
+check: check-recursive
+all-am: Makefile
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am
+
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/pmt/src/lib/Makefile.am b/pmt/src/lib/Makefile.am
new file mode 100644 (file)
index 0000000..32e5210
--- /dev/null
@@ -0,0 +1,123 @@
+#
+# Copyright 2006,2008 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+include $(top_srcdir)/Makefile.common
+
+AM_CPPFLAGS = $(DEFINES) $(OMNITHREAD_INCLUDES) $(BOOST_CPPFLAGS) \
+       $(CPPUNIT_INCLUDES) $(WITH_INCLUDES)
+
+TESTS = test_pmt
+
+lib_LTLIBRARIES = libpmt.la libpmt-qa.la
+
+# ----------------------------------------------------------------
+# these scripts generate code
+
+code_generator =                       \
+       generate_unv.py                 \
+       unv_template.h.t                \
+       unv_template.cc.t               \
+       unv_qa_template.cc.t            
+
+GENERATED_H =                          \
+       pmt_unv_int.h                   \
+       qa_pmt_unv.h                    
+
+GENERATED_CC =                         \
+       pmt_unv.cc                      \
+       qa_pmt_unv.cc                   
+
+python_built_sources = $(GENERATED_H) $(GENERATED_I) $(GENERATED_CC)
+
+BUILT_SOURCES = $(python_built_sources) pmt_serial_tags.h
+
+# ----------------------------------------------------------------
+
+EXTRA_DIST =                           \
+       $(code_generator)
+
+# These are the source files that go into the pmt shared library
+libpmt_la_SOURCES =                    \
+       pmt.cc                          \
+       pmt_io.cc                       \
+       pmt_pool.cc                     \
+       pmt_serialize.cc                \
+       pmt_unv.cc                      
+
+# magic flags
+libpmt_la_LDFLAGS = $(NO_UNDEFINED)
+
+# link the library against the c++ standard library
+libpmt_la_LIBADD =                     \
+       $(OMNITHREAD_LA)                \
+       -lstdc++                        
+
+include_HEADERS =                      \
+       pmt.h                           \
+       pmt_pool.h                      \
+       pmt_serial_tags.h               
+
+noinst_HEADERS =                       \
+       $(GENERATED_H)                  \
+       pmt_int.h                       \
+       qa_pmt.h                        \
+       qa_pmt_prims.h                  
+
+
+# Build the qa code into its own library
+
+libpmt_qa_la_SOURCES =                 \
+       qa_pmt.cc                       \
+       qa_pmt_prims.cc                 \
+       qa_pmt_unv.cc                   
+
+# magic flags
+libpmt_qa_la_LDFLAGS = $(NO_UNDEFINED) -avoid version
+
+libpmt_qa_la_LIBADD =                  \
+       libpmt.la                       \
+       $(CPPUNIT_LIBS)                 \
+       -lstdc++                        
+
+
+noinst_PROGRAMS        =                       \
+       test_pmt
+
+
+LIBPMT   = libpmt.la
+LIBPMTQA = libpmt-qa.la $(LIBPMT)
+
+test_pmt_SOURCES = test_pmt.cc
+test_pmt_LDADD   = $(LIBPMTQA)
+
+# Do creation and inclusion of other Makefiles last
+
+# common way for generating sources from templates when using
+# BUILT_SOURCES, using parallel build protection.
+gen_sources = $(python_built_sources)
+gen_sources_deps = $(core_generator)
+par_gen_command = PYTHONPATH=$(top_srcdir)/pmt/src/lib srcdir=$(srcdir) $(PYTHON) $(srcdir)/generate_unv.py
+include $(top_srcdir)/Makefile.par.gen
+
+# Rule to create the build header file using GUILE
+# Doesn't need parallel protections because there is a single target
+pmt_serial_tags.h: $(srcdir)/../scheme/gnuradio/gen-serial-tags.scm $(srcdir)/../scheme/gnuradio/pmt-serial-tags.scm
+       $(RUN_GUILE) $(srcdir)/../scheme/gnuradio/gen-serial-tags.scm $(srcdir)/../scheme/gnuradio/pmt-serial-tags.scm pmt_serial_tags.h
diff --git a/pmt/src/lib/Makefile.in b/pmt/src/lib/Makefile.in
new file mode 100644 (file)
index 0000000..c98245f
--- /dev/null
@@ -0,0 +1,1296 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2006,2008 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(include_HEADERS) $(noinst_HEADERS) \
+       $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common $(top_srcdir)/Makefile.par.gen
+TESTS = test_pmt$(EXEEXT)
+noinst_PROGRAMS = test_pmt$(EXEEXT)
+subdir = pmt/src/lib
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(libdir)" "$(DESTDIR)$(includedir)"
+libLTLIBRARIES_INSTALL = $(INSTALL)
+LTLIBRARIES = $(lib_LTLIBRARIES)
+am__DEPENDENCIES_1 =
+libpmt_qa_la_DEPENDENCIES = libpmt.la $(am__DEPENDENCIES_1)
+am_libpmt_qa_la_OBJECTS = qa_pmt.lo qa_pmt_prims.lo qa_pmt_unv.lo
+libpmt_qa_la_OBJECTS = $(am_libpmt_qa_la_OBJECTS)
+libpmt_qa_la_LINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) \
+       $(LIBTOOLFLAGS) --mode=link $(CXXLD) $(AM_CXXFLAGS) \
+       $(CXXFLAGS) $(libpmt_qa_la_LDFLAGS) $(LDFLAGS) -o $@
+libpmt_la_DEPENDENCIES = $(am__DEPENDENCIES_1)
+am_libpmt_la_OBJECTS = pmt.lo pmt_io.lo pmt_pool.lo pmt_serialize.lo \
+       pmt_unv.lo
+libpmt_la_OBJECTS = $(am_libpmt_la_OBJECTS)
+libpmt_la_LINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) \
+       $(LIBTOOLFLAGS) --mode=link $(CXXLD) $(AM_CXXFLAGS) \
+       $(CXXFLAGS) $(libpmt_la_LDFLAGS) $(LDFLAGS) -o $@
+PROGRAMS = $(noinst_PROGRAMS)
+am_test_pmt_OBJECTS = test_pmt.$(OBJEXT)
+test_pmt_OBJECTS = $(am_test_pmt_OBJECTS)
+test_pmt_DEPENDENCIES = $(LIBPMTQA)
+DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
+depcomp = $(SHELL) $(top_srcdir)/depcomp
+am__depfiles_maybe = depfiles
+CXXCOMPILE = $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+LTCXXCOMPILE = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+CXXLD = $(CXX)
+CXXLINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CXXLD) $(AM_CXXFLAGS) $(CXXFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+SOURCES = $(libpmt_qa_la_SOURCES) $(libpmt_la_SOURCES) \
+       $(test_pmt_SOURCES)
+DIST_SOURCES = $(libpmt_qa_la_SOURCES) $(libpmt_la_SOURCES) \
+       $(test_pmt_SOURCES)
+includeHEADERS_INSTALL = $(INSTALL_HEADER)
+HEADERS = $(include_HEADERS) $(noinst_HEADERS)
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = $(DEPDIR)/stamp-sources-generate*
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp \
+       *.loT stamp-sources-generate
+AM_CPPFLAGS = $(DEFINES) $(OMNITHREAD_INCLUDES) $(BOOST_CPPFLAGS) \
+       $(CPPUNIT_INCLUDES) $(WITH_INCLUDES)
+
+lib_LTLIBRARIES = libpmt.la libpmt-qa.la
+
+# ----------------------------------------------------------------
+# these scripts generate code
+code_generator = \
+       generate_unv.py                 \
+       unv_template.h.t                \
+       unv_template.cc.t               \
+       unv_qa_template.cc.t            
+
+GENERATED_H = \
+       pmt_unv_int.h                   \
+       qa_pmt_unv.h                    
+
+GENERATED_CC = \
+       pmt_unv.cc                      \
+       qa_pmt_unv.cc                   
+
+python_built_sources = $(GENERATED_H) $(GENERATED_I) $(GENERATED_CC)
+BUILT_SOURCES = $(python_built_sources) pmt_serial_tags.h
+
+# ----------------------------------------------------------------
+EXTRA_DIST = $(code_generator) stamp-sources-generate
+
+# These are the source files that go into the pmt shared library
+libpmt_la_SOURCES = \
+       pmt.cc                          \
+       pmt_io.cc                       \
+       pmt_pool.cc                     \
+       pmt_serialize.cc                \
+       pmt_unv.cc                      
+
+
+# magic flags
+libpmt_la_LDFLAGS = $(NO_UNDEFINED)
+
+# link the library against the c++ standard library
+libpmt_la_LIBADD = \
+       $(OMNITHREAD_LA)                \
+       -lstdc++                        
+
+include_HEADERS = \
+       pmt.h                           \
+       pmt_pool.h                      \
+       pmt_serial_tags.h               
+
+noinst_HEADERS = \
+       $(GENERATED_H)                  \
+       pmt_int.h                       \
+       qa_pmt.h                        \
+       qa_pmt_prims.h                  
+
+
+# Build the qa code into its own library
+libpmt_qa_la_SOURCES = \
+       qa_pmt.cc                       \
+       qa_pmt_prims.cc                 \
+       qa_pmt_unv.cc                   
+
+
+# magic flags
+libpmt_qa_la_LDFLAGS = $(NO_UNDEFINED) -avoid version
+libpmt_qa_la_LIBADD = \
+       libpmt.la                       \
+       $(CPPUNIT_LIBS)                 \
+       -lstdc++                        
+
+LIBPMT = libpmt.la
+LIBPMTQA = libpmt-qa.la $(LIBPMT)
+test_pmt_SOURCES = test_pmt.cc
+test_pmt_LDADD = $(LIBPMTQA)
+
+# Do creation and inclusion of other Makefiles last
+
+# common way for generating sources from templates when using
+# BUILT_SOURCES, using parallel build protection.
+gen_sources = $(python_built_sources)
+gen_sources_deps = $(core_generator)
+par_gen_command = PYTHONPATH=$(top_srcdir)/pmt/src/lib srcdir=$(srcdir) $(PYTHON) $(srcdir)/generate_unv.py
+all: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) all-am
+
+.SUFFIXES:
+.SUFFIXES: .cc .lo .o .obj
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(top_srcdir)/Makefile.par.gen $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  pmt/src/lib/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  pmt/src/lib/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+install-libLTLIBRARIES: $(lib_LTLIBRARIES)
+       @$(NORMAL_INSTALL)
+       test -z "$(libdir)" || $(MKDIR_P) "$(DESTDIR)$(libdir)"
+       @list='$(lib_LTLIBRARIES)'; for p in $$list; do \
+         if test -f $$p; then \
+           f=$(am__strip_dir) \
+           echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(libLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) '$$p' '$(DESTDIR)$(libdir)/$$f'"; \
+           $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(libLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) "$$p" "$(DESTDIR)$(libdir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-libLTLIBRARIES:
+       @$(NORMAL_UNINSTALL)
+       @list='$(lib_LTLIBRARIES)'; for p in $$list; do \
+         p=$(am__strip_dir) \
+         echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f '$(DESTDIR)$(libdir)/$$p'"; \
+         $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f "$(DESTDIR)$(libdir)/$$p"; \
+       done
+
+clean-libLTLIBRARIES:
+       -test -z "$(lib_LTLIBRARIES)" || rm -f $(lib_LTLIBRARIES)
+       @list='$(lib_LTLIBRARIES)'; for p in $$list; do \
+         dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
+         test "$$dir" != "$$p" || dir=.; \
+         echo "rm -f \"$${dir}/so_locations\""; \
+         rm -f "$${dir}/so_locations"; \
+       done
+libpmt-qa.la: $(libpmt_qa_la_OBJECTS) $(libpmt_qa_la_DEPENDENCIES) 
+       $(libpmt_qa_la_LINK) -rpath $(libdir) $(libpmt_qa_la_OBJECTS) $(libpmt_qa_la_LIBADD) $(LIBS)
+libpmt.la: $(libpmt_la_OBJECTS) $(libpmt_la_DEPENDENCIES) 
+       $(libpmt_la_LINK) -rpath $(libdir) $(libpmt_la_OBJECTS) $(libpmt_la_LIBADD) $(LIBS)
+
+clean-noinstPROGRAMS:
+       @list='$(noinst_PROGRAMS)'; for p in $$list; do \
+         f=`echo $$p|sed 's/$(EXEEXT)$$//'`; \
+         echo " rm -f $$p $$f"; \
+         rm -f $$p $$f ; \
+       done
+test_pmt$(EXEEXT): $(test_pmt_OBJECTS) $(test_pmt_DEPENDENCIES) 
+       @rm -f test_pmt$(EXEEXT)
+       $(CXXLINK) $(test_pmt_OBJECTS) $(test_pmt_LDADD) $(LIBS)
+
+mostlyclean-compile:
+       -rm -f *.$(OBJEXT)
+
+distclean-compile:
+       -rm -f *.tab.c
+
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/pmt.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/pmt_io.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/pmt_pool.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/pmt_serialize.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/pmt_unv.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/qa_pmt.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/qa_pmt_prims.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/qa_pmt_unv.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/test_pmt.Po@am__quote@
+
+.cc.o:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ $<
+
+.cc.obj:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ `$(CYGPATH_W) '$<'`
+
+.cc.lo:
+@am__fastdepCXX_TRUE@  $(LTCXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LTCXXCOMPILE) -c -o $@ $<
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-includeHEADERS: $(include_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(includedir)" || $(MKDIR_P) "$(DESTDIR)$(includedir)"
+       @list='$(include_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(includeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(includedir)/$$f'"; \
+         $(includeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(includedir)/$$f"; \
+       done
+
+uninstall-includeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(include_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(includedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(includedir)/$$f"; \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+check-TESTS: $(TESTS)
+       @failed=0; all=0; xfail=0; xpass=0; skip=0; \
+       srcdir=$(srcdir); export srcdir; \
+       list=' $(TESTS) '; \
+       if test -n "$$list"; then \
+         for tst in $$list; do \
+           if test -f ./$$tst; then dir=./; \
+           elif test -f $$tst; then dir=; \
+           else dir="$(srcdir)/"; fi; \
+           if $(TESTS_ENVIRONMENT) $${dir}$$tst; then \
+             all=`expr $$all + 1`; \
+             case " $(XFAIL_TESTS) " in \
+             *[\ \     ]$$tst[\ \      ]*) \
+               xpass=`expr $$xpass + 1`; \
+               failed=`expr $$failed + 1`; \
+               echo "XPASS: $$tst"; \
+             ;; \
+             *) \
+               echo "PASS: $$tst"; \
+             ;; \
+             esac; \
+           elif test $$? -ne 77; then \
+             all=`expr $$all + 1`; \
+             case " $(XFAIL_TESTS) " in \
+             *[\ \     ]$$tst[\ \      ]*) \
+               xfail=`expr $$xfail + 1`; \
+               echo "XFAIL: $$tst"; \
+             ;; \
+             *) \
+               failed=`expr $$failed + 1`; \
+               echo "FAIL: $$tst"; \
+             ;; \
+             esac; \
+           else \
+             skip=`expr $$skip + 1`; \
+             echo "SKIP: $$tst"; \
+           fi; \
+         done; \
+         if test "$$all" -eq 1; then \
+           tests="test"; \
+           All=""; \
+         else \
+           tests="tests"; \
+           All="All "; \
+         fi; \
+         if test "$$failed" -eq 0; then \
+           if test "$$xfail" -eq 0; then \
+             banner="$$All$$all $$tests passed"; \
+           else \
+             if test "$$xfail" -eq 1; then failures=failure; else failures=failures; fi; \
+             banner="$$All$$all $$tests behaved as expected ($$xfail expected $$failures)"; \
+           fi; \
+         else \
+           if test "$$xpass" -eq 0; then \
+             banner="$$failed of $$all $$tests failed"; \
+           else \
+             if test "$$xpass" -eq 1; then passes=pass; else passes=passes; fi; \
+             banner="$$failed of $$all $$tests did not behave as expected ($$xpass unexpected $$passes)"; \
+           fi; \
+         fi; \
+         dashes="$$banner"; \
+         skipped=""; \
+         if test "$$skip" -ne 0; then \
+           if test "$$skip" -eq 1; then \
+             skipped="($$skip test was not run)"; \
+           else \
+             skipped="($$skip tests were not run)"; \
+           fi; \
+           test `echo "$$skipped" | wc -c` -le `echo "$$banner" | wc -c` || \
+             dashes="$$skipped"; \
+         fi; \
+         report=""; \
+         if test "$$failed" -ne 0 && test -n "$(PACKAGE_BUGREPORT)"; then \
+           report="Please report to $(PACKAGE_BUGREPORT)"; \
+           test `echo "$$report" | wc -c` -le `echo "$$banner" | wc -c` || \
+             dashes="$$report"; \
+         fi; \
+         dashes=`echo "$$dashes" | sed s/./=/g`; \
+         echo "$$dashes"; \
+         echo "$$banner"; \
+         test -z "$$skipped" || echo "$$skipped"; \
+         test -z "$$report" || echo "$$report"; \
+         echo "$$dashes"; \
+         test "$$failed" -eq 0; \
+       else :; fi
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+       $(MAKE) $(AM_MAKEFLAGS) check-TESTS
+check: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) check-am
+all-am: Makefile $(LTLIBRARIES) $(PROGRAMS) $(HEADERS)
+installdirs:
+       for dir in "$(DESTDIR)$(libdir)" "$(DESTDIR)$(includedir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+       -test -z "$(BUILT_SOURCES)" || rm -f $(BUILT_SOURCES)
+clean: clean-am
+
+clean-am: clean-generic clean-libLTLIBRARIES clean-libtool \
+       clean-noinstPROGRAMS mostlyclean-am
+
+distclean: distclean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+distclean-am: clean-am distclean-compile distclean-generic \
+       distclean-tags
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-includeHEADERS
+
+install-dvi: install-dvi-am
+
+install-exec-am: install-libLTLIBRARIES
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-compile mostlyclean-generic \
+       mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-includeHEADERS uninstall-libLTLIBRARIES
+
+.MAKE: install-am install-strip
+
+.PHONY: CTAGS GTAGS all all-am check check-TESTS check-am clean \
+       clean-generic clean-libLTLIBRARIES clean-libtool \
+       clean-noinstPROGRAMS ctags dist-hook distclean \
+       distclean-compile distclean-generic distclean-libtool \
+       distclean-tags distdir dvi dvi-am html html-am info info-am \
+       install install-am install-data install-data-am install-dvi \
+       install-dvi-am install-exec install-exec-am install-html \
+       install-html-am install-includeHEADERS install-info \
+       install-info-am install-libLTLIBRARIES install-man install-pdf \
+       install-pdf-am install-ps install-ps-am install-strip \
+       installcheck installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-compile \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       tags uninstall uninstall-am uninstall-includeHEADERS \
+       uninstall-libLTLIBRARIES
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+
+STAMPS ?=
+EXTRA_DIST ?=
+MOSTLYCLEANFILES ?=
+
+stamp-sources-generate: $(gen_sources_deps)
+       @rm -f $(DEPDIR)/stamp-sources-generate-tmp
+       @touch $(DEPDIR)/stamp-sources-generate-tmp
+       $(par_gen_command)
+       @mv -f $(DEPDIR)/stamp-sources-generate-tmp $@
+
+$(gen_sources): stamp-sources-generate
+       @if test -f $@; then :; else \
+               trap 'rm -rf $(DEPDIR)/stamp-sources-generate-*' 1 2 13 15; \
+               if mkdir $(DEPDIR)/stamp-sources-generate-lock 2>/dev/null; then \
+                       rm -f stamp-sources-generate; \
+                       $(MAKE) $(AM_MAKEFLAGS) stamp-sources-generate; \
+                       rmdir $(DEPDIR)/stamp-sources-generate-lock; \
+               else \
+                       while test -d $(DEPDIR)/stamp-sources-generate-lock; do sleep 1; done; \
+                       test -f stamp-sources-generate; exit $$?; \
+               fi; \
+       fi;
+
+# Rule to create the build header file using GUILE
+# Doesn't need parallel protections because there is a single target
+pmt_serial_tags.h: $(srcdir)/../scheme/gnuradio/gen-serial-tags.scm $(srcdir)/../scheme/gnuradio/pmt-serial-tags.scm
+       $(RUN_GUILE) $(srcdir)/../scheme/gnuradio/gen-serial-tags.scm $(srcdir)/../scheme/gnuradio/pmt-serial-tags.scm pmt_serial_tags.h
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/pmt/src/lib/generate_unv.py b/pmt/src/lib/generate_unv.py
new file mode 100755 (executable)
index 0000000..2abafa4
--- /dev/null
@@ -0,0 +1,190 @@
+#!/usr/bin/env python
+#
+# Copyright 2006 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+"""
+Generate code for uniform numeric vectors
+"""
+
+import re, os, os.path
+
+
+unv_types = (
+    ('u8', 'uint8_t'),
+    ('s8', 'int8_t'),
+    ('u16', 'uint16_t'),
+    ('s16', 'int16_t'),
+    ('u32', 'uint32_t'),
+    ('s32', 'int32_t'),
+    ('u64', 'uint64_t'),
+    ('s64', 'int64_t'),
+    ('f32', 'float'),
+    ('f64', 'double'),
+    ('c32', 'std::complex<float>'),
+    ('c64', 'std::complex<double>')
+    )
+
+header = """\
+/* -*- c++ -*- */
+/*
+ * Copyright 2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+"""
+
+guard_tail = """
+#endif
+"""
+
+includes = """
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <vector>
+#include <pmt.h>
+#include "pmt_int.h"
+
+"""
+
+qa_includes = """
+#include <qa_pmt_unv.h>
+#include <cppunit/TestAssert.h>
+#include <pmt.h>
+#include <stdio.h>
+
+"""
+
+
+# set srcdir to the directory that contains Makefile.am
+try:
+    srcdir = os.environ['srcdir']
+except KeyError, e:
+    srcdir = "."
+srcdir = srcdir + '/'
+
+
+def open_src (name, mode):
+    global srcdir
+    return open(os.path.join (srcdir, name), mode)
+
+
+def guard_name(filename):
+    return 'INCLUDED_' + re.sub('\.', '_', filename.upper())
+
+def guard_head(filename):
+    guard = guard_name(filename)
+    return """
+#ifndef %s
+#define %s
+""" % (guard, guard)
+
+
+def do_substitution (d, input, out_file):
+    def repl (match_obj):
+        key = match_obj.group (1)
+        # print key
+        return d[key]
+    
+    out = re.sub (r"@([a-zA-Z0-9_]+)@", repl, input)
+    out_file.write (out)
+
+
+def generate_h():
+    template = open_src('unv_template.h.t', 'r').read()
+    output_filename = 'pmt_unv_int.h'
+    output = open(output_filename, 'w')
+    output.write(header)
+    output.write(guard_head(output_filename))
+    for tag, typ in unv_types:
+        d = { 'TAG' : tag, 'TYPE' : typ }
+        do_substitution(d, template, output)
+    output.write(guard_tail)
+
+def generate_cc():
+    template = open_src('unv_template.cc.t', 'r').read()
+    output = open('pmt_unv.cc', 'w')
+    output.write(header)
+    output.write(includes)
+    for tag, typ in unv_types:
+        d = { 'TAG' : tag, 'TYPE' : typ }
+        do_substitution(d, template, output)
+
+
+def generate_qa_h():
+    output_filename = 'qa_pmt_unv.h'
+    output = open(output_filename, 'w')
+    output.write(header)
+    output.write(guard_head(output_filename))
+
+    output.write('''
+#include <cppunit/extensions/HelperMacros.h>
+#include <cppunit/TestCase.h>
+
+class qa_pmt_unv : public CppUnit::TestCase {
+
+  CPPUNIT_TEST_SUITE(qa_pmt_unv);
+''')
+    for tag, typ in unv_types:
+        output.write('  CPPUNIT_TEST(test_%svector);\n' % (tag,))
+    output.write('''\
+  CPPUNIT_TEST_SUITE_END();
+
+ private:
+''')     
+    for tag, typ in unv_types:
+        output.write('  void test_%svector();\n' % (tag,))
+    output.write('};\n')
+    output.write(guard_tail)
+
+def generate_qa_cc():
+    template = open_src('unv_qa_template.cc.t', 'r').read()
+    output = open('qa_pmt_unv.cc', 'w')
+    output.write(header)
+    output.write(qa_includes)
+    for tag, typ in unv_types:
+        d = { 'TAG' : tag, 'TYPE' : typ }
+        do_substitution(d, template, output)
+    
+
+def main():
+    generate_h()
+    generate_cc()
+    generate_qa_h()
+    generate_qa_cc()
+
+if __name__ == '__main__':
+    main()
diff --git a/pmt/src/lib/pmt.cc b/pmt/src/lib/pmt.cc
new file mode 100644 (file)
index 0000000..f233a13
--- /dev/null
@@ -0,0 +1,1028 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <vector>
+#include <pmt.h>
+#include "pmt_int.h"
+#include <stdio.h>
+#include <pmt_pool.h>
+#include <string.h>
+
+static const int CACHE_LINE_SIZE = 64;         // good guess
+
+# if (PMT_LOCAL_ALLOCATOR)
+
+static pmt_pool global_pmt_pool(sizeof(pmt_pair), CACHE_LINE_SIZE);
+
+void *
+pmt_base::operator new(size_t size)
+{
+  void *p = global_pmt_pool.malloc();
+
+  // fprintf(stderr, "pmt_base::new p = %p\n", p);
+  assert((reinterpret_cast<intptr_t>(p) & (CACHE_LINE_SIZE - 1)) == 0);
+  return p;
+}
+
+void
+pmt_base::operator delete(void *p, size_t size)
+{
+  global_pmt_pool.free(p);
+}
+
+#endif
+
+
+pmt_base::~pmt_base()
+{
+  // nop -- out of line virtual destructor
+}
+
+////////////////////////////////////////////////////////////////////////////
+//                         Exceptions
+////////////////////////////////////////////////////////////////////////////
+
+pmt_exception::pmt_exception(const std::string &msg, pmt_t obj)
+  : logic_error(msg + ": " + pmt_write_string(obj))
+{
+}
+
+pmt_wrong_type::pmt_wrong_type(const std::string &msg, pmt_t obj)
+  : pmt_exception(msg + ": wrong_type ", obj)
+{
+}
+
+pmt_out_of_range::pmt_out_of_range(const std::string &msg, pmt_t obj)
+  : pmt_exception(msg + ": out of range ", obj)
+{
+}
+
+pmt_notimplemented::pmt_notimplemented(const std::string &msg, pmt_t obj)
+  : pmt_exception(msg + ": notimplemented ", obj)
+{
+}
+
+////////////////////////////////////////////////////////////////////////////
+//                          Dynamic Casts
+////////////////////////////////////////////////////////////////////////////
+
+static pmt_symbol *
+_symbol(pmt_t x)
+{
+  return dynamic_cast<pmt_symbol*>(x.get());
+}
+
+static pmt_integer *
+_integer(pmt_t x)
+{
+  return dynamic_cast<pmt_integer*>(x.get());
+}
+
+static pmt_real *
+_real(pmt_t x)
+{
+  return dynamic_cast<pmt_real*>(x.get());
+}
+
+static pmt_complex *
+_complex(pmt_t x)
+{
+  return dynamic_cast<pmt_complex*>(x.get());
+}
+
+static pmt_pair *
+_pair(pmt_t x)
+{
+  return dynamic_cast<pmt_pair*>(x.get());
+}
+
+static pmt_vector *
+_vector(pmt_t x)
+{
+  return dynamic_cast<pmt_vector*>(x.get());
+}
+
+static pmt_uniform_vector *
+_uniform_vector(pmt_t x)
+{
+  return dynamic_cast<pmt_uniform_vector*>(x.get());
+}
+
+static pmt_dict *
+_dict(pmt_t x)
+{
+  return dynamic_cast<pmt_dict*>(x.get());
+}
+
+static pmt_any *
+_any(pmt_t x)
+{
+  return dynamic_cast<pmt_any*>(x.get());
+}
+
+////////////////////////////////////////////////////////////////////////////
+//                           Globals
+////////////////////////////////////////////////////////////////////////////
+
+const pmt_t PMT_T = pmt_t(new pmt_bool());             // singleton
+const pmt_t PMT_F = pmt_t(new pmt_bool());             // singleton
+const pmt_t PMT_NIL = pmt_t(new pmt_null());           // singleton
+const pmt_t PMT_EOF = pmt_cons(PMT_NIL, PMT_NIL);      // singleton
+
+////////////////////////////////////////////////////////////////////////////
+//                           Booleans
+////////////////////////////////////////////////////////////////////////////
+
+pmt_bool::pmt_bool(){}
+
+bool
+pmt_is_true(pmt_t obj)
+{
+  return obj != PMT_F;
+}
+
+bool
+pmt_is_false(pmt_t obj)
+{
+  return obj == PMT_F;
+}
+
+bool
+pmt_is_bool(pmt_t obj)
+{
+  return obj->is_bool();
+}
+
+pmt_t
+pmt_from_bool(bool val)
+{
+  return val ? PMT_T : PMT_F;
+}
+
+bool
+pmt_to_bool(pmt_t val)
+{
+  if (val == PMT_T)
+    return true;
+  if (val == PMT_F)
+    return false;
+  throw pmt_wrong_type("pmt_to_bool", val);
+}
+
+////////////////////////////////////////////////////////////////////////////
+//                             Symbols
+////////////////////////////////////////////////////////////////////////////
+
+static const unsigned int SYMBOL_HASH_TABLE_SIZE = 701;
+static std::vector<pmt_t> s_symbol_hash_table(SYMBOL_HASH_TABLE_SIZE);
+
+pmt_symbol::pmt_symbol(const std::string &name) : d_name(name){}
+
+
+static unsigned int
+hash_string(const std::string &s)
+{
+  unsigned int h = 0;
+  unsigned int g = 0;
+
+  for (std::string::const_iterator p = s.begin(); p != s.end(); ++p){
+    h = (h << 4) + (*p & 0xff);
+    g = h & 0xf0000000;
+    if (g){
+      h = h ^ (g >> 24);
+      h = h ^ g;
+    }
+  }
+  return h;
+}
+
+bool 
+pmt_is_symbol(pmt_t obj)
+{
+  return obj->is_symbol();
+}
+
+pmt_t 
+pmt_string_to_symbol(const std::string &name)
+{
+  unsigned hash = hash_string(name) % SYMBOL_HASH_TABLE_SIZE;
+
+  // Does a symbol with this name already exist?
+  for (pmt_t sym = s_symbol_hash_table[hash]; sym; sym = _symbol(sym)->next()){
+    if (name == _symbol(sym)->name())
+      return sym;              // Yes.  Return it
+  }
+
+  // Nope.  Make a new one.
+  pmt_t sym = pmt_t(new pmt_symbol(name));
+  _symbol(sym)->set_next(s_symbol_hash_table[hash]);
+  s_symbol_hash_table[hash] = sym;
+  return sym;
+}
+
+// alias...
+pmt_t
+pmt_intern(const std::string &name)
+{
+  return pmt_string_to_symbol(name);
+}
+
+const std::string
+pmt_symbol_to_string(pmt_t sym)
+{
+  if (!sym->is_symbol())
+    throw pmt_wrong_type("pmt_symbol_to_string", sym);
+
+  return _symbol(sym)->name();
+}
+
+
+
+////////////////////////////////////////////////////////////////////////////
+//                             Number
+////////////////////////////////////////////////////////////////////////////
+
+bool
+pmt_is_number(pmt_t x)
+{
+  return x->is_number();
+}
+
+////////////////////////////////////////////////////////////////////////////
+//                             Integer
+////////////////////////////////////////////////////////////////////////////
+
+pmt_integer::pmt_integer(long value) : d_value(value) {}
+
+bool
+pmt_is_integer(pmt_t x)
+{
+  return x->is_integer();
+}
+
+
+pmt_t
+pmt_from_long(long x)
+{
+  return pmt_t(new pmt_integer(x));
+}
+
+long
+pmt_to_long(pmt_t x)
+{
+  if (x->is_integer())
+    return _integer(x)->value();
+
+  throw pmt_wrong_type("pmt_to_long", x);
+}
+
+////////////////////////////////////////////////////////////////////////////
+//                              Real
+////////////////////////////////////////////////////////////////////////////
+
+pmt_real::pmt_real(double value) : d_value(value) {}
+
+bool 
+pmt_is_real(pmt_t x)
+{
+  return x->is_real();
+}
+
+pmt_t
+pmt_from_double(double x)
+{
+  return pmt_t(new pmt_real(x));
+}
+
+double
+pmt_to_double(pmt_t x)
+{
+  if (x->is_real())
+    return _real(x)->value();
+  if (x->is_integer())
+    return _integer(x)->value();
+
+  throw pmt_wrong_type("pmt_to_double", x);
+}
+
+////////////////////////////////////////////////////////////////////////////
+//                              Complex
+////////////////////////////////////////////////////////////////////////////
+
+pmt_complex::pmt_complex(std::complex<double> value) : d_value(value) {}
+
+bool 
+pmt_is_complex(pmt_t x)
+{
+  return x->is_complex();
+}
+
+pmt_t
+pmt_make_rectangular(double re, double im)
+{
+  return pmt_t(new pmt_complex(std::complex<double>(re, im)));
+}
+
+std::complex<double>
+pmt_to_complex(pmt_t x)
+{
+  if (x->is_complex())
+    return _complex(x)->value();
+  if (x->is_real())
+    return _real(x)->value();
+  if (x->is_integer())
+    return _integer(x)->value();
+
+  throw pmt_wrong_type("pmt_to_complex", x);
+}
+
+////////////////////////////////////////////////////////////////////////////
+//                              Pairs
+////////////////////////////////////////////////////////////////////////////
+
+pmt_null::pmt_null() {}
+pmt_pair::pmt_pair(pmt_t car, pmt_t cdr) : d_car(car), d_cdr(cdr) {}
+
+bool
+pmt_is_null(pmt_t x)
+{
+  return x == PMT_NIL;
+}
+
+bool
+pmt_is_pair(pmt_t obj)
+{
+  return obj->is_pair();
+}
+
+pmt_t
+pmt_cons(pmt_t x, pmt_t y)
+{
+  return pmt_t(new pmt_pair(x, y));
+}
+
+pmt_t
+pmt_car(pmt_t pair)
+{
+  if (pair->is_pair())
+    return _pair(pair)->car();
+  
+  throw pmt_wrong_type("pmt_car", pair);
+}
+
+pmt_t
+pmt_cdr(pmt_t pair)
+{
+  if (pair->is_pair())
+    return _pair(pair)->cdr();
+  
+  throw pmt_wrong_type("pmt_cdr", pair);
+}
+
+void
+pmt_set_car(pmt_t pair, pmt_t obj)
+{
+  if (pair->is_pair())
+    _pair(pair)->set_car(obj);
+  else
+    throw pmt_wrong_type("pmt_set_car", pair);
+}
+
+void
+pmt_set_cdr(pmt_t pair, pmt_t obj)
+{
+  if (pair->is_pair())
+    _pair(pair)->set_cdr(obj);
+  else
+    throw pmt_wrong_type("pmt_set_cdr", pair);
+}
+
+////////////////////////////////////////////////////////////////////////////
+//                             Vectors
+////////////////////////////////////////////////////////////////////////////
+
+pmt_vector::pmt_vector(size_t len, pmt_t fill)
+  : d_v(len)
+{
+  for (size_t i = 0; i < len; i++)
+    d_v[i] = fill;
+}
+
+pmt_t
+pmt_vector::ref(size_t k) const
+{
+  if (k >= length())
+    throw pmt_out_of_range("pmt_vector_ref", pmt_from_long(k));
+  return d_v[k];
+}
+
+void
+pmt_vector::set(size_t k, pmt_t obj)
+{
+  if (k >= length())
+    throw pmt_out_of_range("pmt_vector_set", pmt_from_long(k));
+  d_v[k] = obj;
+}
+
+void
+pmt_vector::fill(pmt_t obj)
+{
+  for (size_t i = 0; i < length(); i++)
+    d_v[i] = obj;
+}
+
+bool
+pmt_is_vector(pmt_t obj)
+{
+  return obj->is_vector();
+}
+
+pmt_t
+pmt_make_vector(size_t k, pmt_t fill)
+{
+  return pmt_t(new pmt_vector(k, fill));
+}
+
+pmt_t
+pmt_vector_ref(pmt_t vector, size_t k)
+{
+  if (!vector->is_vector())
+    throw pmt_wrong_type("pmt_vector_ref", vector);
+  return _vector(vector)->ref(k);
+}
+
+void
+pmt_vector_set(pmt_t vector, size_t k, pmt_t obj)
+{
+  if (!vector->is_vector())
+    throw pmt_wrong_type("pmt_vector_set", vector);
+  _vector(vector)->set(k, obj);
+}
+
+void
+pmt_vector_fill(pmt_t vector, pmt_t obj)
+{
+  if (!vector->is_vector())
+    throw pmt_wrong_type("pmt_vector_set", vector);
+  _vector(vector)->fill(obj);
+}
+
+////////////////////////////////////////////////////////////////////////////
+//                       Uniform Numeric Vectors
+////////////////////////////////////////////////////////////////////////////
+
+bool
+pmt_is_uniform_vector(pmt_t x)
+{
+  return x->is_uniform_vector();
+}
+
+const void *
+pmt_uniform_vector_elements(pmt_t vector, size_t &len)
+{
+  if (!vector->is_uniform_vector())
+    throw pmt_wrong_type("pmt_uniform_vector_elements", vector);
+  return _uniform_vector(vector)->uniform_elements(len);
+}
+
+void *
+pmt_uniform_vector_writable_elements(pmt_t vector, size_t &len)
+{
+  if (!vector->is_uniform_vector())
+    throw pmt_wrong_type("pmt_uniform_vector_writable_elements", vector);
+  return _uniform_vector(vector)->uniform_writable_elements(len);
+}
+
+////////////////////////////////////////////////////////////////////////////
+//                            Dictionaries
+////////////////////////////////////////////////////////////////////////////
+
+pmt_dict::pmt_dict()
+  : d_alist(PMT_NIL)
+{
+}
+
+void
+pmt_dict::set(pmt_t key, pmt_t value)
+{
+  pmt_t        p = pmt_assv(key, d_alist);     // look for (key . value) pair
+  if (pmt_is_pair(p)){                 // found existing pair...
+    pmt_set_cdr(p, value);             // overrwrite cdr with new value
+  }
+  else {                               // not in the dict
+    d_alist = pmt_cons(pmt_cons(key, value), d_alist); // add new (key . value) pair
+  }
+}
+
+pmt_t
+pmt_dict::ref(pmt_t key, pmt_t not_found) const
+{
+  pmt_t        p = pmt_assv(key, d_alist);     // look for (key . value) pair
+  if (pmt_is_pair(p))
+    return pmt_cdr(p);
+  else
+    return not_found;
+}
+
+bool
+pmt_dict::has_key(pmt_t key) const
+{
+  return pmt_is_pair(pmt_assv(key, d_alist));
+}
+
+pmt_t
+pmt_dict::items() const
+{
+  return d_alist;
+}
+
+pmt_t
+pmt_dict::keys() const
+{
+  return pmt_map(pmt_car, d_alist);
+}
+
+pmt_t
+pmt_dict::values() const
+{
+  return pmt_map(pmt_cdr, d_alist);
+}
+
+bool
+pmt_is_dict(pmt_t obj)
+{
+  return obj->is_dict();
+}
+
+pmt_t
+pmt_make_dict()
+{
+  return pmt_t(new pmt_dict());
+}
+
+void
+pmt_dict_set(pmt_t dict, pmt_t key, pmt_t value)
+{
+  if (!dict->is_dict())
+    throw pmt_wrong_type("pmt_dict_set", dict);
+
+  _dict(dict)->set(key, value);
+}
+
+bool
+pmt_dict_has_key(pmt_t dict, pmt_t key)
+{
+  if (!dict->is_dict())
+    throw pmt_wrong_type("pmt_dict_has_key", dict);
+
+  return _dict(dict)->has_key(key);
+}
+
+pmt_t
+pmt_dict_ref(pmt_t dict, pmt_t key, pmt_t not_found)
+{
+  if (!dict->is_dict())
+    throw pmt_wrong_type("pmt_dict_ref", dict);
+
+  return _dict(dict)->ref(key, not_found);
+}
+
+pmt_t
+pmt_dict_items(pmt_t dict)
+{
+  if (!dict->is_dict())
+    throw pmt_wrong_type("pmt_dict_items", dict);
+
+  return _dict(dict)->items();
+}
+
+pmt_t
+pmt_dict_keys(pmt_t dict)
+{
+  if (!dict->is_dict())
+    throw pmt_wrong_type("pmt_dict_keys", dict);
+
+  return _dict(dict)->keys();
+}
+
+pmt_t
+pmt_dict_values(pmt_t dict)
+{
+  if (!dict->is_dict())
+    throw pmt_wrong_type("pmt_dict_values", dict);
+
+  return _dict(dict)->values();
+}
+
+////////////////////////////////////////////////////////////////////////////
+//                                 Any
+////////////////////////////////////////////////////////////////////////////
+
+pmt_any::pmt_any(const boost::any &any) : d_any(any) {}
+
+bool
+pmt_is_any(pmt_t obj)
+{
+  return obj->is_any();
+}
+
+pmt_t
+pmt_make_any(const boost::any &any)
+{
+  return pmt_t(new pmt_any(any));
+}
+
+boost::any
+pmt_any_ref(pmt_t obj)
+{
+  if (!obj->is_any())
+    throw pmt_wrong_type("pmt_any_ref", obj);
+  return _any(obj)->ref();
+}
+
+void
+pmt_any_set(pmt_t obj, const boost::any &any)
+{
+  if (!obj->is_any())
+    throw pmt_wrong_type("pmt_any_set", obj);
+  _any(obj)->set(any);
+}
+
+////////////////////////////////////////////////////////////////////////////
+//                          General Functions
+////////////////////////////////////////////////////////////////////////////
+
+bool
+pmt_eq(pmt_t x, pmt_t y)
+{
+  return x == y;
+}
+
+bool
+pmt_eqv(pmt_t x, pmt_t y)
+{
+  if (x == y)
+    return true;
+
+  if (x->is_integer() && y->is_integer())
+    return _integer(x)->value() == _integer(y)->value();
+
+  if (x->is_real() && y->is_real())
+    return _real(x)->value() == _real(y)->value();
+
+  if (x->is_complex() && y->is_complex())
+    return _complex(x)->value() == _complex(y)->value();
+
+  return false;
+}
+
+bool
+pmt_equal(pmt_t x, pmt_t y)
+{
+  if (pmt_eqv(x, y))
+    return true;
+
+  if (x->is_pair() && y->is_pair())
+    return pmt_equal(pmt_car(x), pmt_car(y)) && pmt_equal(pmt_cdr(x), pmt_cdr(y));
+
+  if (x->is_vector() && y->is_vector()){
+    pmt_vector *xv = _vector(x);
+    pmt_vector *yv = _vector(y);
+    if (xv->length() != yv->length())
+      return false;
+
+    for (unsigned i = 0; i < xv->length(); i++)
+      if (!pmt_equal(xv->_ref(i), yv->_ref(i)))
+       return false;
+
+    return true;
+  }
+
+  if (x->is_uniform_vector() && y->is_uniform_vector()){
+    pmt_uniform_vector *xv = _uniform_vector(x);
+    pmt_uniform_vector *yv = _uniform_vector(y);
+    if (xv->length() != yv->length())
+      return false;
+
+    size_t len_x, len_y;
+    if (memcmp(xv->uniform_elements(len_x),
+              yv->uniform_elements(len_y),
+              len_x) == 0)
+      return true;
+
+    return true;
+  }
+
+  // FIXME add other cases here...
+
+  return false;
+}
+
+size_t
+pmt_length(pmt_t x)
+{
+  if (x->is_vector())
+    return _vector(x)->length();
+
+  if (x->is_uniform_vector())
+    return _uniform_vector(x)->length();
+
+  if (x->is_pair() || x->is_null()) {
+    size_t length=0;
+    while (pmt_is_pair(x)){
+      length++;
+      x = pmt_cdr(x);
+    }
+    if (pmt_is_null(x))
+      return length;
+
+    // not a proper list
+    throw pmt_wrong_type("pmt_length", x);
+  }
+
+  // FIXME dictionary length (number of entries)
+
+  throw pmt_wrong_type("pmt_length", x);
+}
+
+pmt_t
+pmt_assq(pmt_t obj, pmt_t alist)
+{
+  while (pmt_is_pair(alist)){
+    pmt_t p = pmt_car(alist);
+    if (!pmt_is_pair(p))       // malformed alist
+      return PMT_F;
+
+    if (pmt_eq(obj, pmt_car(p)))
+      return p;
+
+    alist = pmt_cdr(alist);
+  }
+  return PMT_F;
+}
+
+pmt_t
+pmt_assv(pmt_t obj, pmt_t alist)
+{
+  while (pmt_is_pair(alist)){
+    pmt_t p = pmt_car(alist);
+    if (!pmt_is_pair(p))       // malformed alist
+      return PMT_F;
+
+    if (pmt_eqv(obj, pmt_car(p)))
+      return p;
+
+    alist = pmt_cdr(alist);
+  }
+  return PMT_F;
+}
+
+pmt_t
+pmt_assoc(pmt_t obj, pmt_t alist)
+{
+  while (pmt_is_pair(alist)){
+    pmt_t p = pmt_car(alist);
+    if (!pmt_is_pair(p))       // malformed alist
+      return PMT_F;
+
+    if (pmt_equal(obj, pmt_car(p)))
+      return p;
+
+    alist = pmt_cdr(alist);
+  }
+  return PMT_F;
+}
+
+pmt_t
+pmt_map(pmt_t proc(pmt_t), pmt_t list)
+{
+  pmt_t r = PMT_NIL;
+
+  while(pmt_is_pair(list)){
+    r = pmt_cons(proc(pmt_car(list)), r);
+    list = pmt_cdr(list);
+  }
+
+  return pmt_reverse_x(r);
+}
+
+pmt_t
+pmt_reverse(pmt_t listx)
+{
+  pmt_t list = listx;
+  pmt_t r = PMT_NIL;
+
+  while(pmt_is_pair(list)){
+    r = pmt_cons(pmt_car(list), r);
+    list = pmt_cdr(list);
+  }
+  if (pmt_is_null(list))
+    return r;
+  else
+    throw pmt_wrong_type("pmt_reverse", listx);
+}
+
+pmt_t
+pmt_reverse_x(pmt_t list)
+{
+  // FIXME do it destructively
+  return pmt_reverse(list);
+}
+
+pmt_t
+pmt_nth(size_t n, pmt_t list)
+{
+  pmt_t t = pmt_nthcdr(n, list);
+  if (pmt_is_pair(t))
+    return pmt_car(t);
+  else
+    return PMT_NIL;
+}
+
+pmt_t
+pmt_nthcdr(size_t n, pmt_t list)
+{
+  if (!(pmt_is_null(list) || pmt_is_pair(list)))
+    throw pmt_wrong_type("pmt_nthcdr", list);
+    
+  while (n > 0){
+    if (pmt_is_pair(list)){
+      list = pmt_cdr(list);
+      n--;
+      continue;
+    }
+    if (pmt_is_null(list))
+      return PMT_NIL;
+    else
+      throw pmt_wrong_type("pmt_nthcdr: not a LIST", list);
+  }
+  return list;
+}
+
+pmt_t
+pmt_memq(pmt_t obj, pmt_t list)
+{
+  while (pmt_is_pair(list)){
+    if (pmt_eq(obj, pmt_car(list)))
+      return list;
+    list = pmt_cdr(list);
+  }
+  return PMT_F;
+}
+
+pmt_t
+pmt_memv(pmt_t obj, pmt_t list)
+{
+  while (pmt_is_pair(list)){
+    if (pmt_eqv(obj, pmt_car(list)))
+      return list;
+    list = pmt_cdr(list);
+  }
+  return PMT_F;
+}
+
+pmt_t
+pmt_member(pmt_t obj, pmt_t list)
+{
+  while (pmt_is_pair(list)){
+    if (pmt_equal(obj, pmt_car(list)))
+      return list;
+    list = pmt_cdr(list);
+  }
+  return PMT_F;
+}
+
+bool
+pmt_subsetp(pmt_t list1, pmt_t list2)
+{
+  while (pmt_is_pair(list1)){
+    pmt_t p = pmt_car(list1);
+    if (pmt_is_false(pmt_memv(p, list2)))
+      return false;
+    list1 = pmt_cdr(list1);
+  }
+  return true;
+}
+
+pmt_t
+pmt_list1(pmt_t x1)
+{
+  return pmt_cons(x1, PMT_NIL);
+}
+
+pmt_t
+pmt_list2(pmt_t x1, pmt_t x2)
+{
+  return pmt_cons(x1, pmt_cons(x2, PMT_NIL));
+}
+
+pmt_t
+pmt_list3(pmt_t x1, pmt_t x2, pmt_t x3)
+{
+  return pmt_cons(x1, pmt_cons(x2, pmt_cons(x3, PMT_NIL)));
+}
+
+pmt_t
+pmt_list4(pmt_t x1, pmt_t x2, pmt_t x3, pmt_t x4)
+{
+  return pmt_cons(x1, pmt_cons(x2, pmt_cons(x3, pmt_cons(x4, PMT_NIL))));
+}
+
+pmt_t
+pmt_list5(pmt_t x1, pmt_t x2, pmt_t x3, pmt_t x4, pmt_t x5)
+{
+  return pmt_cons(x1, pmt_cons(x2, pmt_cons(x3, pmt_cons(x4, pmt_cons(x5, PMT_NIL)))));
+}
+
+pmt_t
+pmt_list6(pmt_t x1, pmt_t x2, pmt_t x3, pmt_t x4, pmt_t x5, pmt_t x6)
+{
+  return pmt_cons(x1, pmt_cons(x2, pmt_cons(x3, pmt_cons(x4, pmt_cons(x5, pmt_cons(x6, PMT_NIL))))));
+}
+
+pmt_t
+pmt_list_add(pmt_t list, pmt_t item)
+{
+  return pmt_reverse(pmt_cons(item, pmt_reverse(list)));
+}
+
+pmt_t
+pmt_caar(pmt_t pair)
+{
+  return (pmt_car(pmt_car(pair)));
+}
+
+pmt_t
+pmt_cadr(pmt_t pair)
+{
+  return pmt_car(pmt_cdr(pair));
+}
+
+pmt_t
+pmt_cdar(pmt_t pair)
+{
+  return pmt_cdr(pmt_car(pair));
+}
+
+pmt_t
+pmt_cddr(pmt_t pair)
+{
+  return pmt_cdr(pmt_cdr(pair));
+}
+
+pmt_t
+pmt_caddr(pmt_t pair)
+{
+  return pmt_car(pmt_cdr(pmt_cdr(pair)));
+}
+
+pmt_t
+pmt_cadddr(pmt_t pair)
+{
+  return pmt_car(pmt_cdr(pmt_cdr(pmt_cdr(pair))));
+}
+  
+bool
+pmt_is_eof_object(pmt_t obj)
+{
+  return pmt_eq(obj, PMT_EOF);
+}
+
+void
+pmt_dump_sizeof()
+{
+  printf("sizeof(pmt_t)              = %3zd\n", sizeof(pmt_t));
+  printf("sizeof(pmt_base)           = %3zd\n", sizeof(pmt_base));
+  printf("sizeof(pmt_bool)           = %3zd\n", sizeof(pmt_bool));
+  printf("sizeof(pmt_symbol)         = %3zd\n", sizeof(pmt_symbol));
+  printf("sizeof(pmt_integer)        = %3zd\n", sizeof(pmt_integer));
+  printf("sizeof(pmt_real)           = %3zd\n", sizeof(pmt_real));
+  printf("sizeof(pmt_complex)        = %3zd\n", sizeof(pmt_complex));
+  printf("sizeof(pmt_null)           = %3zd\n", sizeof(pmt_null));
+  printf("sizeof(pmt_pair)           = %3zd\n", sizeof(pmt_pair));
+  printf("sizeof(pmt_vector)         = %3zd\n", sizeof(pmt_vector));
+  printf("sizeof(pmt_dict)           = %3zd\n", sizeof(pmt_dict));
+  printf("sizeof(pmt_uniform_vector) = %3zd\n", sizeof(pmt_uniform_vector));
+}
diff --git a/pmt/src/lib/pmt.h b/pmt/src/lib/pmt.h
new file mode 100644 (file)
index 0000000..a69d24a
--- /dev/null
@@ -0,0 +1,678 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006,2009 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifndef INCLUDED_PMT_H
+#define INCLUDED_PMT_H
+
+#include <boost/shared_ptr.hpp>
+#include <boost/any.hpp>
+#include <complex>
+#include <string>
+#include <stdint.h>
+#include <iosfwd>
+#include <stdexcept>
+
+/*!
+ * This file defines a polymorphic type and the operations on it.
+ *
+ * It draws heavily on the idea of scheme and lisp data types.
+ * The interface parallels that in Guile 1.8, with the notable
+ * exception that these objects are transparently reference counted.
+ */
+
+/*!
+ * \brief base class of all pmt types
+ */
+class pmt_base;
+
+/*!
+ * \brief typedef for shared pointer (transparent reference counting).
+ * See http://www.boost.org/libs/smart_ptr/smart_ptr.htm
+ */
+typedef boost::shared_ptr<pmt_base> pmt_t;
+
+
+class pmt_exception : public std::logic_error
+{
+public:
+  pmt_exception(const std::string &msg, pmt_t obj);
+};
+
+class pmt_wrong_type : public pmt_exception
+{
+public:
+  pmt_wrong_type(const std::string &msg, pmt_t obj);
+};
+
+class pmt_out_of_range : public pmt_exception
+{
+public:
+  pmt_out_of_range(const std::string &msg, pmt_t obj);
+};
+
+class pmt_notimplemented : public pmt_exception
+{
+public:
+  pmt_notimplemented(const std::string &msg, pmt_t obj);
+};
+
+/*
+ * ------------------------------------------------------------------------
+ * Booleans.  Two constants, #t and #f.
+ *
+ * In predicates, anything that is not #f is considered true.
+ * I.e., there is a single false value, #f.
+ * ------------------------------------------------------------------------
+ */
+extern const pmt_t PMT_T;      //< \#t : boolean true constant
+extern const pmt_t PMT_F;      //< \#f : boolean false constant
+
+//! Return true if obj is \#t or \#f, else return false.
+bool pmt_is_bool(pmt_t obj);
+
+//! Return false if obj is \#f, else return true.
+bool pmt_is_true(pmt_t obj);
+
+//! Return true if obj is \#f, else return true.
+bool pmt_is_false(pmt_t obj);
+
+//! Return \#f is val is false, else return \#t.
+pmt_t pmt_from_bool(bool val);
+
+//! Return true if val is PMT_T, return false when val is PMT_F, 
+// else raise wrong_type exception.
+bool pmt_to_bool(pmt_t val);
+
+/*
+ * ------------------------------------------------------------------------
+ *                            Symbols
+ * ------------------------------------------------------------------------
+ */
+
+//! Return true if obj is a symbol, else false.
+bool pmt_is_symbol(pmt_t obj);
+
+//! Return the symbol whose name is \p s.
+pmt_t pmt_string_to_symbol(const std::string &s);
+
+//! Alias for pmt_string_to_symbol
+pmt_t pmt_intern(const std::string &s);
+
+
+/*!
+ * If \p is a symbol, return the name of the symbol as a string.
+ * Otherwise, raise the wrong_type exception.
+ */
+const std::string pmt_symbol_to_string(pmt_t sym);
+
+/*
+ * ------------------------------------------------------------------------
+ *           Numbers: we support integer, real and complex
+ * ------------------------------------------------------------------------
+ */
+
+//! Return true if obj is any kind of number, else false.
+bool pmt_is_number(pmt_t obj);
+
+/*
+ * ------------------------------------------------------------------------
+ *                            Integers
+ * ------------------------------------------------------------------------
+ */
+
+//! Return true if \p x is an integer number, else false
+bool pmt_is_integer(pmt_t x);
+
+//! Return the pmt value that represents the integer \p x.
+pmt_t pmt_from_long(long x);
+
+/*!
+ * \brief Convert pmt to long if possible.
+ *
+ * When \p x represents an exact integer that fits in a long,
+ * return that integer.  Else raise an exception, either wrong_type
+ * when x is not an exact integer, or out_of_range when it doesn't fit.
+ */
+long pmt_to_long(pmt_t x);
+
+/*
+ * ------------------------------------------------------------------------
+ *                             Reals
+ * ------------------------------------------------------------------------
+ */
+
+/*
+ * \brief Return true if \p obj is a real number, else false.
+ */
+bool pmt_is_real(pmt_t obj);
+
+//! Return the pmt value that represents double \p x.
+pmt_t pmt_from_double(double x);
+
+/*!
+ * \brief Convert pmt to double if possible.
+ *
+ * Returns the number closest to \p val that is representable
+ * as a double.  The argument \p val must be a real or integer, otherwise
+ * a wrong_type exception is raised.
+ */
+double pmt_to_double(pmt_t x);
+
+/*
+ * ------------------------------------------------------------------------
+ *                            Complex
+ * ------------------------------------------------------------------------
+ */
+
+/*!
+ * \brief return true if \p obj is a complex number, false otherwise.
+ */
+bool pmt_is_complex(pmt_t obj);
+
+//! Return a complex number constructed of the given real and imaginary parts.
+pmt_t pmt_make_rectangular(double re, double im);
+
+/*!
+ * If \p z is complex, real or integer, return the closest complex<double>.
+ * Otherwise, raise the wrong_type exception.
+ */
+std::complex<double> pmt_to_complex(pmt_t z);
+
+/*
+ * ------------------------------------------------------------------------
+ *                             Pairs
+ * ------------------------------------------------------------------------
+ */
+
+extern const pmt_t PMT_NIL;    //< the empty list
+
+//! Return true if \p x is the empty list, otherwise return false.
+bool pmt_is_null(pmt_t x);
+
+//! Return true if \p obj is a pair, else false.
+bool pmt_is_pair(pmt_t obj);
+
+//! Return a newly allocated pair whose car is \p x and whose cdr is \p y.
+pmt_t pmt_cons(pmt_t x, pmt_t y);
+
+//! If \p pair is a pair, return the car of the \p pair, otherwise raise wrong_type.
+pmt_t pmt_car(pmt_t pair);
+
+//! If \p pair is a pair, return the cdr of the \p pair, otherwise raise wrong_type.
+pmt_t pmt_cdr(pmt_t pair);
+
+//! Stores \p value in the car field of \p pair.
+void pmt_set_car(pmt_t pair, pmt_t value);
+
+//! Stores \p value in the cdr field of \p pair.
+void pmt_set_cdr(pmt_t pair, pmt_t value);
+
+pmt_t pmt_caar(pmt_t pair);
+pmt_t pmt_cadr(pmt_t pair);
+pmt_t pmt_cdar(pmt_t pair);
+pmt_t pmt_cddr(pmt_t pair);
+pmt_t pmt_caddr(pmt_t pair);
+pmt_t pmt_cadddr(pmt_t pair);
+
+/*
+ * ------------------------------------------------------------------------
+ *                            Vectors
+ *
+ * These vectors can hold any kind of objects.  Indexing is zero based.
+ * ------------------------------------------------------------------------
+ */
+
+//! Return true if \p x is a vector, othewise false.
+bool pmt_is_vector(pmt_t x);
+
+//! Make a vector of length \p k, with initial values set to \p fill
+pmt_t pmt_make_vector(size_t k, pmt_t fill);
+
+/*!
+ * Return the contents of position \p k of \p vector.
+ * \p k must be a valid index of \p vector.
+ */
+pmt_t pmt_vector_ref(pmt_t vector, size_t k);
+
+//! Store \p obj in position \p k.
+void pmt_vector_set(pmt_t vector, size_t k, pmt_t obj);
+
+//! Store \p fill in every position of \p vector
+void pmt_vector_fill(pmt_t vector, pmt_t fill);
+
+/*!
+ * <pre>
+ * ------------------------------------------------------------------------
+ *                    Uniform Numeric Vectors
+ *
+ * A uniform numeric vector is a vector whose elements are all of single
+ * numeric type.  pmt offers uniform numeric vectors for signed and
+ * unsigned 8-bit, 16-bit, 32-bit, and 64-bit integers, two sizes of
+ * floating point values, and complex floating-point numbers of these
+ * two sizes.  Indexing is zero based.
+ *
+ * The names of the functions include these tags in their names:
+ *
+ *    u8  unsigned 8-bit integers
+ *    s8  signed 8-bit integers
+ *   u16  unsigned 16-bit integers
+ *   s16  signed 16-bit integers
+ *   u32  unsigned 32-bit integers
+ *   s32  signed 32-bit integers
+ *   u64  unsigned 64-bit integers
+ *   s64  signed 64-bit integers
+ *   f32  the C++ type float
+ *   f64  the C++ type double
+ *   c32  the C++ type complex<float>
+ *   c64  the C++ type complex<double>
+ * ------------------------------------------------------------------------
+ * </pre>
+ */
+
+//! true if \p x is any kind of uniform numeric vector
+bool pmt_is_uniform_vector(pmt_t x);  
+
+bool pmt_is_u8vector(pmt_t x);
+bool pmt_is_s8vector(pmt_t x);
+bool pmt_is_u16vector(pmt_t x);
+bool pmt_is_s16vector(pmt_t x);
+bool pmt_is_u32vector(pmt_t x);
+bool pmt_is_s32vector(pmt_t x);
+bool pmt_is_u64vector(pmt_t x);
+bool pmt_is_s64vector(pmt_t x);
+bool pmt_is_f32vector(pmt_t x);
+bool pmt_is_f64vector(pmt_t x);
+bool pmt_is_c32vector(pmt_t x);
+bool pmt_is_c64vector(pmt_t x);
+
+pmt_t pmt_make_u8vector(size_t k, uint8_t fill);
+pmt_t pmt_make_s8vector(size_t k, int8_t fill);
+pmt_t pmt_make_u16vector(size_t k, uint16_t fill);
+pmt_t pmt_make_s16vector(size_t k, int16_t fill);
+pmt_t pmt_make_u32vector(size_t k, uint32_t fill);
+pmt_t pmt_make_s32vector(size_t k, int32_t fill);
+pmt_t pmt_make_u64vector(size_t k, uint64_t fill);
+pmt_t pmt_make_s64vector(size_t k, int64_t fill);
+pmt_t pmt_make_f32vector(size_t k, float fill);
+pmt_t pmt_make_f64vector(size_t k, double fill);
+pmt_t pmt_make_c32vector(size_t k, std::complex<float> fill);
+pmt_t pmt_make_c64vector(size_t k, std::complex<double> fill);
+
+pmt_t pmt_init_u8vector(size_t k, const uint8_t *data);
+pmt_t pmt_init_s8vector(size_t k, const int8_t *data);
+pmt_t pmt_init_u16vector(size_t k, const uint16_t *data);
+pmt_t pmt_init_s16vector(size_t k, const int16_t *data);
+pmt_t pmt_init_u32vector(size_t k, const uint32_t *data);
+pmt_t pmt_init_s32vector(size_t k, const int32_t *data);
+pmt_t pmt_init_u64vector(size_t k, const uint64_t *data);
+pmt_t pmt_init_s64vector(size_t k, const int64_t *data);
+pmt_t pmt_init_f32vector(size_t k, const float *data);
+pmt_t pmt_init_f64vector(size_t k, const double *data);
+pmt_t pmt_init_c32vector(size_t k, const std::complex<float> *data);
+pmt_t pmt_init_c64vector(size_t k, const std::complex<double> *data);
+
+uint8_t  pmt_u8vector_ref(pmt_t v, size_t k);
+int8_t   pmt_s8vector_ref(pmt_t v, size_t k);
+uint16_t pmt_u16vector_ref(pmt_t v, size_t k);
+int16_t  pmt_s16vector_ref(pmt_t v, size_t k);
+uint32_t pmt_u32vector_ref(pmt_t v, size_t k);
+int32_t  pmt_s32vector_ref(pmt_t v, size_t k);
+uint64_t pmt_u64vector_ref(pmt_t v, size_t k);
+int64_t  pmt_s64vector_ref(pmt_t v, size_t k);
+float    pmt_f32vector_ref(pmt_t v, size_t k);
+double   pmt_f64vector_ref(pmt_t v, size_t k);
+std::complex<float>  pmt_c32vector_ref(pmt_t v, size_t k);
+std::complex<double> pmt_c64vector_ref(pmt_t v, size_t k);
+
+void pmt_u8vector_set(pmt_t v, size_t k, uint8_t x);  //< v[k] = x
+void pmt_s8vector_set(pmt_t v, size_t k, int8_t x);
+void pmt_u16vector_set(pmt_t v, size_t k, uint16_t x);
+void pmt_s16vector_set(pmt_t v, size_t k, int16_t x);
+void pmt_u32vector_set(pmt_t v, size_t k, uint32_t x);
+void pmt_s32vector_set(pmt_t v, size_t k, int32_t x);
+void pmt_u64vector_set(pmt_t v, size_t k, uint64_t x);
+void pmt_s64vector_set(pmt_t v, size_t k, int64_t x);
+void pmt_f32vector_set(pmt_t v, size_t k, float x);
+void pmt_f64vector_set(pmt_t v, size_t k, double x);
+void pmt_c32vector_set(pmt_t v, size_t k, std::complex<float> x);
+void pmt_c64vector_set(pmt_t v, size_t k, std::complex<double> x);
+
+// Return const pointers to the elements
+
+const void *pmt_uniform_vector_elements(pmt_t v, size_t &len);  //< works with any; len is in bytes
+
+const uint8_t  *pmt_u8vector_elements(pmt_t v, size_t &len);  //< len is in elements
+const int8_t   *pmt_s8vector_elements(pmt_t v, size_t &len);  //< len is in elements
+const uint16_t *pmt_u16vector_elements(pmt_t v, size_t &len); //< len is in elements
+const int16_t  *pmt_s16vector_elements(pmt_t v, size_t &len); //< len is in elements
+const uint32_t *pmt_u32vector_elements(pmt_t v, size_t &len); //< len is in elements
+const int32_t  *pmt_s32vector_elements(pmt_t v, size_t &len); //< len is in elements
+const uint64_t *pmt_u64vector_elements(pmt_t v, size_t &len); //< len is in elements
+const int64_t  *pmt_s64vector_elements(pmt_t v, size_t &len); //< len is in elements
+const float    *pmt_f32vector_elements(pmt_t v, size_t &len); //< len is in elements
+const double   *pmt_f64vector_elements(pmt_t v, size_t &len); //< len is in elements
+const std::complex<float>  *pmt_c32vector_elements(pmt_t v, size_t &len); //< len is in elements
+const std::complex<double> *pmt_c64vector_elements(pmt_t v, size_t &len); //< len is in elements
+
+// Return non-const pointers to the elements
+
+void *pmt_uniform_vector_writable_elements(pmt_t v, size_t &len);  //< works with any; len is in bytes
+
+uint8_t  *pmt_u8vector_writable_elements(pmt_t v, size_t &len);  //< len is in elements
+int8_t   *pmt_s8vector_writable_elements(pmt_t v, size_t &len);  //< len is in elements
+uint16_t *pmt_u16vector_writable_elements(pmt_t v, size_t &len); //< len is in elements
+int16_t  *pmt_s16vector_writable_elements(pmt_t v, size_t &len); //< len is in elements
+uint32_t *pmt_u32vector_writable_elements(pmt_t v, size_t &len); //< len is in elements
+int32_t  *pmt_s32vector_writable_elements(pmt_t v, size_t &len); //< len is in elements
+uint64_t *pmt_u64vector_writable_elements(pmt_t v, size_t &len); //< len is in elements
+int64_t  *pmt_s64vector_writable_elements(pmt_t v, size_t &len); //< len is in elements
+float    *pmt_f32vector_writable_elements(pmt_t v, size_t &len); //< len is in elements
+double   *pmt_f64vector_writable_elements(pmt_t v, size_t &len); //< len is in elements
+std::complex<float>  *pmt_c32vector_writable_elements(pmt_t v, size_t &len); //< len is in elements
+std::complex<double> *pmt_c64vector_writable_elements(pmt_t v, size_t &len); //< len is in elements
+
+/*
+ * ------------------------------------------------------------------------
+ *        Dictionary (a.k.a associative array, hash, map)
+ * ------------------------------------------------------------------------
+ */
+
+//! Return true if \p obj is a dictionary
+bool pmt_is_dict(pmt_t obj);
+
+//! make an empty dictionary
+pmt_t pmt_make_dict();
+
+//! dict[key] = value
+void  pmt_dict_set(pmt_t dict, pmt_t key, pmt_t value);
+
+//! Return true if \p key exists in \p dict
+bool  pmt_dict_has_key(pmt_t dict, pmt_t key);
+
+//! If \p key exists in \p dict, return associated value; otherwise return \p not_found.
+pmt_t pmt_dict_ref(pmt_t dict, pmt_t key, pmt_t not_found);
+
+//! Return list of (key . value) pairs
+pmt_t pmt_dict_items(pmt_t dict);
+
+//! Return list of keys
+pmt_t pmt_dict_keys(pmt_t dict);
+
+//! Return list of values
+pmt_t pmt_dict_values(pmt_t dict);
+
+/*
+ * ------------------------------------------------------------------------
+ *   Any (wraps boost::any -- can be used to wrap pretty much anything)
+ *
+ * Cannot be serialized or used across process boundaries.
+ * See http://www.boost.org/doc/html/any.html
+ * ------------------------------------------------------------------------
+ */
+
+//! Return true if \p obj is an any
+bool pmt_is_any(pmt_t obj);
+
+//! make an any
+pmt_t pmt_make_any(const boost::any &any);
+
+//! Return underlying boost::any
+boost::any pmt_any_ref(pmt_t obj);
+
+//! Store \p any in \p obj
+void pmt_any_set(pmt_t obj, const boost::any &any);
+
+
+/*
+ * ------------------------------------------------------------------------
+ *                       General functions
+ * ------------------------------------------------------------------------
+ */
+
+//! Return true if x and y are the same object; otherwise return false.
+bool pmt_eq(pmt_t x, pmt_t y);
+
+/*!
+ * \brief Return true if x and y should normally be regarded as the same object, else false.
+ *
+ * <pre>
+ * eqv returns true if:
+ *   x and y are the same object.
+ *   x and y are both \#t or both \#f.
+ *   x and y are both symbols and their names are the same.
+ *   x and y are both numbers, and are numerically equal.
+ *   x and y are both the empty list (nil).
+ *   x and y are pairs or vectors that denote same location in store.
+ * </pre>
+ */
+bool pmt_eqv(pmt_t x, pmt_t y);
+
+/*!
+ * pmt_equal recursively compares the contents of pairs and vectors,
+ * applying pmt_eqv on other objects such as numbers and symbols.  
+ * pmt_equal may fail to terminate if its arguments are circular data
+ * structures.
+ */
+bool pmt_equal(pmt_t x, pmt_t y);
+
+
+//! Return the number of elements in v
+size_t pmt_length(pmt_t v);
+
+/*!
+ * \brief Find the first pair in \p alist whose car field is \p obj
+ *  and return that pair.
+ *
+ * \p alist (for "association list") must be a list of pairs.  If no pair
+ * in \p alist has \p obj as its car then \#f is returned.
+ * Uses pmt_eq to compare \p obj with car fields of the pairs in \p alist.
+ */
+pmt_t pmt_assq(pmt_t obj, pmt_t alist);
+
+/*!
+ * \brief Find the first pair in \p alist whose car field is \p obj
+ *  and return that pair.
+ *
+ * \p alist (for "association list") must be a list of pairs.  If no pair
+ * in \p alist has \p obj as its car then \#f is returned.
+ * Uses pmt_eqv to compare \p obj with car fields of the pairs in \p alist.
+ */
+pmt_t pmt_assv(pmt_t obj, pmt_t alist);
+
+/*!
+ * \brief Find the first pair in \p alist whose car field is \p obj
+ *  and return that pair.
+ *
+ * \p alist (for "association list") must be a list of pairs.  If no pair
+ * in \p alist has \p obj as its car then \#f is returned.
+ * Uses pmt_equal to compare \p obj with car fields of the pairs in \p alist.
+ */
+pmt_t pmt_assoc(pmt_t obj, pmt_t alist);
+
+/*!
+ * \brief Apply \p proc element-wise to the elements of list and returns
+ * a list of the results, in order.
+ *
+ * \p list must be a list.  The dynamic order in which \p proc is
+ * applied to the elements of \p list is unspecified.
+ */
+pmt_t pmt_map(pmt_t proc(pmt_t), pmt_t list);
+
+/*!
+ * \brief reverse \p list.
+ *
+ * \p list must be a proper list.
+ */
+pmt_t pmt_reverse(pmt_t list);
+
+/*!
+ * \brief destructively reverse \p list.
+ *
+ * \p list must be a proper list.
+ */
+pmt_t pmt_reverse_x(pmt_t list);
+
+/*!
+ * \brief (acons x y a) == (cons (cons x y) a)
+ */
+inline static pmt_t
+pmt_acons(pmt_t x, pmt_t y, pmt_t a)
+{
+  return pmt_cons(pmt_cons(x, y), a);
+}
+
+/*!
+ * \brief locates \p nth element of \n list where the car is the 'zeroth' element.
+ */
+pmt_t pmt_nth(size_t n, pmt_t list);
+
+/*!
+ * \brief returns the tail of \p list that would be obtained by calling
+ * cdr \p n times in succession.
+ */
+pmt_t pmt_nthcdr(size_t n, pmt_t list);
+
+/*!
+ * \brief Return the first sublist of \p list whose car is \p obj.
+ * If \p obj does not occur in \p list, then \#f is returned.
+ * pmt_memq use pmt_eq to compare \p obj with the elements of \p list.
+ */
+pmt_t pmt_memq(pmt_t obj, pmt_t list);
+
+/*!
+ * \brief Return the first sublist of \p list whose car is \p obj.
+ * If \p obj does not occur in \p list, then \#f is returned.
+ * pmt_memv use pmt_eqv to compare \p obj with the elements of \p list.
+ */
+pmt_t pmt_memv(pmt_t obj, pmt_t list);
+
+/*!
+ * \brief Return the first sublist of \p list whose car is \p obj.
+ * If \p obj does not occur in \p list, then \#f is returned.
+ * pmt_member use pmt_equal to compare \p obj with the elements of \p list.
+ */
+pmt_t pmt_member(pmt_t obj, pmt_t list);
+
+/*!
+ * \brief Return true if every element of \p list1 appears in \p list2, and false otherwise.
+ * Comparisons are done with pmt_eqv.
+ */
+bool pmt_subsetp(pmt_t list1, pmt_t list2);
+
+/*!
+ * \brief Return a list of length 1 containing \p x1
+ */
+pmt_t pmt_list1(pmt_t x1);
+
+/*!
+ * \brief Return a list of length 2 containing \p x1, \p x2
+ */
+pmt_t pmt_list2(pmt_t x1, pmt_t x2);
+
+/*!
+ * \brief Return a list of length 3 containing \p x1, \p x2, \p x3
+ */
+pmt_t pmt_list3(pmt_t x1, pmt_t x2, pmt_t x3);
+
+/*!
+ * \brief Return a list of length 4 containing \p x1, \p x2, \p x3, \p x4
+ */
+pmt_t pmt_list4(pmt_t x1, pmt_t x2, pmt_t x3, pmt_t x4);
+
+/*!
+ * \brief Return a list of length 5 containing \p x1, \p x2, \p x3, \p x4, \p x5
+ */
+pmt_t pmt_list5(pmt_t x1, pmt_t x2, pmt_t x3, pmt_t x4, pmt_t x5);
+
+/*!
+ * \brief Return a list of length 6 containing \p x1, \p x2, \p x3, \p x4, \p
+ * x5, \p x6
+ */
+pmt_t pmt_list6(pmt_t x1, pmt_t x2, pmt_t x3, pmt_t x4, pmt_t x5, pmt_t x6);
+
+/*!
+ * \brief Return \p list with \p item added to it.
+ */
+pmt_t pmt_list_add(pmt_t list, pmt_t item);
+
+
+/*
+ * ------------------------------------------------------------------------
+ *                          read / write
+ * ------------------------------------------------------------------------
+ */
+extern const pmt_t PMT_EOF;    //< The end of file object
+
+//! return true if obj is the EOF object, otherwise return false.
+bool pmt_is_eof_object(pmt_t obj);
+
+/*!
+ * read converts external representations of pmt objects into the
+ * objects themselves.  Read returns the next object parsable from
+ * the given input port, updating port to point to the first
+ * character past the end of the external representation of the
+ * object.
+ *
+ * If an end of file is encountered in the input before any
+ * characters are found that can begin an object, then an end of file
+ * object is returned.   The port remains open, and further attempts
+ * to read will also return an end of file object.  If an end of file
+ * is encountered after the beginning of an object's external
+ * representation, but the external representation is incomplete and
+ * therefore not parsable, an error is signaled.
+ */
+pmt_t pmt_read(std::istream &port);
+
+/*!
+ * Write a written representation of \p obj to the given \p port.
+ */
+void pmt_write(pmt_t obj, std::ostream &port);
+
+/*!
+ * Return a string representation of \p obj.
+ * This is the same output as would be generated by pmt_write.
+ */
+std::string pmt_write_string(pmt_t obj);
+
+
+std::ostream& operator<<(std::ostream &os, pmt_t obj);
+
+
+/*
+ * ------------------------------------------------------------------------
+ *                   portable byte stream representation
+ * ------------------------------------------------------------------------
+ */
+/*!
+ * \brief Write portable byte-serial representation of \p obj to \p sink
+ */
+bool pmt_serialize(pmt_t obj, std::streambuf &sink);
+
+/*!
+ * \brief Create obj from portable byte-serial representation
+ */
+pmt_t pmt_deserialize(std::streambuf &source);
+
+
+void pmt_dump_sizeof();        // debugging
+
+#endif /* INCLUDED_PMT_H */
diff --git a/pmt/src/lib/pmt_int.h b/pmt/src/lib/pmt_int.h
new file mode 100644 (file)
index 0000000..285244c
--- /dev/null
@@ -0,0 +1,224 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+#ifndef INCLUDED_PMT_INT_H
+#define INCLUDED_PMT_INT_H
+
+#include <pmt.h>
+#include <boost/utility.hpp>
+
+/*
+ * EVERYTHING IN THIS FILE IS PRIVATE TO THE IMPLEMENTATION!
+ *
+ * See pmt.h for the public interface
+ */
+
+#define PMT_LOCAL_ALLOCATOR 0          // define to 0 or 1
+
+class pmt_base : boost::noncopyable {
+protected:
+  pmt_base(){};
+  virtual ~pmt_base();
+
+public:
+  virtual bool is_bool()    const { return false; }
+  virtual bool is_symbol()  const { return false; }
+  virtual bool is_number()  const { return false; }
+  virtual bool is_integer() const { return false; }
+  virtual bool is_real()    const { return false; }
+  virtual bool is_complex() const { return false; }
+  virtual bool is_null()    const { return false; }
+  virtual bool is_pair()    const { return false; }
+  virtual bool is_vector()  const { return false; }
+  virtual bool is_dict()    const { return false; }
+  virtual bool is_any()     const { return false; }
+
+  virtual bool is_uniform_vector() const { return false; }
+  virtual bool is_u8vector()  const { return false; }
+  virtual bool is_s8vector()  const { return false; }
+  virtual bool is_u16vector() const { return false; }
+  virtual bool is_s16vector() const { return false; }
+  virtual bool is_u32vector() const { return false; }
+  virtual bool is_s32vector() const { return false; }
+  virtual bool is_u64vector() const { return false; }
+  virtual bool is_s64vector() const { return false; }
+  virtual bool is_f32vector() const { return false; }
+  virtual bool is_f64vector() const { return false; }
+  virtual bool is_c32vector() const { return false; }
+  virtual bool is_c64vector() const { return false; }
+
+# if (PMT_LOCAL_ALLOCATOR)
+  void *operator new(size_t);
+  void operator delete(void *, size_t);
+#endif
+};
+
+class pmt_bool : public pmt_base
+{
+public:
+  pmt_bool();
+  //~pmt_bool(){}
+
+  bool is_bool() const { return true; }
+};
+
+
+class pmt_symbol : public pmt_base
+{
+  std::string  d_name;
+  pmt_t                d_next;
+  
+public:
+  pmt_symbol(const std::string &name);
+  //~pmt_symbol(){}
+
+  bool is_symbol() const { return true; }
+  const std::string name() { return d_name; }
+
+  pmt_t next() { return d_next; }              // symbol table link
+  void set_next(pmt_t next) { d_next = next; }
+};
+
+class pmt_integer : public pmt_base
+{
+  long         d_value;
+
+public:
+  pmt_integer(long value);
+  //~pmt_integer(){}
+
+  bool is_number()  const { return true; }
+  bool is_integer() const { return true; }
+  long value() const { return d_value; }
+};
+
+class pmt_real : public pmt_base
+{
+  double       d_value;
+
+public:
+  pmt_real(double value);
+  //~pmt_real(){}
+
+  bool is_number()  const { return true; }
+  bool is_real() const { return true; }
+  double value() const { return d_value; }
+};
+
+class pmt_complex : public pmt_base
+{
+  std::complex<double> d_value;
+
+public:
+  pmt_complex(std::complex<double> value);
+  //~pmt_complex(){}
+
+  bool is_number()  const { return true; }
+  bool is_complex() const { return true; }
+  std::complex<double> value() const { return d_value; }
+};
+
+class pmt_null  : public pmt_base
+{
+public:
+  pmt_null();
+  //~pmt_null(){}
+
+  bool is_null() const { return true; }
+};
+
+class pmt_pair : public pmt_base
+{
+  pmt_t                d_car;
+  pmt_t                d_cdr;
+
+public:
+  pmt_pair(pmt_t car, pmt_t cdr);
+  //~pmt_pair(){};
+
+  bool is_pair() const { return true; }
+  pmt_t car() const { return d_car; }
+  pmt_t cdr() const { return d_cdr; }
+
+  void set_car(pmt_t car) { d_car = car; }
+  void set_cdr(pmt_t cdr) { d_cdr = cdr; }
+};
+
+class pmt_vector : public pmt_base
+{
+  std::vector<pmt_t>   d_v;
+
+public:
+  pmt_vector(size_t len, pmt_t fill);
+  //~pmt_vector();
+
+  bool is_vector() const { return true; }
+  pmt_t ref(size_t k) const;
+  void  set(size_t k, pmt_t obj);
+  void  fill(pmt_t fill);
+  size_t length() const { return d_v.size(); }
+
+  pmt_t _ref(size_t k) const { return d_v[k]; }
+};
+
+class pmt_dict : public pmt_base
+{
+  pmt_t                d_alist;        // list of (key . value) pairs
+
+public:
+  pmt_dict();
+  //~pmt_dict();
+
+  bool  is_dict() const { return true; }
+  void  set(pmt_t key, pmt_t value);
+  pmt_t ref(pmt_t key, pmt_t default_value) const;
+  bool  has_key(pmt_t key) const;
+  pmt_t items() const;
+  pmt_t keys() const;
+  pmt_t values() const;
+};
+
+class pmt_any : public pmt_base
+{
+  boost::any   d_any;
+
+public:
+  pmt_any(const boost::any &any);
+  //~pmt_any();
+
+  bool is_any() const { return true; }
+  const boost::any &ref() const { return d_any; }
+  void  set(const boost::any &any) { d_any = any; }
+};
+
+
+class pmt_uniform_vector : public pmt_base
+{
+public:
+  bool is_uniform_vector() const { return true; }
+  virtual const void *uniform_elements(size_t &len) = 0;
+  virtual void *uniform_writable_elements(size_t &len) = 0;
+  virtual size_t length() const = 0;
+};
+
+#include "pmt_unv_int.h"
+
+#endif /* INCLUDED_PMT_INT_H */
diff --git a/pmt/src/lib/pmt_io.cc b/pmt/src/lib/pmt_io.cc
new file mode 100644 (file)
index 0000000..3bbf430
--- /dev/null
@@ -0,0 +1,138 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <vector>
+#include <pmt.h>
+#include "pmt_int.h"
+#include <sstream>
+
+static void
+pmt_write_list_tail(pmt_t obj, std::ostream &port)
+{
+  pmt_write(pmt_car(obj), port); // write the car
+  obj = pmt_cdr(obj);           // step to cdr
+
+  if (pmt_is_null(obj))                 // ()
+    port << ")";
+
+  else if (pmt_is_pair(obj)){   // normal list
+    port << " ";
+    pmt_write_list_tail(obj, port);
+  }
+  else {                        // dotted pair
+    port << " . ";
+    pmt_write(obj, port);
+    port << ")";
+  }
+}
+
+void
+pmt_write(pmt_t obj, std::ostream &port)
+{
+  if (pmt_is_bool(obj)){
+    if (pmt_is_true(obj))
+      port << "#t";
+    else
+      port << "#f";
+  }
+  else if (pmt_is_symbol(obj)){
+    port << pmt_symbol_to_string(obj);
+  }
+  else if (pmt_is_number(obj)){
+    if (pmt_is_integer(obj))
+      port << pmt_to_long(obj);
+    else if (pmt_is_real(obj))
+      port << pmt_to_double(obj);
+    else if (pmt_is_complex(obj)){
+      std::complex<double> c = pmt_to_complex(obj);
+      port << c.real() << '+' << c.imag() << 'i';
+    }
+    else
+      goto error;
+  }
+  else if (pmt_is_null(obj)){
+    port << "()";
+  }
+  else if (pmt_is_pair(obj)){
+    port << "(";
+    pmt_write_list_tail(obj, port);
+  }
+  else if (pmt_is_dict(obj)){
+    // FIXME
+    // port << "#<dict " << obj << ">";
+    port << "#<dict>";
+  }
+  else if (pmt_is_vector(obj)){
+    // FIXME
+    // port << "#<vector " << obj << ">";
+    port << "#<vector>";
+  }
+  else if (pmt_is_uniform_vector(obj)){
+    // FIXME
+    // port << "#<uniform-vector " << obj << ">";
+    port << "#<uniform-vector>";
+  }
+  else {
+  error:
+    // FIXME
+    // port << "#<" << obj << ">";
+    port << "#<unknown>";
+  }
+}
+
+std::ostream& operator<<(std::ostream &os, pmt_t obj)
+{
+  pmt_write(obj, os);
+  return os;
+}
+
+std::string 
+pmt_write_string(pmt_t obj)
+{
+  std::ostringstream s;
+  s << obj;
+  return s.str();
+}
+
+pmt_t
+pmt_read(std::istream &port)
+{
+  throw pmt_notimplemented("notimplemented: pmt_read", PMT_NIL);
+}
+
+void
+pmt_serialize(pmt_t obj, std::ostream &sink)
+{
+  throw pmt_notimplemented("notimplemented: pmt_serialize", obj);
+}
+
+/*!
+ * \brief Create obj from portable byte-serial representation
+ */
+pmt_t 
+pmt_deserialize(std::istream &source)
+{
+  throw pmt_notimplemented("notimplemented: pmt_deserialize", PMT_NIL);
+}
+
diff --git a/pmt/src/lib/pmt_pool.cc b/pmt/src/lib/pmt_pool.cc
new file mode 100644 (file)
index 0000000..05d9c00
--- /dev/null
@@ -0,0 +1,109 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <pmt_pool.h>
+#include <algorithm>
+#include <stdint.h>
+
+static inline size_t
+ROUNDUP(size_t x, size_t stride)
+{
+  return ((((x) + (stride) - 1)/(stride)) * (stride));
+}
+
+pmt_pool::pmt_pool(size_t itemsize, size_t alignment,
+                  size_t allocation_size, size_t max_items)
+  : d_cond(&d_mutex),
+    d_itemsize(ROUNDUP(itemsize, alignment)),
+    d_alignment(alignment),
+    d_allocation_size(std::max(allocation_size, 16 * itemsize)),
+    d_max_items(max_items), d_n_items(0),
+    d_freelist(0)
+{
+}
+
+pmt_pool::~pmt_pool()
+{
+  for (unsigned int i = 0; i < d_allocations.size(); i++){
+    delete [] d_allocations[i];
+  }
+}
+
+void *
+pmt_pool::malloc()
+{
+  omni_mutex_lock l(d_mutex);
+  item *p;
+
+  if (d_max_items != 0){
+    while (d_n_items >= d_max_items)
+      d_cond.wait();
+  }
+
+  if (d_freelist){     // got something?
+    p = d_freelist;
+    d_freelist = p->d_next;
+    d_n_items++;
+    return p;
+  }
+
+  // allocate a new chunk
+  char *alloc = new char[d_allocation_size + d_alignment - 1];
+  d_allocations.push_back(alloc);
+
+  // get the alignment we require
+  char *start = (char *)(((uintptr_t)alloc + d_alignment-1) & -d_alignment);
+  char *end = alloc + d_allocation_size + d_alignment - 1;
+  size_t n = (end - start) / d_itemsize;
+
+  // link the new items onto the free list.
+  p = (item *) start;
+  for (size_t i = 0; i < n; i++){
+    p->d_next = d_freelist;
+    d_freelist = p;
+    p = (item *)((char *) p + d_itemsize);
+  }
+
+  // now return the first one
+  p = d_freelist;
+  d_freelist = p->d_next;
+  d_n_items++;
+  return p;
+}
+
+void
+pmt_pool::free(void *foo)
+{
+  if (!foo)
+    return;
+
+  omni_mutex_lock l(d_mutex);
+
+  item *p = (item *) foo;
+  p->d_next = d_freelist;
+  d_freelist = p;
+  d_n_items--;
+  if (d_max_items != 0)
+    d_cond.signal();
+}
diff --git a/pmt/src/lib/pmt_pool.h b/pmt/src/lib/pmt_pool.h
new file mode 100644 (file)
index 0000000..dd63d44
--- /dev/null
@@ -0,0 +1,67 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+#ifndef INCLUDED_PMT_POOL_H
+#define INCLUDED_PMT_POOL_H
+
+#include <cstddef>
+#include <gnuradio/omnithread.h>
+#include <vector>
+
+/*!
+ * \brief very simple thread-safe fixed-size allocation pool
+ *
+ * FIXME may want to go to global allocation with per-thread free list.
+ * This would eliminate virtually all lock contention.
+ */
+class pmt_pool {
+
+  struct item {
+    struct item        *d_next;
+  };
+  
+  omni_mutex         d_mutex;
+  omni_condition      d_cond;
+  
+  size_t             d_itemsize;
+  size_t             d_alignment;
+  size_t             d_allocation_size;
+  size_t             d_max_items;
+  size_t             d_n_items;
+  item              *d_freelist;
+  std::vector<char *> d_allocations;
+
+public:
+  /*!
+   * \param itemsize size in bytes of the items to be allocated.
+   * \param alignment alignment in bytes of all objects to be allocated (must be power-of-2).
+   * \param allocation_size number of bytes to allocate at a time from the underlying allocator.
+   * \param max_items is the maximum number of items to allocate.  If this number is exceeded,
+   *         the allocate blocks.  0 implies no limit.
+   */
+  pmt_pool(size_t itemsize, size_t alignment = 16,
+          size_t allocation_size = 4096, size_t max_items = 0);
+  ~pmt_pool();
+
+  void *malloc();
+  void free(void *p);
+};
+
+#endif /* INCLUDED_PMT_POOL_H */
diff --git a/pmt/src/lib/pmt_serial_tags.h b/pmt/src/lib/pmt_serial_tags.h
new file mode 100644 (file)
index 0000000..6dbe649
--- /dev/null
@@ -0,0 +1,58 @@
+// 
+// Copyright 2007 Free Software Foundation, Inc.
+// 
+// This file is part of GNU Radio
+// 
+// GNU Radio is free software; you can redistribute it and/or modify
+// it under the terms of the GNU General Public License as published by
+// the Free Software Foundation; either version 3, or (at your option)
+// any later version.
+// 
+// GNU Radio is distributed in the hope that it will be useful,
+// but WITHOUT ANY WARRANTY; without even the implied warranty of
+// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+// GNU General Public License for more details.
+// 
+// You should have received a copy of the GNU General Public License along
+// with this program; if not, write to the Free Software Foundation, Inc.,
+// 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+// 
+// 
+// THIS FILE IS MACHINE GENERATED FROM pmt-serial-tags.scm. DO NOT EDIT BY HAND.
+// See pmt-serial-tags.scm for additional commentary.
+// 
+#ifndef INCLUDED_PMT_SERIAL_TAGS_H
+#define INCLUDED_PMT_SERIAL_TAGS_H
+
+enum pst_tags {
+  PST_TRUE     = 0x0,
+  PST_FALSE    = 0x1,
+  PST_SYMBOL   = 0x2,
+  PST_INT32    = 0x3,
+  PST_DOUBLE   = 0x4,
+  PST_COMPLEX  = 0x5,
+  PST_NULL     = 0x6,
+  PST_PAIR     = 0x7,
+  PST_VECTOR   = 0x8,
+  PST_DICT     = 0x9,
+  PST_UNIFORM_VECTOR   = 0xa,
+  UVI_ENDIAN_MASK      = 0x80,
+  UVI_SUBTYPE_MASK     = 0x7f,
+  UVI_LITTLE_ENDIAN    = 0x0,
+  UVI_BIG_ENDIAN       = 0x80,
+  UVI_U8       = 0x0,
+  UVI_S8       = 0x1,
+  UVI_U16      = 0x2,
+  UVI_S16      = 0x3,
+  UVI_U32      = 0x4,
+  UVI_S32      = 0x5,
+  UVI_U64      = 0x6,
+  UVI_S64      = 0x7,
+  UVI_F32      = 0x8,
+  UVI_F64      = 0x9,
+  UVI_C32      = 0xa,
+  UVI_C64      = 0xb,
+  PST_COMMENT  = 0x3b,
+  PST_COMMENT_END      = 0xa,
+};
+#endif
diff --git a/pmt/src/lib/pmt_serialize.cc b/pmt/src/lib/pmt_serialize.cc
new file mode 100644 (file)
index 0000000..1f1b57c
--- /dev/null
@@ -0,0 +1,353 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2007 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <vector>
+#include <pmt.h>
+#include "pmt_int.h"
+#include "pmt_serial_tags.h"
+
+static pmt_t parse_pair(std::streambuf &sb);
+
+// ----------------------------------------------------------------
+// output primitives
+// ----------------------------------------------------------------
+
+static bool
+serialize_untagged_u8(unsigned int i, std::streambuf &sb)
+{
+  return sb.sputc((i >> 0) & 0xff) != std::streambuf::traits_type::eof();
+}
+
+// always writes big-endian
+static bool
+serialize_untagged_u16(unsigned int i, std::streambuf &sb)
+{
+  sb.sputc((i >> 8) & 0xff);
+  return sb.sputc((i >> 0) & 0xff) != std::streambuf::traits_type::eof();
+}
+
+// always writes big-endian
+static bool
+serialize_untagged_u32(unsigned int i, std::streambuf &sb)
+{
+  sb.sputc((i >> 24) & 0xff);
+  sb.sputc((i >> 16) & 0xff);
+  sb.sputc((i >>  8) & 0xff);
+  return sb.sputc((i >> 0) & 0xff) != std::streambuf::traits_type::eof();
+}
+
+#if 0
+// always writes big-endian
+static bool
+serialize_untagged_u64(uint64_t i, std::streambuf &sb)
+{
+  sb.sputc((i >> 56) & 0xff);
+  sb.sputc((i >> 48) & 0xff);
+  sb.sputc((i >> 40) & 0xff);
+  sb.sputc((i >> 32) & 0xff);
+  sb.sputc((i >> 24) & 0xff);
+  sb.sputc((i >> 16) & 0xff);
+  sb.sputc((i >>  8) & 0xff);
+  return sb.sputc((i >> 0) & 0xff) != std::streambuf::traits_type::eof();
+}
+#endif
+
+// ----------------------------------------------------------------
+// input primitives
+// ----------------------------------------------------------------
+
+
+// always reads big-endian
+static bool
+deserialize_untagged_u8(uint8_t *ip, std::streambuf &sb)
+{
+  std::streambuf::traits_type::int_type  t;
+  int i;
+
+  t = sb.sbumpc();
+  i = t & 0xff;
+
+  *ip = i;
+  return t != std::streambuf::traits_type::eof();
+}
+
+// always reads big-endian
+static bool
+deserialize_untagged_u16(uint16_t *ip, std::streambuf &sb)
+{
+  std::streambuf::traits_type::int_type  t;
+  int i;
+
+  t = sb.sbumpc();
+  i = t & 0xff;
+
+  t = sb.sbumpc();
+  i = (i << 8) | (t & 0xff);
+
+  *ip = i;
+  return t != std::streambuf::traits_type::eof();
+}
+
+// always reads big-endian
+static bool
+deserialize_untagged_u32(uint32_t *ip, std::streambuf &sb)
+{
+  std::streambuf::traits_type::int_type  t;
+  int i;
+
+  t = sb.sbumpc();
+  i = t & 0xff;
+
+  t = sb.sbumpc();
+  i = (i << 8) | (t & 0xff);
+  t = sb.sbumpc();
+  i = (i << 8) | (t & 0xff);
+  t = sb.sbumpc();
+  i = (i << 8) | (t & 0xff);
+
+  *ip = i;
+  return t != std::streambuf::traits_type::eof();
+}
+
+#if 0
+// always reads big-endian
+static bool
+deserialize_untagged_u64(uint64_t *ip, std::streambuf &sb)
+{
+  std::streambuf::traits_type::int_type  t;
+  uint64_t i;
+
+  t = sb.sbumpc();
+  i = t & 0xff;
+
+  t = sb.sbumpc();
+  i = (i << 8) | (t & 0xff);
+  t = sb.sbumpc();
+  i = (i << 8) | (t & 0xff);
+  t = sb.sbumpc();
+  i = (i << 8) | (t & 0xff);
+  t = sb.sbumpc();
+  i = (i << 8) | (t & 0xff);
+  t = sb.sbumpc();
+  i = (i << 8) | (t & 0xff);
+  t = sb.sbumpc();
+  i = (i << 8) | (t & 0xff);
+  t = sb.sbumpc();
+  i = (i << 8) | (t & 0xff);
+
+  *ip = i;
+  return t != std::streambuf::traits_type::eof();
+}
+#endif
+
+/*
+ * Write portable byte-serial representation of \p obj to \p sb
+ *
+ * N.B., Circular structures cause infinite recursion.
+ */
+bool
+pmt_serialize(pmt_t obj, std::streambuf &sb)
+{
+  bool ok = true;
+
+ tail_recursion:
+
+  if (pmt_is_bool(obj)){
+    if (pmt_eq(obj, PMT_T))
+      return serialize_untagged_u8(PST_TRUE, sb);
+    else
+      return serialize_untagged_u8(PST_FALSE, sb);
+  }
+  
+  if (pmt_is_null(obj))
+    return serialize_untagged_u8(PST_NULL, sb);
+
+  if (pmt_is_symbol(obj)){
+    const std::string s = pmt_symbol_to_string(obj);
+    size_t len = s.size();
+    ok = serialize_untagged_u8(PST_SYMBOL, sb);
+    ok &= serialize_untagged_u16(len, sb);
+    for (size_t i = 0; i < len; i++)
+      ok &= serialize_untagged_u8(s[i], sb);
+    return ok;
+  }
+
+  if (pmt_is_pair(obj)){
+    ok = serialize_untagged_u8(PST_PAIR, sb);
+    ok &= pmt_serialize(pmt_car(obj), sb);
+    if (!ok)
+      return false;
+    obj = pmt_cdr(obj);
+    goto tail_recursion;
+  }
+
+  if (pmt_is_number(obj)){
+
+    if (pmt_is_integer(obj)){
+      long i = pmt_to_long(obj);
+      if (sizeof(long) > 4){
+       if (i < -2147483647 || i > 2147483647)
+         throw pmt_notimplemented("pmt_serialize (64-bit integers)", obj);
+      }
+      ok = serialize_untagged_u8(PST_INT32, sb);
+      ok &= serialize_untagged_u32(i, sb);
+      return ok;
+    }
+
+    if (pmt_is_real(obj))
+      throw pmt_notimplemented("pmt_serialize (real)", obj);
+
+    if (pmt_is_complex(obj))
+      throw pmt_notimplemented("pmt_serialize (complex)", obj);
+  }
+
+  if (pmt_is_vector(obj))
+    throw pmt_notimplemented("pmt_serialize (vector)", obj);
+
+  if (pmt_is_uniform_vector(obj))
+    throw pmt_notimplemented("pmt_serialize (uniform-vector)", obj);
+    
+  if (pmt_is_dict(obj))
+    throw pmt_notimplemented("pmt_serialize (dict)", obj);
+    
+
+  throw pmt_notimplemented("pmt_serialize (?)", obj);
+}
+
+/*
+ * Create obj from portable byte-serial representation
+ *
+ * Returns next obj from streambuf, or PMT_EOF at end of file.
+ * Throws exception on malformed input.
+ */
+pmt_t
+pmt_deserialize(std::streambuf &sb)
+{
+  uint8_t      tag;
+  //uint8_t    u8;
+  uint16_t     u16;
+  uint32_t     u32;
+  //uint32_t   u64;
+  static char   tmpbuf[1024];
+
+  if (!deserialize_untagged_u8(&tag, sb))
+    return PMT_EOF;
+
+  switch (tag){
+  case PST_TRUE:
+    return PMT_T;
+    
+  case PST_FALSE:
+    return PMT_F;
+
+  case PST_NULL:
+    return PMT_NIL;
+
+  case PST_SYMBOL:
+    if (!deserialize_untagged_u16(&u16, sb))
+      goto error;
+    if (u16 > sizeof(tmpbuf))
+      throw pmt_notimplemented("pmt_deserialize: very long symbol",
+                              PMT_F);
+    if (sb.sgetn(tmpbuf, u16) != u16)
+      goto error;
+    return pmt_intern(std::string(tmpbuf, u16));
+
+  case PST_INT32:
+    if (!deserialize_untagged_u32(&u32, sb))
+      goto error;
+    return pmt_from_long((int32_t) u32);
+
+  case PST_PAIR:
+    return parse_pair(sb);
+
+  case PST_DOUBLE:
+  case PST_COMPLEX:
+  case PST_VECTOR:
+  case PST_DICT:
+  case PST_UNIFORM_VECTOR:
+  case PST_COMMENT:
+    throw pmt_notimplemented("pmt_deserialize: tag value = ",
+                            pmt_from_long(tag));
+    
+  default:
+    throw pmt_exception("pmt_deserialize: malformed input stream, tag value = ",
+                       pmt_from_long(tag));
+  }
+
+ error:
+  throw pmt_exception("pmt_deserialize: malformed input stream", PMT_F);
+}
+
+/*
+ * This is a mostly non-recursive implementation that allows us to
+ * deserialize very long lists w/o exhausting the evaluation stack.
+ *
+ * On entry we've already eaten the PST_PAIR tag.
+ */
+pmt_t
+parse_pair(std::streambuf &sb)
+{
+  uint8_t tag;
+  pmt_t        val, expr, lastnptr, nptr;
+
+  //
+  // Keep appending nodes until we get a non-PAIR cdr.
+  //
+  lastnptr = PMT_NIL;
+  while (1){
+    expr = pmt_deserialize(sb);                // read the car
+
+    nptr = pmt_cons(expr, PMT_NIL);    // build new cell
+    if (pmt_is_null(lastnptr))
+      val = nptr;
+    else
+      pmt_set_cdr(lastnptr, nptr);
+    lastnptr = nptr;
+
+    if (!deserialize_untagged_u8(&tag, sb))  // get tag of cdr
+      throw pmt_exception("pmt_deserialize: malformed input stream", PMT_F);
+
+    if (tag == PST_PAIR)
+      continue;                        // keep on looping...
+
+    if (tag == PST_NULL){
+      expr = PMT_NIL;
+      break;
+    }
+
+    //
+    // default: push tag back and use pmt_deserialize to get the cdr
+    //
+    sb.sungetc();
+    expr = pmt_deserialize(sb);
+    break;
+  }
+
+  //
+  // At this point, expr contains the value of the final cdr in the list.
+  //
+  pmt_set_cdr(lastnptr, expr);
+  return val;
+}
diff --git a/pmt/src/lib/pmt_unv.cc b/pmt/src/lib/pmt_unv.cc
new file mode 100644 (file)
index 0000000..cdd33d3
--- /dev/null
@@ -0,0 +1,1445 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <vector>
+#include <pmt.h>
+#include "pmt_int.h"
+
+////////////////////////////////////////////////////////////////////////////
+//                           pmt_u8vector
+////////////////////////////////////////////////////////////////////////////
+
+static pmt_u8vector *
+_u8vector(pmt_t x)
+{
+  return dynamic_cast<pmt_u8vector*>(x.get());
+}
+
+
+pmt_u8vector::pmt_u8vector(size_t k, uint8_t fill)
+  : d_v(k)
+{
+  for (size_t i = 0; i < k; i++)
+    d_v[i] = fill;
+}
+
+pmt_u8vector::pmt_u8vector(size_t k, const uint8_t *data)
+  : d_v(k)
+{
+  for (size_t i = 0; i < k; i++)
+    d_v[i] = data[i];
+}
+
+uint8_t
+pmt_u8vector::ref(size_t k) const
+{
+  if (k >= length())
+    throw pmt_out_of_range("pmt_u8vector_ref", pmt_from_long(k));
+  return d_v[k];
+}
+
+void 
+pmt_u8vector::set(size_t k, uint8_t x)
+{
+  if (k >= length())
+    throw pmt_out_of_range("pmt_u8vector_set", pmt_from_long(k));
+  d_v[k] = x;
+}
+
+const uint8_t *
+pmt_u8vector::elements(size_t &len)
+{
+  len = length();
+  return &d_v[0];
+}
+
+uint8_t *
+pmt_u8vector::writable_elements(size_t &len)
+{
+  len = length();
+  return &d_v[0];
+}
+
+const void*
+pmt_u8vector::uniform_elements(size_t &len)
+{
+  len = length() * sizeof(uint8_t);
+  return &d_v[0];
+}
+
+void*
+pmt_u8vector::uniform_writable_elements(size_t &len)
+{
+  len = length() * sizeof(uint8_t);
+  return &d_v[0];
+}
+
+bool
+pmt_is_u8vector(pmt_t obj)
+{
+  return obj->is_u8vector();
+}
+
+pmt_t
+pmt_make_u8vector(size_t k, uint8_t fill)
+{
+  return pmt_t(new pmt_u8vector(k, fill));
+}
+
+pmt_t
+pmt_init_u8vector(size_t k, const uint8_t *data)
+{
+  return pmt_t(new pmt_u8vector(k, data));
+}
+
+uint8_t
+pmt_u8vector_ref(pmt_t vector, size_t k)
+{
+  if (!vector->is_u8vector())
+    throw pmt_wrong_type("pmt_u8vector_ref", vector);
+  return _u8vector(vector)->ref(k);
+}
+
+void
+pmt_u8vector_set(pmt_t vector, size_t k, uint8_t obj)
+{
+  if (!vector->is_u8vector())
+    throw pmt_wrong_type("pmt_u8vector_set", vector);
+  _u8vector(vector)->set(k, obj);
+}
+
+const uint8_t *
+pmt_u8vector_elements(pmt_t vector, size_t &len)
+{
+  if (!vector->is_u8vector())
+    throw pmt_wrong_type("pmt_u8vector_elements", vector);
+  return _u8vector(vector)->elements(len);
+}
+
+uint8_t *
+pmt_u8vector_writable_elements(pmt_t vector, size_t &len)
+{
+  if (!vector->is_u8vector())
+    throw pmt_wrong_type("pmt_u8vector_writable_elements", vector);
+  return _u8vector(vector)->writable_elements(len);
+}
+////////////////////////////////////////////////////////////////////////////
+//                           pmt_s8vector
+////////////////////////////////////////////////////////////////////////////
+
+static pmt_s8vector *
+_s8vector(pmt_t x)
+{
+  return dynamic_cast<pmt_s8vector*>(x.get());
+}
+
+
+pmt_s8vector::pmt_s8vector(size_t k, int8_t fill)
+  : d_v(k)
+{
+  for (size_t i = 0; i < k; i++)
+    d_v[i] = fill;
+}
+
+pmt_s8vector::pmt_s8vector(size_t k, const int8_t *data)
+  : d_v(k)
+{
+  for (size_t i = 0; i < k; i++)
+    d_v[i] = data[i];
+}
+
+int8_t
+pmt_s8vector::ref(size_t k) const
+{
+  if (k >= length())
+    throw pmt_out_of_range("pmt_s8vector_ref", pmt_from_long(k));
+  return d_v[k];
+}
+
+void 
+pmt_s8vector::set(size_t k, int8_t x)
+{
+  if (k >= length())
+    throw pmt_out_of_range("pmt_s8vector_set", pmt_from_long(k));
+  d_v[k] = x;
+}
+
+const int8_t *
+pmt_s8vector::elements(size_t &len)
+{
+  len = length();
+  return &d_v[0];
+}
+
+int8_t *
+pmt_s8vector::writable_elements(size_t &len)
+{
+  len = length();
+  return &d_v[0];
+}
+
+const void*
+pmt_s8vector::uniform_elements(size_t &len)
+{
+  len = length() * sizeof(int8_t);
+  return &d_v[0];
+}
+
+void*
+pmt_s8vector::uniform_writable_elements(size_t &len)
+{
+  len = length() * sizeof(int8_t);
+  return &d_v[0];
+}
+
+bool
+pmt_is_s8vector(pmt_t obj)
+{
+  return obj->is_s8vector();
+}
+
+pmt_t
+pmt_make_s8vector(size_t k, int8_t fill)
+{
+  return pmt_t(new pmt_s8vector(k, fill));
+}
+
+pmt_t
+pmt_init_s8vector(size_t k, const int8_t *data)
+{
+  return pmt_t(new pmt_s8vector(k, data));
+}
+
+int8_t
+pmt_s8vector_ref(pmt_t vector, size_t k)
+{
+  if (!vector->is_s8vector())
+    throw pmt_wrong_type("pmt_s8vector_ref", vector);
+  return _s8vector(vector)->ref(k);
+}
+
+void
+pmt_s8vector_set(pmt_t vector, size_t k, int8_t obj)
+{
+  if (!vector->is_s8vector())
+    throw pmt_wrong_type("pmt_s8vector_set", vector);
+  _s8vector(vector)->set(k, obj);
+}
+
+const int8_t *
+pmt_s8vector_elements(pmt_t vector, size_t &len)
+{
+  if (!vector->is_s8vector())
+    throw pmt_wrong_type("pmt_s8vector_elements", vector);
+  return _s8vector(vector)->elements(len);
+}
+
+int8_t *
+pmt_s8vector_writable_elements(pmt_t vector, size_t &len)
+{
+  if (!vector->is_s8vector())
+    throw pmt_wrong_type("pmt_s8vector_writable_elements", vector);
+  return _s8vector(vector)->writable_elements(len);
+}
+////////////////////////////////////////////////////////////////////////////
+//                           pmt_u16vector
+////////////////////////////////////////////////////////////////////////////
+
+static pmt_u16vector *
+_u16vector(pmt_t x)
+{
+  return dynamic_cast<pmt_u16vector*>(x.get());
+}
+
+
+pmt_u16vector::pmt_u16vector(size_t k, uint16_t fill)
+  : d_v(k)
+{
+  for (size_t i = 0; i < k; i++)
+    d_v[i] = fill;
+}
+
+pmt_u16vector::pmt_u16vector(size_t k, const uint16_t *data)
+  : d_v(k)
+{
+  for (size_t i = 0; i < k; i++)
+    d_v[i] = data[i];
+}
+
+uint16_t
+pmt_u16vector::ref(size_t k) const
+{
+  if (k >= length())
+    throw pmt_out_of_range("pmt_u16vector_ref", pmt_from_long(k));
+  return d_v[k];
+}
+
+void 
+pmt_u16vector::set(size_t k, uint16_t x)
+{
+  if (k >= length())
+    throw pmt_out_of_range("pmt_u16vector_set", pmt_from_long(k));
+  d_v[k] = x;
+}
+
+const uint16_t *
+pmt_u16vector::elements(size_t &len)
+{
+  len = length();
+  return &d_v[0];
+}
+
+uint16_t *
+pmt_u16vector::writable_elements(size_t &len)
+{
+  len = length();
+  return &d_v[0];
+}
+
+const void*
+pmt_u16vector::uniform_elements(size_t &len)
+{
+  len = length() * sizeof(uint16_t);
+  return &d_v[0];
+}
+
+void*
+pmt_u16vector::uniform_writable_elements(size_t &len)
+{
+  len = length() * sizeof(uint16_t);
+  return &d_v[0];
+}
+
+bool
+pmt_is_u16vector(pmt_t obj)
+{
+  return obj->is_u16vector();
+}
+
+pmt_t
+pmt_make_u16vector(size_t k, uint16_t fill)
+{
+  return pmt_t(new pmt_u16vector(k, fill));
+}
+
+pmt_t
+pmt_init_u16vector(size_t k, const uint16_t *data)
+{
+  return pmt_t(new pmt_u16vector(k, data));
+}
+
+uint16_t
+pmt_u16vector_ref(pmt_t vector, size_t k)
+{
+  if (!vector->is_u16vector())
+    throw pmt_wrong_type("pmt_u16vector_ref", vector);
+  return _u16vector(vector)->ref(k);
+}
+
+void
+pmt_u16vector_set(pmt_t vector, size_t k, uint16_t obj)
+{
+  if (!vector->is_u16vector())
+    throw pmt_wrong_type("pmt_u16vector_set", vector);
+  _u16vector(vector)->set(k, obj);
+}
+
+const uint16_t *
+pmt_u16vector_elements(pmt_t vector, size_t &len)
+{
+  if (!vector->is_u16vector())
+    throw pmt_wrong_type("pmt_u16vector_elements", vector);
+  return _u16vector(vector)->elements(len);
+}
+
+uint16_t *
+pmt_u16vector_writable_elements(pmt_t vector, size_t &len)
+{
+  if (!vector->is_u16vector())
+    throw pmt_wrong_type("pmt_u16vector_writable_elements", vector);
+  return _u16vector(vector)->writable_elements(len);
+}
+////////////////////////////////////////////////////////////////////////////
+//                           pmt_s16vector
+////////////////////////////////////////////////////////////////////////////
+
+static pmt_s16vector *
+_s16vector(pmt_t x)
+{
+  return dynamic_cast<pmt_s16vector*>(x.get());
+}
+
+
+pmt_s16vector::pmt_s16vector(size_t k, int16_t fill)
+  : d_v(k)
+{
+  for (size_t i = 0; i < k; i++)
+    d_v[i] = fill;
+}
+
+pmt_s16vector::pmt_s16vector(size_t k, const int16_t *data)
+  : d_v(k)
+{
+  for (size_t i = 0; i < k; i++)
+    d_v[i] = data[i];
+}
+
+int16_t
+pmt_s16vector::ref(size_t k) const
+{
+  if (k >= length())
+    throw pmt_out_of_range("pmt_s16vector_ref", pmt_from_long(k));
+  return d_v[k];
+}
+
+void 
+pmt_s16vector::set(size_t k, int16_t x)
+{
+  if (k >= length())
+    throw pmt_out_of_range("pmt_s16vector_set", pmt_from_long(k));
+  d_v[k] = x;
+}
+
+const int16_t *
+pmt_s16vector::elements(size_t &len)
+{
+  len = length();
+  return &d_v[0];
+}
+
+int16_t *
+pmt_s16vector::writable_elements(size_t &len)
+{
+  len = length();
+  return &d_v[0];
+}
+
+const void*
+pmt_s16vector::uniform_elements(size_t &len)
+{
+  len = length() * sizeof(int16_t);
+  return &d_v[0];
+}
+
+void*
+pmt_s16vector::uniform_writable_elements(size_t &len)
+{
+  len = length() * sizeof(int16_t);
+  return &d_v[0];
+}
+
+bool
+pmt_is_s16vector(pmt_t obj)
+{
+  return obj->is_s16vector();
+}
+
+pmt_t
+pmt_make_s16vector(size_t k, int16_t fill)
+{
+  return pmt_t(new pmt_s16vector(k, fill));
+}
+
+pmt_t
+pmt_init_s16vector(size_t k, const int16_t *data)
+{
+  return pmt_t(new pmt_s16vector(k, data));
+}
+
+int16_t
+pmt_s16vector_ref(pmt_t vector, size_t k)
+{
+  if (!vector->is_s16vector())
+    throw pmt_wrong_type("pmt_s16vector_ref", vector);
+  return _s16vector(vector)->ref(k);
+}
+
+void
+pmt_s16vector_set(pmt_t vector, size_t k, int16_t obj)
+{
+  if (!vector->is_s16vector())
+    throw pmt_wrong_type("pmt_s16vector_set", vector);
+  _s16vector(vector)->set(k, obj);
+}
+
+const int16_t *
+pmt_s16vector_elements(pmt_t vector, size_t &len)
+{
+  if (!vector->is_s16vector())
+    throw pmt_wrong_type("pmt_s16vector_elements", vector);
+  return _s16vector(vector)->elements(len);
+}
+
+int16_t *
+pmt_s16vector_writable_elements(pmt_t vector, size_t &len)
+{
+  if (!vector->is_s16vector())
+    throw pmt_wrong_type("pmt_s16vector_writable_elements", vector);
+  return _s16vector(vector)->writable_elements(len);
+}
+////////////////////////////////////////////////////////////////////////////
+//                           pmt_u32vector
+////////////////////////////////////////////////////////////////////////////
+
+static pmt_u32vector *
+_u32vector(pmt_t x)
+{
+  return dynamic_cast<pmt_u32vector*>(x.get());
+}
+
+
+pmt_u32vector::pmt_u32vector(size_t k, uint32_t fill)
+  : d_v(k)
+{
+  for (size_t i = 0; i < k; i++)
+    d_v[i] = fill;
+}
+
+pmt_u32vector::pmt_u32vector(size_t k, const uint32_t *data)
+  : d_v(k)
+{
+  for (size_t i = 0; i < k; i++)
+    d_v[i] = data[i];
+}
+
+uint32_t
+pmt_u32vector::ref(size_t k) const
+{
+  if (k >= length())
+    throw pmt_out_of_range("pmt_u32vector_ref", pmt_from_long(k));
+  return d_v[k];
+}
+
+void 
+pmt_u32vector::set(size_t k, uint32_t x)
+{
+  if (k >= length())
+    throw pmt_out_of_range("pmt_u32vector_set", pmt_from_long(k));
+  d_v[k] = x;
+}
+
+const uint32_t *
+pmt_u32vector::elements(size_t &len)
+{
+  len = length();
+  return &d_v[0];
+}
+
+uint32_t *
+pmt_u32vector::writable_elements(size_t &len)
+{
+  len = length();
+  return &d_v[0];
+}
+
+const void*
+pmt_u32vector::uniform_elements(size_t &len)
+{
+  len = length() * sizeof(uint32_t);
+  return &d_v[0];
+}
+
+void*
+pmt_u32vector::uniform_writable_elements(size_t &len)
+{
+  len = length() * sizeof(uint32_t);
+  return &d_v[0];
+}
+
+bool
+pmt_is_u32vector(pmt_t obj)
+{
+  return obj->is_u32vector();
+}
+
+pmt_t
+pmt_make_u32vector(size_t k, uint32_t fill)
+{
+  return pmt_t(new pmt_u32vector(k, fill));
+}
+
+pmt_t
+pmt_init_u32vector(size_t k, const uint32_t *data)
+{
+  return pmt_t(new pmt_u32vector(k, data));
+}
+
+uint32_t
+pmt_u32vector_ref(pmt_t vector, size_t k)
+{
+  if (!vector->is_u32vector())
+    throw pmt_wrong_type("pmt_u32vector_ref", vector);
+  return _u32vector(vector)->ref(k);
+}
+
+void
+pmt_u32vector_set(pmt_t vector, size_t k, uint32_t obj)
+{
+  if (!vector->is_u32vector())
+    throw pmt_wrong_type("pmt_u32vector_set", vector);
+  _u32vector(vector)->set(k, obj);
+}
+
+const uint32_t *
+pmt_u32vector_elements(pmt_t vector, size_t &len)
+{
+  if (!vector->is_u32vector())
+    throw pmt_wrong_type("pmt_u32vector_elements", vector);
+  return _u32vector(vector)->elements(len);
+}
+
+uint32_t *
+pmt_u32vector_writable_elements(pmt_t vector, size_t &len)
+{
+  if (!vector->is_u32vector())
+    throw pmt_wrong_type("pmt_u32vector_writable_elements", vector);
+  return _u32vector(vector)->writable_elements(len);
+}
+////////////////////////////////////////////////////////////////////////////
+//                           pmt_s32vector
+////////////////////////////////////////////////////////////////////////////
+
+static pmt_s32vector *
+_s32vector(pmt_t x)
+{
+  return dynamic_cast<pmt_s32vector*>(x.get());
+}
+
+
+pmt_s32vector::pmt_s32vector(size_t k, int32_t fill)
+  : d_v(k)
+{
+  for (size_t i = 0; i < k; i++)
+    d_v[i] = fill;
+}
+
+pmt_s32vector::pmt_s32vector(size_t k, const int32_t *data)
+  : d_v(k)
+{
+  for (size_t i = 0; i < k; i++)
+    d_v[i] = data[i];
+}
+
+int32_t
+pmt_s32vector::ref(size_t k) const
+{
+  if (k >= length())
+    throw pmt_out_of_range("pmt_s32vector_ref", pmt_from_long(k));
+  return d_v[k];
+}
+
+void 
+pmt_s32vector::set(size_t k, int32_t x)
+{
+  if (k >= length())
+    throw pmt_out_of_range("pmt_s32vector_set", pmt_from_long(k));
+  d_v[k] = x;
+}
+
+const int32_t *
+pmt_s32vector::elements(size_t &len)
+{
+  len = length();
+  return &d_v[0];
+}
+
+int32_t *
+pmt_s32vector::writable_elements(size_t &len)
+{
+  len = length();
+  return &d_v[0];
+}
+
+const void*
+pmt_s32vector::uniform_elements(size_t &len)
+{
+  len = length() * sizeof(int32_t);
+  return &d_v[0];
+}
+
+void*
+pmt_s32vector::uniform_writable_elements(size_t &len)
+{
+  len = length() * sizeof(int32_t);
+  return &d_v[0];
+}
+
+bool
+pmt_is_s32vector(pmt_t obj)
+{
+  return obj->is_s32vector();
+}
+
+pmt_t
+pmt_make_s32vector(size_t k, int32_t fill)
+{
+  return pmt_t(new pmt_s32vector(k, fill));
+}
+
+pmt_t
+pmt_init_s32vector(size_t k, const int32_t *data)
+{
+  return pmt_t(new pmt_s32vector(k, data));
+}
+
+int32_t
+pmt_s32vector_ref(pmt_t vector, size_t k)
+{
+  if (!vector->is_s32vector())
+    throw pmt_wrong_type("pmt_s32vector_ref", vector);
+  return _s32vector(vector)->ref(k);
+}
+
+void
+pmt_s32vector_set(pmt_t vector, size_t k, int32_t obj)
+{
+  if (!vector->is_s32vector())
+    throw pmt_wrong_type("pmt_s32vector_set", vector);
+  _s32vector(vector)->set(k, obj);
+}
+
+const int32_t *
+pmt_s32vector_elements(pmt_t vector, size_t &len)
+{
+  if (!vector->is_s32vector())
+    throw pmt_wrong_type("pmt_s32vector_elements", vector);
+  return _s32vector(vector)->elements(len);
+}
+
+int32_t *
+pmt_s32vector_writable_elements(pmt_t vector, size_t &len)
+{
+  if (!vector->is_s32vector())
+    throw pmt_wrong_type("pmt_s32vector_writable_elements", vector);
+  return _s32vector(vector)->writable_elements(len);
+}
+////////////////////////////////////////////////////////////////////////////
+//                           pmt_u64vector
+////////////////////////////////////////////////////////////////////////////
+
+static pmt_u64vector *
+_u64vector(pmt_t x)
+{
+  return dynamic_cast<pmt_u64vector*>(x.get());
+}
+
+
+pmt_u64vector::pmt_u64vector(size_t k, uint64_t fill)
+  : d_v(k)
+{
+  for (size_t i = 0; i < k; i++)
+    d_v[i] = fill;
+}
+
+pmt_u64vector::pmt_u64vector(size_t k, const uint64_t *data)
+  : d_v(k)
+{
+  for (size_t i = 0; i < k; i++)
+    d_v[i] = data[i];
+}
+
+uint64_t
+pmt_u64vector::ref(size_t k) const
+{
+  if (k >= length())
+    throw pmt_out_of_range("pmt_u64vector_ref", pmt_from_long(k));
+  return d_v[k];
+}
+
+void 
+pmt_u64vector::set(size_t k, uint64_t x)
+{
+  if (k >= length())
+    throw pmt_out_of_range("pmt_u64vector_set", pmt_from_long(k));
+  d_v[k] = x;
+}
+
+const uint64_t *
+pmt_u64vector::elements(size_t &len)
+{
+  len = length();
+  return &d_v[0];
+}
+
+uint64_t *
+pmt_u64vector::writable_elements(size_t &len)
+{
+  len = length();
+  return &d_v[0];
+}
+
+const void*
+pmt_u64vector::uniform_elements(size_t &len)
+{
+  len = length() * sizeof(uint64_t);
+  return &d_v[0];
+}
+
+void*
+pmt_u64vector::uniform_writable_elements(size_t &len)
+{
+  len = length() * sizeof(uint64_t);
+  return &d_v[0];
+}
+
+bool
+pmt_is_u64vector(pmt_t obj)
+{
+  return obj->is_u64vector();
+}
+
+pmt_t
+pmt_make_u64vector(size_t k, uint64_t fill)
+{
+  return pmt_t(new pmt_u64vector(k, fill));
+}
+
+pmt_t
+pmt_init_u64vector(size_t k, const uint64_t *data)
+{
+  return pmt_t(new pmt_u64vector(k, data));
+}
+
+uint64_t
+pmt_u64vector_ref(pmt_t vector, size_t k)
+{
+  if (!vector->is_u64vector())
+    throw pmt_wrong_type("pmt_u64vector_ref", vector);
+  return _u64vector(vector)->ref(k);
+}
+
+void
+pmt_u64vector_set(pmt_t vector, size_t k, uint64_t obj)
+{
+  if (!vector->is_u64vector())
+    throw pmt_wrong_type("pmt_u64vector_set", vector);
+  _u64vector(vector)->set(k, obj);
+}
+
+const uint64_t *
+pmt_u64vector_elements(pmt_t vector, size_t &len)
+{
+  if (!vector->is_u64vector())
+    throw pmt_wrong_type("pmt_u64vector_elements", vector);
+  return _u64vector(vector)->elements(len);
+}
+
+uint64_t *
+pmt_u64vector_writable_elements(pmt_t vector, size_t &len)
+{
+  if (!vector->is_u64vector())
+    throw pmt_wrong_type("pmt_u64vector_writable_elements", vector);
+  return _u64vector(vector)->writable_elements(len);
+}
+////////////////////////////////////////////////////////////////////////////
+//                           pmt_s64vector
+////////////////////////////////////////////////////////////////////////////
+
+static pmt_s64vector *
+_s64vector(pmt_t x)
+{
+  return dynamic_cast<pmt_s64vector*>(x.get());
+}
+
+
+pmt_s64vector::pmt_s64vector(size_t k, int64_t fill)
+  : d_v(k)
+{
+  for (size_t i = 0; i < k; i++)
+    d_v[i] = fill;
+}
+
+pmt_s64vector::pmt_s64vector(size_t k, const int64_t *data)
+  : d_v(k)
+{
+  for (size_t i = 0; i < k; i++)
+    d_v[i] = data[i];
+}
+
+int64_t
+pmt_s64vector::ref(size_t k) const
+{
+  if (k >= length())
+    throw pmt_out_of_range("pmt_s64vector_ref", pmt_from_long(k));
+  return d_v[k];
+}
+
+void 
+pmt_s64vector::set(size_t k, int64_t x)
+{
+  if (k >= length())
+    throw pmt_out_of_range("pmt_s64vector_set", pmt_from_long(k));
+  d_v[k] = x;
+}
+
+const int64_t *
+pmt_s64vector::elements(size_t &len)
+{
+  len = length();
+  return &d_v[0];
+}
+
+int64_t *
+pmt_s64vector::writable_elements(size_t &len)
+{
+  len = length();
+  return &d_v[0];
+}
+
+const void*
+pmt_s64vector::uniform_elements(size_t &len)
+{
+  len = length() * sizeof(int64_t);
+  return &d_v[0];
+}
+
+void*
+pmt_s64vector::uniform_writable_elements(size_t &len)
+{
+  len = length() * sizeof(int64_t);
+  return &d_v[0];
+}
+
+bool
+pmt_is_s64vector(pmt_t obj)
+{
+  return obj->is_s64vector();
+}
+
+pmt_t
+pmt_make_s64vector(size_t k, int64_t fill)
+{
+  return pmt_t(new pmt_s64vector(k, fill));
+}
+
+pmt_t
+pmt_init_s64vector(size_t k, const int64_t *data)
+{
+  return pmt_t(new pmt_s64vector(k, data));
+}
+
+int64_t
+pmt_s64vector_ref(pmt_t vector, size_t k)
+{
+  if (!vector->is_s64vector())
+    throw pmt_wrong_type("pmt_s64vector_ref", vector);
+  return _s64vector(vector)->ref(k);
+}
+
+void
+pmt_s64vector_set(pmt_t vector, size_t k, int64_t obj)
+{
+  if (!vector->is_s64vector())
+    throw pmt_wrong_type("pmt_s64vector_set", vector);
+  _s64vector(vector)->set(k, obj);
+}
+
+const int64_t *
+pmt_s64vector_elements(pmt_t vector, size_t &len)
+{
+  if (!vector->is_s64vector())
+    throw pmt_wrong_type("pmt_s64vector_elements", vector);
+  return _s64vector(vector)->elements(len);
+}
+
+int64_t *
+pmt_s64vector_writable_elements(pmt_t vector, size_t &len)
+{
+  if (!vector->is_s64vector())
+    throw pmt_wrong_type("pmt_s64vector_writable_elements", vector);
+  return _s64vector(vector)->writable_elements(len);
+}
+////////////////////////////////////////////////////////////////////////////
+//                           pmt_f32vector
+////////////////////////////////////////////////////////////////////////////
+
+static pmt_f32vector *
+_f32vector(pmt_t x)
+{
+  return dynamic_cast<pmt_f32vector*>(x.get());
+}
+
+
+pmt_f32vector::pmt_f32vector(size_t k, float fill)
+  : d_v(k)
+{
+  for (size_t i = 0; i < k; i++)
+    d_v[i] = fill;
+}
+
+pmt_f32vector::pmt_f32vector(size_t k, const float *data)
+  : d_v(k)
+{
+  for (size_t i = 0; i < k; i++)
+    d_v[i] = data[i];
+}
+
+float
+pmt_f32vector::ref(size_t k) const
+{
+  if (k >= length())
+    throw pmt_out_of_range("pmt_f32vector_ref", pmt_from_long(k));
+  return d_v[k];
+}
+
+void 
+pmt_f32vector::set(size_t k, float x)
+{
+  if (k >= length())
+    throw pmt_out_of_range("pmt_f32vector_set", pmt_from_long(k));
+  d_v[k] = x;
+}
+
+const float *
+pmt_f32vector::elements(size_t &len)
+{
+  len = length();
+  return &d_v[0];
+}
+
+float *
+pmt_f32vector::writable_elements(size_t &len)
+{
+  len = length();
+  return &d_v[0];
+}
+
+const void*
+pmt_f32vector::uniform_elements(size_t &len)
+{
+  len = length() * sizeof(float);
+  return &d_v[0];
+}
+
+void*
+pmt_f32vector::uniform_writable_elements(size_t &len)
+{
+  len = length() * sizeof(float);
+  return &d_v[0];
+}
+
+bool
+pmt_is_f32vector(pmt_t obj)
+{
+  return obj->is_f32vector();
+}
+
+pmt_t
+pmt_make_f32vector(size_t k, float fill)
+{
+  return pmt_t(new pmt_f32vector(k, fill));
+}
+
+pmt_t
+pmt_init_f32vector(size_t k, const float *data)
+{
+  return pmt_t(new pmt_f32vector(k, data));
+}
+
+float
+pmt_f32vector_ref(pmt_t vector, size_t k)
+{
+  if (!vector->is_f32vector())
+    throw pmt_wrong_type("pmt_f32vector_ref", vector);
+  return _f32vector(vector)->ref(k);
+}
+
+void
+pmt_f32vector_set(pmt_t vector, size_t k, float obj)
+{
+  if (!vector->is_f32vector())
+    throw pmt_wrong_type("pmt_f32vector_set", vector);
+  _f32vector(vector)->set(k, obj);
+}
+
+const float *
+pmt_f32vector_elements(pmt_t vector, size_t &len)
+{
+  if (!vector->is_f32vector())
+    throw pmt_wrong_type("pmt_f32vector_elements", vector);
+  return _f32vector(vector)->elements(len);
+}
+
+float *
+pmt_f32vector_writable_elements(pmt_t vector, size_t &len)
+{
+  if (!vector->is_f32vector())
+    throw pmt_wrong_type("pmt_f32vector_writable_elements", vector);
+  return _f32vector(vector)->writable_elements(len);
+}
+////////////////////////////////////////////////////////////////////////////
+//                           pmt_f64vector
+////////////////////////////////////////////////////////////////////////////
+
+static pmt_f64vector *
+_f64vector(pmt_t x)
+{
+  return dynamic_cast<pmt_f64vector*>(x.get());
+}
+
+
+pmt_f64vector::pmt_f64vector(size_t k, double fill)
+  : d_v(k)
+{
+  for (size_t i = 0; i < k; i++)
+    d_v[i] = fill;
+}
+
+pmt_f64vector::pmt_f64vector(size_t k, const double *data)
+  : d_v(k)
+{
+  for (size_t i = 0; i < k; i++)
+    d_v[i] = data[i];
+}
+
+double
+pmt_f64vector::ref(size_t k) const
+{
+  if (k >= length())
+    throw pmt_out_of_range("pmt_f64vector_ref", pmt_from_long(k));
+  return d_v[k];
+}
+
+void 
+pmt_f64vector::set(size_t k, double x)
+{
+  if (k >= length())
+    throw pmt_out_of_range("pmt_f64vector_set", pmt_from_long(k));
+  d_v[k] = x;
+}
+
+const double *
+pmt_f64vector::elements(size_t &len)
+{
+  len = length();
+  return &d_v[0];
+}
+
+double *
+pmt_f64vector::writable_elements(size_t &len)
+{
+  len = length();
+  return &d_v[0];
+}
+
+const void*
+pmt_f64vector::uniform_elements(size_t &len)
+{
+  len = length() * sizeof(double);
+  return &d_v[0];
+}
+
+void*
+pmt_f64vector::uniform_writable_elements(size_t &len)
+{
+  len = length() * sizeof(double);
+  return &d_v[0];
+}
+
+bool
+pmt_is_f64vector(pmt_t obj)
+{
+  return obj->is_f64vector();
+}
+
+pmt_t
+pmt_make_f64vector(size_t k, double fill)
+{
+  return pmt_t(new pmt_f64vector(k, fill));
+}
+
+pmt_t
+pmt_init_f64vector(size_t k, const double *data)
+{
+  return pmt_t(new pmt_f64vector(k, data));
+}
+
+double
+pmt_f64vector_ref(pmt_t vector, size_t k)
+{
+  if (!vector->is_f64vector())
+    throw pmt_wrong_type("pmt_f64vector_ref", vector);
+  return _f64vector(vector)->ref(k);
+}
+
+void
+pmt_f64vector_set(pmt_t vector, size_t k, double obj)
+{
+  if (!vector->is_f64vector())
+    throw pmt_wrong_type("pmt_f64vector_set", vector);
+  _f64vector(vector)->set(k, obj);
+}
+
+const double *
+pmt_f64vector_elements(pmt_t vector, size_t &len)
+{
+  if (!vector->is_f64vector())
+    throw pmt_wrong_type("pmt_f64vector_elements", vector);
+  return _f64vector(vector)->elements(len);
+}
+
+double *
+pmt_f64vector_writable_elements(pmt_t vector, size_t &len)
+{
+  if (!vector->is_f64vector())
+    throw pmt_wrong_type("pmt_f64vector_writable_elements", vector);
+  return _f64vector(vector)->writable_elements(len);
+}
+////////////////////////////////////////////////////////////////////////////
+//                           pmt_c32vector
+////////////////////////////////////////////////////////////////////////////
+
+static pmt_c32vector *
+_c32vector(pmt_t x)
+{
+  return dynamic_cast<pmt_c32vector*>(x.get());
+}
+
+
+pmt_c32vector::pmt_c32vector(size_t k, std::complex<float> fill)
+  : d_v(k)
+{
+  for (size_t i = 0; i < k; i++)
+    d_v[i] = fill;
+}
+
+pmt_c32vector::pmt_c32vector(size_t k, const std::complex<float> *data)
+  : d_v(k)
+{
+  for (size_t i = 0; i < k; i++)
+    d_v[i] = data[i];
+}
+
+std::complex<float>
+pmt_c32vector::ref(size_t k) const
+{
+  if (k >= length())
+    throw pmt_out_of_range("pmt_c32vector_ref", pmt_from_long(k));
+  return d_v[k];
+}
+
+void 
+pmt_c32vector::set(size_t k, std::complex<float> x)
+{
+  if (k >= length())
+    throw pmt_out_of_range("pmt_c32vector_set", pmt_from_long(k));
+  d_v[k] = x;
+}
+
+const std::complex<float> *
+pmt_c32vector::elements(size_t &len)
+{
+  len = length();
+  return &d_v[0];
+}
+
+std::complex<float> *
+pmt_c32vector::writable_elements(size_t &len)
+{
+  len = length();
+  return &d_v[0];
+}
+
+const void*
+pmt_c32vector::uniform_elements(size_t &len)
+{
+  len = length() * sizeof(std::complex<float>);
+  return &d_v[0];
+}
+
+void*
+pmt_c32vector::uniform_writable_elements(size_t &len)
+{
+  len = length() * sizeof(std::complex<float>);
+  return &d_v[0];
+}
+
+bool
+pmt_is_c32vector(pmt_t obj)
+{
+  return obj->is_c32vector();
+}
+
+pmt_t
+pmt_make_c32vector(size_t k, std::complex<float> fill)
+{
+  return pmt_t(new pmt_c32vector(k, fill));
+}
+
+pmt_t
+pmt_init_c32vector(size_t k, const std::complex<float> *data)
+{
+  return pmt_t(new pmt_c32vector(k, data));
+}
+
+std::complex<float>
+pmt_c32vector_ref(pmt_t vector, size_t k)
+{
+  if (!vector->is_c32vector())
+    throw pmt_wrong_type("pmt_c32vector_ref", vector);
+  return _c32vector(vector)->ref(k);
+}
+
+void
+pmt_c32vector_set(pmt_t vector, size_t k, std::complex<float> obj)
+{
+  if (!vector->is_c32vector())
+    throw pmt_wrong_type("pmt_c32vector_set", vector);
+  _c32vector(vector)->set(k, obj);
+}
+
+const std::complex<float> *
+pmt_c32vector_elements(pmt_t vector, size_t &len)
+{
+  if (!vector->is_c32vector())
+    throw pmt_wrong_type("pmt_c32vector_elements", vector);
+  return _c32vector(vector)->elements(len);
+}
+
+std::complex<float> *
+pmt_c32vector_writable_elements(pmt_t vector, size_t &len)
+{
+  if (!vector->is_c32vector())
+    throw pmt_wrong_type("pmt_c32vector_writable_elements", vector);
+  return _c32vector(vector)->writable_elements(len);
+}
+////////////////////////////////////////////////////////////////////////////
+//                           pmt_c64vector
+////////////////////////////////////////////////////////////////////////////
+
+static pmt_c64vector *
+_c64vector(pmt_t x)
+{
+  return dynamic_cast<pmt_c64vector*>(x.get());
+}
+
+
+pmt_c64vector::pmt_c64vector(size_t k, std::complex<double> fill)
+  : d_v(k)
+{
+  for (size_t i = 0; i < k; i++)
+    d_v[i] = fill;
+}
+
+pmt_c64vector::pmt_c64vector(size_t k, const std::complex<double> *data)
+  : d_v(k)
+{
+  for (size_t i = 0; i < k; i++)
+    d_v[i] = data[i];
+}
+
+std::complex<double>
+pmt_c64vector::ref(size_t k) const
+{
+  if (k >= length())
+    throw pmt_out_of_range("pmt_c64vector_ref", pmt_from_long(k));
+  return d_v[k];
+}
+
+void 
+pmt_c64vector::set(size_t k, std::complex<double> x)
+{
+  if (k >= length())
+    throw pmt_out_of_range("pmt_c64vector_set", pmt_from_long(k));
+  d_v[k] = x;
+}
+
+const std::complex<double> *
+pmt_c64vector::elements(size_t &len)
+{
+  len = length();
+  return &d_v[0];
+}
+
+std::complex<double> *
+pmt_c64vector::writable_elements(size_t &len)
+{
+  len = length();
+  return &d_v[0];
+}
+
+const void*
+pmt_c64vector::uniform_elements(size_t &len)
+{
+  len = length() * sizeof(std::complex<double>);
+  return &d_v[0];
+}
+
+void*
+pmt_c64vector::uniform_writable_elements(size_t &len)
+{
+  len = length() * sizeof(std::complex<double>);
+  return &d_v[0];
+}
+
+bool
+pmt_is_c64vector(pmt_t obj)
+{
+  return obj->is_c64vector();
+}
+
+pmt_t
+pmt_make_c64vector(size_t k, std::complex<double> fill)
+{
+  return pmt_t(new pmt_c64vector(k, fill));
+}
+
+pmt_t
+pmt_init_c64vector(size_t k, const std::complex<double> *data)
+{
+  return pmt_t(new pmt_c64vector(k, data));
+}
+
+std::complex<double>
+pmt_c64vector_ref(pmt_t vector, size_t k)
+{
+  if (!vector->is_c64vector())
+    throw pmt_wrong_type("pmt_c64vector_ref", vector);
+  return _c64vector(vector)->ref(k);
+}
+
+void
+pmt_c64vector_set(pmt_t vector, size_t k, std::complex<double> obj)
+{
+  if (!vector->is_c64vector())
+    throw pmt_wrong_type("pmt_c64vector_set", vector);
+  _c64vector(vector)->set(k, obj);
+}
+
+const std::complex<double> *
+pmt_c64vector_elements(pmt_t vector, size_t &len)
+{
+  if (!vector->is_c64vector())
+    throw pmt_wrong_type("pmt_c64vector_elements", vector);
+  return _c64vector(vector)->elements(len);
+}
+
+std::complex<double> *
+pmt_c64vector_writable_elements(pmt_t vector, size_t &len)
+{
+  if (!vector->is_c64vector())
+    throw pmt_wrong_type("pmt_c64vector_writable_elements", vector);
+  return _c64vector(vector)->writable_elements(len);
+}
diff --git a/pmt/src/lib/pmt_unv_int.h b/pmt/src/lib/pmt_unv_int.h
new file mode 100644 (file)
index 0000000..e54ff6c
--- /dev/null
@@ -0,0 +1,302 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifndef INCLUDED_PMT_UNV_INT_H
+#define INCLUDED_PMT_UNV_INT_H
+
+////////////////////////////////////////////////////////////////////////////
+//                           pmt_u8vector
+////////////////////////////////////////////////////////////////////////////
+
+class pmt_u8vector : public pmt_uniform_vector
+{
+  std::vector< uint8_t >       d_v;
+
+public:
+  pmt_u8vector(size_t k, uint8_t fill);
+  pmt_u8vector(size_t k, const uint8_t *data);
+  // ~pmt_u8vector();
+
+  bool is_u8vector() const { return true; }
+  size_t length() const { return d_v.size(); }
+  uint8_t ref(size_t k) const;
+  void set(size_t k, uint8_t x);
+  const uint8_t *elements(size_t &len);
+  uint8_t *writable_elements(size_t &len);
+  const void *uniform_elements(size_t &len);
+  void *uniform_writable_elements(size_t &len);
+};
+
+////////////////////////////////////////////////////////////////////////////
+//                           pmt_s8vector
+////////////////////////////////////////////////////////////////////////////
+
+class pmt_s8vector : public pmt_uniform_vector
+{
+  std::vector< int8_t >        d_v;
+
+public:
+  pmt_s8vector(size_t k, int8_t fill);
+  pmt_s8vector(size_t k, const int8_t *data);
+  // ~pmt_s8vector();
+
+  bool is_s8vector() const { return true; }
+  size_t length() const { return d_v.size(); }
+  int8_t ref(size_t k) const;
+  void set(size_t k, int8_t x);
+  const int8_t *elements(size_t &len);
+  int8_t *writable_elements(size_t &len);
+  const void *uniform_elements(size_t &len);
+  void *uniform_writable_elements(size_t &len);
+};
+
+////////////////////////////////////////////////////////////////////////////
+//                           pmt_u16vector
+////////////////////////////////////////////////////////////////////////////
+
+class pmt_u16vector : public pmt_uniform_vector
+{
+  std::vector< uint16_t >      d_v;
+
+public:
+  pmt_u16vector(size_t k, uint16_t fill);
+  pmt_u16vector(size_t k, const uint16_t *data);
+  // ~pmt_u16vector();
+
+  bool is_u16vector() const { return true; }
+  size_t length() const { return d_v.size(); }
+  uint16_t ref(size_t k) const;
+  void set(size_t k, uint16_t x);
+  const uint16_t *elements(size_t &len);
+  uint16_t *writable_elements(size_t &len);
+  const void *uniform_elements(size_t &len);
+  void *uniform_writable_elements(size_t &len);
+};
+
+////////////////////////////////////////////////////////////////////////////
+//                           pmt_s16vector
+////////////////////////////////////////////////////////////////////////////
+
+class pmt_s16vector : public pmt_uniform_vector
+{
+  std::vector< int16_t >       d_v;
+
+public:
+  pmt_s16vector(size_t k, int16_t fill);
+  pmt_s16vector(size_t k, const int16_t *data);
+  // ~pmt_s16vector();
+
+  bool is_s16vector() const { return true; }
+  size_t length() const { return d_v.size(); }
+  int16_t ref(size_t k) const;
+  void set(size_t k, int16_t x);
+  const int16_t *elements(size_t &len);
+  int16_t *writable_elements(size_t &len);
+  const void *uniform_elements(size_t &len);
+  void *uniform_writable_elements(size_t &len);
+};
+
+////////////////////////////////////////////////////////////////////////////
+//                           pmt_u32vector
+////////////////////////////////////////////////////////////////////////////
+
+class pmt_u32vector : public pmt_uniform_vector
+{
+  std::vector< uint32_t >      d_v;
+
+public:
+  pmt_u32vector(size_t k, uint32_t fill);
+  pmt_u32vector(size_t k, const uint32_t *data);
+  // ~pmt_u32vector();
+
+  bool is_u32vector() const { return true; }
+  size_t length() const { return d_v.size(); }
+  uint32_t ref(size_t k) const;
+  void set(size_t k, uint32_t x);
+  const uint32_t *elements(size_t &len);
+  uint32_t *writable_elements(size_t &len);
+  const void *uniform_elements(size_t &len);
+  void *uniform_writable_elements(size_t &len);
+};
+
+////////////////////////////////////////////////////////////////////////////
+//                           pmt_s32vector
+////////////////////////////////////////////////////////////////////////////
+
+class pmt_s32vector : public pmt_uniform_vector
+{
+  std::vector< int32_t >       d_v;
+
+public:
+  pmt_s32vector(size_t k, int32_t fill);
+  pmt_s32vector(size_t k, const int32_t *data);
+  // ~pmt_s32vector();
+
+  bool is_s32vector() const { return true; }
+  size_t length() const { return d_v.size(); }
+  int32_t ref(size_t k) const;
+  void set(size_t k, int32_t x);
+  const int32_t *elements(size_t &len);
+  int32_t *writable_elements(size_t &len);
+  const void *uniform_elements(size_t &len);
+  void *uniform_writable_elements(size_t &len);
+};
+
+////////////////////////////////////////////////////////////////////////////
+//                           pmt_u64vector
+////////////////////////////////////////////////////////////////////////////
+
+class pmt_u64vector : public pmt_uniform_vector
+{
+  std::vector< uint64_t >      d_v;
+
+public:
+  pmt_u64vector(size_t k, uint64_t fill);
+  pmt_u64vector(size_t k, const uint64_t *data);
+  // ~pmt_u64vector();
+
+  bool is_u64vector() const { return true; }
+  size_t length() const { return d_v.size(); }
+  uint64_t ref(size_t k) const;
+  void set(size_t k, uint64_t x);
+  const uint64_t *elements(size_t &len);
+  uint64_t *writable_elements(size_t &len);
+  const void *uniform_elements(size_t &len);
+  void *uniform_writable_elements(size_t &len);
+};
+
+////////////////////////////////////////////////////////////////////////////
+//                           pmt_s64vector
+////////////////////////////////////////////////////////////////////////////
+
+class pmt_s64vector : public pmt_uniform_vector
+{
+  std::vector< int64_t >       d_v;
+
+public:
+  pmt_s64vector(size_t k, int64_t fill);
+  pmt_s64vector(size_t k, const int64_t *data);
+  // ~pmt_s64vector();
+
+  bool is_s64vector() const { return true; }
+  size_t length() const { return d_v.size(); }
+  int64_t ref(size_t k) const;
+  void set(size_t k, int64_t x);
+  const int64_t *elements(size_t &len);
+  int64_t *writable_elements(size_t &len);
+  const void *uniform_elements(size_t &len);
+  void *uniform_writable_elements(size_t &len);
+};
+
+////////////////////////////////////////////////////////////////////////////
+//                           pmt_f32vector
+////////////////////////////////////////////////////////////////////////////
+
+class pmt_f32vector : public pmt_uniform_vector
+{
+  std::vector< float > d_v;
+
+public:
+  pmt_f32vector(size_t k, float fill);
+  pmt_f32vector(size_t k, const float *data);
+  // ~pmt_f32vector();
+
+  bool is_f32vector() const { return true; }
+  size_t length() const { return d_v.size(); }
+  float ref(size_t k) const;
+  void set(size_t k, float x);
+  const float *elements(size_t &len);
+  float *writable_elements(size_t &len);
+  const void *uniform_elements(size_t &len);
+  void *uniform_writable_elements(size_t &len);
+};
+
+////////////////////////////////////////////////////////////////////////////
+//                           pmt_f64vector
+////////////////////////////////////////////////////////////////////////////
+
+class pmt_f64vector : public pmt_uniform_vector
+{
+  std::vector< double >        d_v;
+
+public:
+  pmt_f64vector(size_t k, double fill);
+  pmt_f64vector(size_t k, const double *data);
+  // ~pmt_f64vector();
+
+  bool is_f64vector() const { return true; }
+  size_t length() const { return d_v.size(); }
+  double ref(size_t k) const;
+  void set(size_t k, double x);
+  const double *elements(size_t &len);
+  double *writable_elements(size_t &len);
+  const void *uniform_elements(size_t &len);
+  void *uniform_writable_elements(size_t &len);
+};
+
+////////////////////////////////////////////////////////////////////////////
+//                           pmt_c32vector
+////////////////////////////////////////////////////////////////////////////
+
+class pmt_c32vector : public pmt_uniform_vector
+{
+  std::vector< std::complex<float> >   d_v;
+
+public:
+  pmt_c32vector(size_t k, std::complex<float> fill);
+  pmt_c32vector(size_t k, const std::complex<float> *data);
+  // ~pmt_c32vector();
+
+  bool is_c32vector() const { return true; }
+  size_t length() const { return d_v.size(); }
+  std::complex<float> ref(size_t k) const;
+  void set(size_t k, std::complex<float> x);
+  const std::complex<float> *elements(size_t &len);
+  std::complex<float> *writable_elements(size_t &len);
+  const void *uniform_elements(size_t &len);
+  void *uniform_writable_elements(size_t &len);
+};
+
+////////////////////////////////////////////////////////////////////////////
+//                           pmt_c64vector
+////////////////////////////////////////////////////////////////////////////
+
+class pmt_c64vector : public pmt_uniform_vector
+{
+  std::vector< std::complex<double> >  d_v;
+
+public:
+  pmt_c64vector(size_t k, std::complex<double> fill);
+  pmt_c64vector(size_t k, const std::complex<double> *data);
+  // ~pmt_c64vector();
+
+  bool is_c64vector() const { return true; }
+  size_t length() const { return d_v.size(); }
+  std::complex<double> ref(size_t k) const;
+  void set(size_t k, std::complex<double> x);
+  const std::complex<double> *elements(size_t &len);
+  std::complex<double> *writable_elements(size_t &len);
+  const void *uniform_elements(size_t &len);
+  void *uniform_writable_elements(size_t &len);
+};
+
+#endif
diff --git a/pmt/src/lib/qa_pmt.cc b/pmt/src/lib/qa_pmt.cc
new file mode 100644 (file)
index 0000000..250befa
--- /dev/null
@@ -0,0 +1,40 @@
+/*
+ * Copyright 2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * This class gathers together all the test cases for pmt into
+ * a single test suite.  As you create new test cases, add them here.
+ */
+
+#include <qa_pmt.h>
+#include <qa_pmt_prims.h>
+#include <qa_pmt_unv.h>
+
+CppUnit::TestSuite *
+qa_pmt::suite ()
+{
+  CppUnit::TestSuite   *s = new CppUnit::TestSuite ("pmt");
+
+  s->addTest (qa_pmt_prims::suite ());
+  s->addTest (qa_pmt_unv::suite ());
+  
+  return s;
+}
diff --git a/pmt/src/lib/qa_pmt.h b/pmt/src/lib/qa_pmt.h
new file mode 100644 (file)
index 0000000..43a6dbf
--- /dev/null
@@ -0,0 +1,36 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifndef INCLUDED_QA_PMT_H
+#define INCLUDED_QA_PMT_H
+
+#include <cppunit/TestSuite.h>
+
+//! collect all the tests for pmt
+
+class qa_pmt {
+ public:
+  //! return suite of tests for all of pmt
+  static CppUnit::TestSuite *suite ();
+};
+
+#endif /* INCLUDED_QA_PMT_H */
diff --git a/pmt/src/lib/qa_pmt_prims.cc b/pmt/src/lib/qa_pmt_prims.cc
new file mode 100644 (file)
index 0000000..57db4a1
--- /dev/null
@@ -0,0 +1,415 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#include <qa_pmt_prims.h>
+#include <cppunit/TestAssert.h>
+#include <pmt.h>
+#include <stdio.h>
+#include <sstream>
+
+void
+qa_pmt_prims::test_symbols()
+{
+  CPPUNIT_ASSERT(!pmt_is_symbol(PMT_T));
+  CPPUNIT_ASSERT(!pmt_is_symbol(PMT_F));
+  CPPUNIT_ASSERT_THROW(pmt_symbol_to_string(PMT_F), pmt_wrong_type);
+
+  pmt_t sym1 = pmt_string_to_symbol("test");
+  CPPUNIT_ASSERT(pmt_is_symbol(sym1));
+  CPPUNIT_ASSERT_EQUAL(std::string("test"), pmt_symbol_to_string(sym1));
+  CPPUNIT_ASSERT(pmt_is_true(sym1));
+  CPPUNIT_ASSERT(!pmt_is_false(sym1));
+
+  pmt_t sym2 = pmt_string_to_symbol("foo");
+  pmt_t sym3 = pmt_string_to_symbol("test");
+  CPPUNIT_ASSERT_EQUAL(sym1, sym3);
+  CPPUNIT_ASSERT(sym1 != sym2);
+  CPPUNIT_ASSERT(sym1 == sym3);
+
+  static const int N = 2048;
+  std::vector<pmt_t> v1(N);
+  std::vector<pmt_t> v2(N);
+
+  // generate a bunch of symbols
+  for (int i = 0; i < N; i++){
+    char buf[100];
+    snprintf(buf, sizeof(buf), "test-%d", i);
+    v1[i] = pmt_string_to_symbol(buf);
+  }
+
+  // confirm that they are all unique
+  for (int i = 0; i < N; i++)
+    for (int j = i + 1; j < N; j++)
+      CPPUNIT_ASSERT(v1[i] != v1[j]);
+
+  // generate the same symbols again
+  for (int i = 0; i < N; i++){
+    char buf[100];
+    snprintf(buf, sizeof(buf), "test-%d", i);
+    v2[i] = pmt_string_to_symbol(buf);
+  }
+
+  // confirm that we get the same ones back
+  for (int i = 0; i < N; i++)
+    CPPUNIT_ASSERT(v1[i] == v2[i]);
+}
+
+void
+qa_pmt_prims::test_booleans()
+{
+  pmt_t sym = pmt_string_to_symbol("test");
+  CPPUNIT_ASSERT(pmt_is_bool(PMT_T));
+  CPPUNIT_ASSERT(pmt_is_bool(PMT_F));
+  CPPUNIT_ASSERT(!pmt_is_bool(sym));
+  CPPUNIT_ASSERT_EQUAL(pmt_from_bool(false), PMT_F);
+  CPPUNIT_ASSERT_EQUAL(pmt_from_bool(true), PMT_T);
+  CPPUNIT_ASSERT_EQUAL(false, pmt_to_bool(PMT_F));
+  CPPUNIT_ASSERT_EQUAL(true, pmt_to_bool(PMT_T));
+  CPPUNIT_ASSERT_THROW(pmt_to_bool(sym), pmt_wrong_type);
+}
+
+void
+qa_pmt_prims::test_integers()
+{
+  pmt_t p1 = pmt_from_long(1);
+  pmt_t m1 = pmt_from_long(-1);
+  CPPUNIT_ASSERT(!pmt_is_integer(PMT_T));
+  CPPUNIT_ASSERT(pmt_is_integer(p1));
+  CPPUNIT_ASSERT(pmt_is_integer(m1));
+  CPPUNIT_ASSERT_THROW(pmt_to_long(PMT_T), pmt_wrong_type);
+  CPPUNIT_ASSERT_EQUAL(-1L, pmt_to_long(m1));
+  CPPUNIT_ASSERT_EQUAL(1L, pmt_to_long(p1));
+}
+
+void
+qa_pmt_prims::test_reals()
+{
+  pmt_t p1 = pmt_from_double(1);
+  pmt_t m1 = pmt_from_double(-1);
+  CPPUNIT_ASSERT(!pmt_is_real(PMT_T));
+  CPPUNIT_ASSERT(pmt_is_real(p1));
+  CPPUNIT_ASSERT(pmt_is_real(m1));
+  CPPUNIT_ASSERT_THROW(pmt_to_double(PMT_T), pmt_wrong_type);
+  CPPUNIT_ASSERT_EQUAL(-1.0, pmt_to_double(m1));
+  CPPUNIT_ASSERT_EQUAL(1.0, pmt_to_double(p1));
+  CPPUNIT_ASSERT_EQUAL(1.0, pmt_to_double(pmt_from_long(1)));
+}
+
+void
+qa_pmt_prims::test_complexes()
+{
+  pmt_t p1 = pmt_make_rectangular(2, -3);
+  pmt_t m1 = pmt_make_rectangular(-3, 2);
+  CPPUNIT_ASSERT(!pmt_is_complex(PMT_T));
+  CPPUNIT_ASSERT(pmt_is_complex(p1));
+  CPPUNIT_ASSERT(pmt_is_complex(m1));
+  CPPUNIT_ASSERT_THROW(pmt_to_complex(PMT_T), pmt_wrong_type);
+  CPPUNIT_ASSERT_EQUAL(std::complex<double>(2, -3), pmt_to_complex(p1));
+  CPPUNIT_ASSERT_EQUAL(std::complex<double>(-3, 2), pmt_to_complex(m1));
+  CPPUNIT_ASSERT_EQUAL(std::complex<double>(1.0, 0), pmt_to_complex(pmt_from_long(1)));
+  CPPUNIT_ASSERT_EQUAL(std::complex<double>(1.0, 0), pmt_to_complex(pmt_from_double(1.0)));
+}
+
+void
+qa_pmt_prims::test_pairs()
+{
+  CPPUNIT_ASSERT(pmt_is_null(PMT_NIL));
+  CPPUNIT_ASSERT(!pmt_is_pair(PMT_NIL));
+  pmt_t s1 = pmt_string_to_symbol("s1");
+  pmt_t s2 = pmt_string_to_symbol("s2");
+  pmt_t s3 = pmt_string_to_symbol("s3");
+
+
+  CPPUNIT_ASSERT_EQUAL((size_t)0, pmt_length(PMT_NIL));
+  CPPUNIT_ASSERT_THROW(pmt_length(s1), pmt_wrong_type);
+  CPPUNIT_ASSERT_THROW(pmt_length(pmt_from_double(42)), pmt_wrong_type);
+
+  pmt_t c1 = pmt_cons(s1, PMT_NIL);
+  CPPUNIT_ASSERT(pmt_is_pair(c1));
+  CPPUNIT_ASSERT(!pmt_is_pair(s1));
+  CPPUNIT_ASSERT_EQUAL(s1, pmt_car(c1));
+  CPPUNIT_ASSERT_EQUAL(PMT_NIL, pmt_cdr(c1));
+  CPPUNIT_ASSERT_EQUAL((size_t) 1, pmt_length(c1));
+
+  pmt_t c3 = pmt_cons(s3, PMT_NIL);
+  pmt_t c2 = pmt_cons(s2, c3);
+  pmt_set_cdr(c1, c2);
+  CPPUNIT_ASSERT_EQUAL(c2, pmt_cdr(c1));
+  pmt_set_car(c1, s3);
+  CPPUNIT_ASSERT_EQUAL(s3, pmt_car(c1));
+  CPPUNIT_ASSERT_EQUAL((size_t)1, pmt_length(c3));
+  CPPUNIT_ASSERT_EQUAL((size_t)2, pmt_length(c2));
+  
+  CPPUNIT_ASSERT_THROW(pmt_cdr(PMT_NIL), pmt_wrong_type);
+  CPPUNIT_ASSERT_THROW(pmt_car(PMT_NIL), pmt_wrong_type);
+  CPPUNIT_ASSERT_THROW(pmt_set_car(s1, PMT_NIL), pmt_wrong_type);
+  CPPUNIT_ASSERT_THROW(pmt_set_cdr(s1, PMT_NIL), pmt_wrong_type);
+}
+
+void
+qa_pmt_prims::test_vectors()
+{
+  static const size_t N = 3;
+  pmt_t v1 = pmt_make_vector(N, PMT_NIL);
+  CPPUNIT_ASSERT_EQUAL(N, pmt_length(v1));
+  pmt_t s0 = pmt_string_to_symbol("s0");
+  pmt_t s1 = pmt_string_to_symbol("s1");
+  pmt_t s2 = pmt_string_to_symbol("s2");
+
+  pmt_vector_set(v1, 0, s0);
+  pmt_vector_set(v1, 1, s1);
+  pmt_vector_set(v1, 2, s2);
+
+  CPPUNIT_ASSERT_EQUAL(s0, pmt_vector_ref(v1, 0));
+  CPPUNIT_ASSERT_EQUAL(s1, pmt_vector_ref(v1, 1));
+  CPPUNIT_ASSERT_EQUAL(s2, pmt_vector_ref(v1, 2));
+
+  CPPUNIT_ASSERT_THROW(pmt_vector_ref(v1, N), pmt_out_of_range);
+  CPPUNIT_ASSERT_THROW(pmt_vector_set(v1, N, PMT_NIL), pmt_out_of_range);
+
+  pmt_vector_fill(v1, s0);
+  for (size_t i = 0; i < N; i++)
+    CPPUNIT_ASSERT_EQUAL(s0, pmt_vector_ref(v1, i));
+}
+
+void
+qa_pmt_prims::test_equivalence()
+{
+  pmt_t s0 = pmt_string_to_symbol("s0");
+  pmt_t s1 = pmt_string_to_symbol("s1");
+  pmt_t s2 = pmt_string_to_symbol("s2");
+  pmt_t list0 = pmt_cons(s0, pmt_cons(s1, pmt_cons(s2, PMT_NIL)));
+  pmt_t list1 = pmt_cons(s0, pmt_cons(s1, pmt_cons(s2, PMT_NIL)));
+  pmt_t i0 = pmt_from_long(42);
+  pmt_t i1 = pmt_from_long(42);
+  pmt_t r0 = pmt_from_double(42);
+  pmt_t r1 = pmt_from_double(42);
+  pmt_t r2 = pmt_from_double(43);
+
+  CPPUNIT_ASSERT(pmt_eq(s0, s0));
+  CPPUNIT_ASSERT(!pmt_eq(s0, s1));
+  CPPUNIT_ASSERT(pmt_eqv(s0, s0));
+  CPPUNIT_ASSERT(!pmt_eqv(s0, s1));
+
+  CPPUNIT_ASSERT(pmt_eqv(i0, i1));
+  CPPUNIT_ASSERT(pmt_eqv(r0, r1));
+  CPPUNIT_ASSERT(!pmt_eqv(r0, r2));
+  CPPUNIT_ASSERT(!pmt_eqv(i0, r0));
+
+  CPPUNIT_ASSERT(!pmt_eq(list0, list1));
+  CPPUNIT_ASSERT(!pmt_eqv(list0, list1));
+  CPPUNIT_ASSERT(pmt_equal(list0, list1));
+
+  pmt_t v0 = pmt_make_vector(3, s0);
+  pmt_t v1 = pmt_make_vector(3, s0);
+  pmt_t v2 = pmt_make_vector(4, s0);
+  CPPUNIT_ASSERT(!pmt_eqv(v0, v1));
+  CPPUNIT_ASSERT(pmt_equal(v0, v1));
+  CPPUNIT_ASSERT(!pmt_equal(v0, v2));
+
+  pmt_vector_set(v0, 0, list0);
+  pmt_vector_set(v0, 1, list0);
+  pmt_vector_set(v1, 0, list1);
+  pmt_vector_set(v1, 1, list1);
+  CPPUNIT_ASSERT(pmt_equal(v0, v1));
+}
+
+void
+qa_pmt_prims::test_misc()
+{
+  pmt_t k0 = pmt_string_to_symbol("k0");
+  pmt_t k1 = pmt_string_to_symbol("k1");
+  pmt_t k2 = pmt_string_to_symbol("k2");
+  pmt_t k3 = pmt_string_to_symbol("k3");
+  pmt_t v0 = pmt_string_to_symbol("v0");
+  pmt_t v1 = pmt_string_to_symbol("v1");
+  pmt_t v2 = pmt_string_to_symbol("v2");
+  pmt_t p0 = pmt_cons(k0, v0);
+  pmt_t p1 = pmt_cons(k1, v1);
+  pmt_t p2 = pmt_cons(k2, v2);
+  
+  pmt_t alist = pmt_cons(p0, pmt_cons(p1, pmt_cons(p2, PMT_NIL)));
+  CPPUNIT_ASSERT(pmt_eq(p1, pmt_assv(k1, alist)));
+  CPPUNIT_ASSERT(pmt_eq(PMT_F, pmt_assv(k3, alist)));
+  
+  pmt_t keys = pmt_cons(k0, pmt_cons(k1, pmt_cons(k2, PMT_NIL)));
+  pmt_t vals = pmt_cons(v0, pmt_cons(v1, pmt_cons(v2, PMT_NIL)));
+  CPPUNIT_ASSERT(pmt_equal(keys, pmt_map(pmt_car, alist)));
+  CPPUNIT_ASSERT(pmt_equal(vals, pmt_map(pmt_cdr, alist)));
+}
+
+void
+qa_pmt_prims::test_dict()
+{
+  pmt_t dict = pmt_make_dict();
+  CPPUNIT_ASSERT(pmt_is_dict(dict));
+
+  pmt_t k0 = pmt_string_to_symbol("k0");
+  pmt_t k1 = pmt_string_to_symbol("k1");
+  pmt_t k2 = pmt_string_to_symbol("k2");
+  pmt_t k3 = pmt_string_to_symbol("k3");
+  pmt_t v0 = pmt_string_to_symbol("v0");
+  pmt_t v1 = pmt_string_to_symbol("v1");
+  pmt_t v2 = pmt_string_to_symbol("v2");
+  pmt_t v3 = pmt_string_to_symbol("v3");
+  pmt_t not_found = pmt_cons(PMT_NIL, PMT_NIL);
+  
+  CPPUNIT_ASSERT(!pmt_dict_has_key(dict, k0));
+  pmt_dict_set(dict, k0, v0);
+  CPPUNIT_ASSERT(pmt_dict_has_key(dict, k0));
+  CPPUNIT_ASSERT(pmt_eqv(pmt_dict_ref(dict, k0, not_found), v0));
+  CPPUNIT_ASSERT(pmt_eqv(pmt_dict_ref(dict, k1, not_found), not_found));
+  pmt_dict_set(dict, k1, v1);
+  pmt_dict_set(dict, k2, v2);
+  CPPUNIT_ASSERT(pmt_eqv(pmt_dict_ref(dict, k1, not_found), v1));
+  pmt_dict_set(dict, k1, v3);
+  CPPUNIT_ASSERT(pmt_eqv(pmt_dict_ref(dict, k1, not_found), v3));
+
+  pmt_t keys = pmt_cons(k2, pmt_cons(k1, pmt_cons(k0, PMT_NIL)));
+  pmt_t vals = pmt_cons(v2, pmt_cons(v3, pmt_cons(v0, PMT_NIL)));
+  CPPUNIT_ASSERT(pmt_equal(keys, pmt_dict_keys(dict)));
+  CPPUNIT_ASSERT(pmt_equal(vals, pmt_dict_values(dict)));
+}
+
+void
+qa_pmt_prims::test_io()
+{
+  pmt_t k0 = pmt_string_to_symbol("k0");
+  pmt_t k1 = pmt_string_to_symbol("k1");
+  pmt_t k2 = pmt_string_to_symbol("k2");
+  pmt_t k3 = pmt_string_to_symbol("k3");
+
+  CPPUNIT_ASSERT_EQUAL(std::string("k0"), pmt_write_string(k0));
+}
+
+void
+qa_pmt_prims::test_lists()
+{
+  pmt_t s0 = pmt_intern("s0");
+  pmt_t s1 = pmt_intern("s1");
+  pmt_t s2 = pmt_intern("s2");
+  pmt_t s3 = pmt_intern("s3");
+
+  pmt_t l1 = pmt_list4(s0, s1, s2, s3);
+  pmt_t l2 = pmt_list3(s0, s1, s2);
+  pmt_t l3 = pmt_list_add(l2, s3);
+  CPPUNIT_ASSERT(pmt_equal(l1, l3));
+}
+
+// ------------------------------------------------------------------------
+
+// class foo is used in test_any below.
+// It can't be declared in the scope of test_any because of template
+// namespace problems.
+
+class foo {
+public:
+  double       d_double;
+  int          d_int;
+  foo(double d=0, int i=0) : d_double(d), d_int(i) {}
+};
+
+bool operator==(const foo &a, const foo &b)
+{
+  return a.d_double == b.d_double && a.d_int == b.d_int;
+}
+
+std::ostream& operator<<(std::ostream &os, const foo obj)
+{
+  os << "<foo: " << obj.d_double << ", " << obj.d_int << ">";
+  return os;
+}
+
+void
+qa_pmt_prims::test_any()
+{
+  boost::any a0;
+  boost::any a1;
+  boost::any a2;
+
+  a0 = std::string("Hello!");
+  a1 = 42;
+  a2 = foo(3.250, 21);
+
+  pmt_t p0 = pmt_make_any(a0);
+  pmt_t p1 = pmt_make_any(a1);
+  pmt_t p2 = pmt_make_any(a2);
+
+  CPPUNIT_ASSERT_EQUAL(std::string("Hello!"),
+                      boost::any_cast<std::string>(pmt_any_ref(p0)));
+
+  CPPUNIT_ASSERT_EQUAL(42,
+                      boost::any_cast<int>(pmt_any_ref(p1)));
+
+  CPPUNIT_ASSERT_EQUAL(foo(3.250, 21),
+                      boost::any_cast<foo>(pmt_any_ref(p2)));
+}
+
+// ------------------------------------------------------------------------
+
+void
+qa_pmt_prims::test_serialize()
+{
+  std::stringbuf sb;           // fake channel
+  pmt_t a = pmt_intern("a");
+  pmt_t b = pmt_intern("b");
+  pmt_t c = pmt_intern("c");
+
+  sb.str("");                  // reset channel to empty
+
+  // write stuff to channel
+
+  pmt_serialize(PMT_NIL, sb);
+  pmt_serialize(pmt_intern("foobarvia"), sb);
+  pmt_serialize(pmt_from_long(123456789), sb);
+  pmt_serialize(pmt_from_long(-123456789), sb);
+  pmt_serialize(pmt_cons(PMT_NIL, PMT_NIL), sb);
+  pmt_serialize(pmt_cons(a, b), sb);
+  pmt_serialize(pmt_list1(a), sb);
+  pmt_serialize(pmt_list2(a, b), sb);
+  pmt_serialize(pmt_list3(a, b, c), sb);
+  pmt_serialize(pmt_list3(a, pmt_list3(c, b, a), c), sb);
+  pmt_serialize(PMT_T, sb);
+  pmt_serialize(PMT_F, sb);
+
+  // read it back
+
+  CPPUNIT_ASSERT(pmt_equal(pmt_deserialize(sb), PMT_NIL));
+  CPPUNIT_ASSERT(pmt_equal(pmt_deserialize(sb), pmt_intern("foobarvia")));
+  CPPUNIT_ASSERT(pmt_equal(pmt_deserialize(sb), pmt_from_long(123456789)));
+  CPPUNIT_ASSERT(pmt_equal(pmt_deserialize(sb), pmt_from_long(-123456789)));
+  CPPUNIT_ASSERT(pmt_equal(pmt_deserialize(sb), pmt_cons(PMT_NIL, PMT_NIL)));
+  CPPUNIT_ASSERT(pmt_equal(pmt_deserialize(sb), pmt_cons(a, b)));
+  CPPUNIT_ASSERT(pmt_equal(pmt_deserialize(sb), pmt_list1(a)));
+  CPPUNIT_ASSERT(pmt_equal(pmt_deserialize(sb), pmt_list2(a, b)));
+  CPPUNIT_ASSERT(pmt_equal(pmt_deserialize(sb), pmt_list3(a, b, c)));
+  CPPUNIT_ASSERT(pmt_equal(pmt_deserialize(sb), pmt_list3(a, pmt_list3(c, b, a), c)));
+  CPPUNIT_ASSERT(pmt_equal(pmt_deserialize(sb), PMT_T));
+  CPPUNIT_ASSERT(pmt_equal(pmt_deserialize(sb), PMT_F));
+
+  CPPUNIT_ASSERT(pmt_equal(pmt_deserialize(sb), PMT_EOF));     // last item
+
+
+  // FIXME add tests for real, complex, vector, uniform-vector, dict
+  // FIXME add tests for malformed input too.
+
+}
diff --git a/pmt/src/lib/qa_pmt_prims.h b/pmt/src/lib/qa_pmt_prims.h
new file mode 100644 (file)
index 0000000..919fc2d
--- /dev/null
@@ -0,0 +1,65 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+#ifndef INCLUDED_QA_PMT_PRIMS_H
+#define INCLUDED_QA_PMT_PRIMS_H
+
+#include <cppunit/extensions/HelperMacros.h>
+#include <cppunit/TestCase.h>
+
+class qa_pmt_prims : public CppUnit::TestCase {
+
+  CPPUNIT_TEST_SUITE(qa_pmt_prims);
+  CPPUNIT_TEST(test_symbols);
+  CPPUNIT_TEST(test_booleans);
+  CPPUNIT_TEST(test_integers);
+  CPPUNIT_TEST(test_reals);
+  CPPUNIT_TEST(test_complexes);
+  CPPUNIT_TEST(test_pairs);
+  CPPUNIT_TEST(test_vectors);
+  CPPUNIT_TEST(test_equivalence);
+  CPPUNIT_TEST(test_misc);
+  CPPUNIT_TEST(test_dict);
+  CPPUNIT_TEST(test_any);
+  CPPUNIT_TEST(test_io);
+  CPPUNIT_TEST(test_lists);
+  CPPUNIT_TEST(test_serialize);
+  CPPUNIT_TEST_SUITE_END();
+
+ private:
+  void test_symbols();
+  void test_booleans();
+  void test_integers();
+  void test_reals();
+  void test_complexes();
+  void test_pairs();
+  void test_vectors();
+  void test_equivalence();
+  void test_misc();
+  void test_dict();
+  void test_any();
+  void test_io();
+  void test_lists();
+  void test_serialize();
+};
+
+#endif /* INCLUDED_QA_PMT_PRIMS_H */
+
diff --git a/pmt/src/lib/qa_pmt_unv.cc b/pmt/src/lib/qa_pmt_unv.cc
new file mode 100644 (file)
index 0000000..b3edf00
--- /dev/null
@@ -0,0 +1,447 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#include <qa_pmt_unv.h>
+#include <cppunit/TestAssert.h>
+#include <pmt.h>
+#include <stdio.h>
+
+void
+qa_pmt_unv::test_u8vector()
+{
+  static const size_t N = 3;
+  pmt_t v1 = pmt_make_u8vector(N, 0);
+  CPPUNIT_ASSERT_EQUAL(N, pmt_length(v1));
+  uint8_t s0 = uint8_t(10);
+  uint8_t s1 = uint8_t(20);
+  uint8_t s2 = uint8_t(30);
+
+  pmt_u8vector_set(v1, 0, s0);
+  pmt_u8vector_set(v1, 1, s1);
+  pmt_u8vector_set(v1, 2, s2);
+
+  CPPUNIT_ASSERT_EQUAL(s0, pmt_u8vector_ref(v1, 0));
+  CPPUNIT_ASSERT_EQUAL(s1, pmt_u8vector_ref(v1, 1));
+  CPPUNIT_ASSERT_EQUAL(s2, pmt_u8vector_ref(v1, 2));
+
+  CPPUNIT_ASSERT_THROW(pmt_u8vector_ref(v1, N), pmt_out_of_range);
+  CPPUNIT_ASSERT_THROW(pmt_u8vector_set(v1, N, uint8_t(0)), pmt_out_of_range);
+
+  size_t       len;
+  const uint8_t *rd = pmt_u8vector_elements(v1, len);
+  CPPUNIT_ASSERT_EQUAL(len, N);
+  CPPUNIT_ASSERT_EQUAL(s0, rd[0]);
+  CPPUNIT_ASSERT_EQUAL(s1, rd[1]);
+  CPPUNIT_ASSERT_EQUAL(s2, rd[2]);
+
+  uint8_t *wr = pmt_u8vector_writable_elements(v1, len);
+  CPPUNIT_ASSERT_EQUAL(len, N);
+  wr[0] = uint8_t(0);
+  CPPUNIT_ASSERT_EQUAL(uint8_t(0), wr[0]);
+  CPPUNIT_ASSERT_EQUAL(s1, wr[1]);
+  CPPUNIT_ASSERT_EQUAL(s2, wr[2]);
+}
+void
+qa_pmt_unv::test_s8vector()
+{
+  static const size_t N = 3;
+  pmt_t v1 = pmt_make_s8vector(N, 0);
+  CPPUNIT_ASSERT_EQUAL(N, pmt_length(v1));
+  int8_t s0 = int8_t(10);
+  int8_t s1 = int8_t(20);
+  int8_t s2 = int8_t(30);
+
+  pmt_s8vector_set(v1, 0, s0);
+  pmt_s8vector_set(v1, 1, s1);
+  pmt_s8vector_set(v1, 2, s2);
+
+  CPPUNIT_ASSERT_EQUAL(s0, pmt_s8vector_ref(v1, 0));
+  CPPUNIT_ASSERT_EQUAL(s1, pmt_s8vector_ref(v1, 1));
+  CPPUNIT_ASSERT_EQUAL(s2, pmt_s8vector_ref(v1, 2));
+
+  CPPUNIT_ASSERT_THROW(pmt_s8vector_ref(v1, N), pmt_out_of_range);
+  CPPUNIT_ASSERT_THROW(pmt_s8vector_set(v1, N, int8_t(0)), pmt_out_of_range);
+
+  size_t       len;
+  const int8_t *rd = pmt_s8vector_elements(v1, len);
+  CPPUNIT_ASSERT_EQUAL(len, N);
+  CPPUNIT_ASSERT_EQUAL(s0, rd[0]);
+  CPPUNIT_ASSERT_EQUAL(s1, rd[1]);
+  CPPUNIT_ASSERT_EQUAL(s2, rd[2]);
+
+  int8_t *wr = pmt_s8vector_writable_elements(v1, len);
+  CPPUNIT_ASSERT_EQUAL(len, N);
+  wr[0] = int8_t(0);
+  CPPUNIT_ASSERT_EQUAL(int8_t(0), wr[0]);
+  CPPUNIT_ASSERT_EQUAL(s1, wr[1]);
+  CPPUNIT_ASSERT_EQUAL(s2, wr[2]);
+}
+void
+qa_pmt_unv::test_u16vector()
+{
+  static const size_t N = 3;
+  pmt_t v1 = pmt_make_u16vector(N, 0);
+  CPPUNIT_ASSERT_EQUAL(N, pmt_length(v1));
+  uint16_t s0 = uint16_t(10);
+  uint16_t s1 = uint16_t(20);
+  uint16_t s2 = uint16_t(30);
+
+  pmt_u16vector_set(v1, 0, s0);
+  pmt_u16vector_set(v1, 1, s1);
+  pmt_u16vector_set(v1, 2, s2);
+
+  CPPUNIT_ASSERT_EQUAL(s0, pmt_u16vector_ref(v1, 0));
+  CPPUNIT_ASSERT_EQUAL(s1, pmt_u16vector_ref(v1, 1));
+  CPPUNIT_ASSERT_EQUAL(s2, pmt_u16vector_ref(v1, 2));
+
+  CPPUNIT_ASSERT_THROW(pmt_u16vector_ref(v1, N), pmt_out_of_range);
+  CPPUNIT_ASSERT_THROW(pmt_u16vector_set(v1, N, uint16_t(0)), pmt_out_of_range);
+
+  size_t       len;
+  const uint16_t *rd = pmt_u16vector_elements(v1, len);
+  CPPUNIT_ASSERT_EQUAL(len, N);
+  CPPUNIT_ASSERT_EQUAL(s0, rd[0]);
+  CPPUNIT_ASSERT_EQUAL(s1, rd[1]);
+  CPPUNIT_ASSERT_EQUAL(s2, rd[2]);
+
+  uint16_t *wr = pmt_u16vector_writable_elements(v1, len);
+  CPPUNIT_ASSERT_EQUAL(len, N);
+  wr[0] = uint16_t(0);
+  CPPUNIT_ASSERT_EQUAL(uint16_t(0), wr[0]);
+  CPPUNIT_ASSERT_EQUAL(s1, wr[1]);
+  CPPUNIT_ASSERT_EQUAL(s2, wr[2]);
+}
+void
+qa_pmt_unv::test_s16vector()
+{
+  static const size_t N = 3;
+  pmt_t v1 = pmt_make_s16vector(N, 0);
+  CPPUNIT_ASSERT_EQUAL(N, pmt_length(v1));
+  int16_t s0 = int16_t(10);
+  int16_t s1 = int16_t(20);
+  int16_t s2 = int16_t(30);
+
+  pmt_s16vector_set(v1, 0, s0);
+  pmt_s16vector_set(v1, 1, s1);
+  pmt_s16vector_set(v1, 2, s2);
+
+  CPPUNIT_ASSERT_EQUAL(s0, pmt_s16vector_ref(v1, 0));
+  CPPUNIT_ASSERT_EQUAL(s1, pmt_s16vector_ref(v1, 1));
+  CPPUNIT_ASSERT_EQUAL(s2, pmt_s16vector_ref(v1, 2));
+
+  CPPUNIT_ASSERT_THROW(pmt_s16vector_ref(v1, N), pmt_out_of_range);
+  CPPUNIT_ASSERT_THROW(pmt_s16vector_set(v1, N, int16_t(0)), pmt_out_of_range);
+
+  size_t       len;
+  const int16_t *rd = pmt_s16vector_elements(v1, len);
+  CPPUNIT_ASSERT_EQUAL(len, N);
+  CPPUNIT_ASSERT_EQUAL(s0, rd[0]);
+  CPPUNIT_ASSERT_EQUAL(s1, rd[1]);
+  CPPUNIT_ASSERT_EQUAL(s2, rd[2]);
+
+  int16_t *wr = pmt_s16vector_writable_elements(v1, len);
+  CPPUNIT_ASSERT_EQUAL(len, N);
+  wr[0] = int16_t(0);
+  CPPUNIT_ASSERT_EQUAL(int16_t(0), wr[0]);
+  CPPUNIT_ASSERT_EQUAL(s1, wr[1]);
+  CPPUNIT_ASSERT_EQUAL(s2, wr[2]);
+}
+void
+qa_pmt_unv::test_u32vector()
+{
+  static const size_t N = 3;
+  pmt_t v1 = pmt_make_u32vector(N, 0);
+  CPPUNIT_ASSERT_EQUAL(N, pmt_length(v1));
+  uint32_t s0 = uint32_t(10);
+  uint32_t s1 = uint32_t(20);
+  uint32_t s2 = uint32_t(30);
+
+  pmt_u32vector_set(v1, 0, s0);
+  pmt_u32vector_set(v1, 1, s1);
+  pmt_u32vector_set(v1, 2, s2);
+
+  CPPUNIT_ASSERT_EQUAL(s0, pmt_u32vector_ref(v1, 0));
+  CPPUNIT_ASSERT_EQUAL(s1, pmt_u32vector_ref(v1, 1));
+  CPPUNIT_ASSERT_EQUAL(s2, pmt_u32vector_ref(v1, 2));
+
+  CPPUNIT_ASSERT_THROW(pmt_u32vector_ref(v1, N), pmt_out_of_range);
+  CPPUNIT_ASSERT_THROW(pmt_u32vector_set(v1, N, uint32_t(0)), pmt_out_of_range);
+
+  size_t       len;
+  const uint32_t *rd = pmt_u32vector_elements(v1, len);
+  CPPUNIT_ASSERT_EQUAL(len, N);
+  CPPUNIT_ASSERT_EQUAL(s0, rd[0]);
+  CPPUNIT_ASSERT_EQUAL(s1, rd[1]);
+  CPPUNIT_ASSERT_EQUAL(s2, rd[2]);
+
+  uint32_t *wr = pmt_u32vector_writable_elements(v1, len);
+  CPPUNIT_ASSERT_EQUAL(len, N);
+  wr[0] = uint32_t(0);
+  CPPUNIT_ASSERT_EQUAL(uint32_t(0), wr[0]);
+  CPPUNIT_ASSERT_EQUAL(s1, wr[1]);
+  CPPUNIT_ASSERT_EQUAL(s2, wr[2]);
+}
+void
+qa_pmt_unv::test_s32vector()
+{
+  static const size_t N = 3;
+  pmt_t v1 = pmt_make_s32vector(N, 0);
+  CPPUNIT_ASSERT_EQUAL(N, pmt_length(v1));
+  int32_t s0 = int32_t(10);
+  int32_t s1 = int32_t(20);
+  int32_t s2 = int32_t(30);
+
+  pmt_s32vector_set(v1, 0, s0);
+  pmt_s32vector_set(v1, 1, s1);
+  pmt_s32vector_set(v1, 2, s2);
+
+  CPPUNIT_ASSERT_EQUAL(s0, pmt_s32vector_ref(v1, 0));
+  CPPUNIT_ASSERT_EQUAL(s1, pmt_s32vector_ref(v1, 1));
+  CPPUNIT_ASSERT_EQUAL(s2, pmt_s32vector_ref(v1, 2));
+
+  CPPUNIT_ASSERT_THROW(pmt_s32vector_ref(v1, N), pmt_out_of_range);
+  CPPUNIT_ASSERT_THROW(pmt_s32vector_set(v1, N, int32_t(0)), pmt_out_of_range);
+
+  size_t       len;
+  const int32_t *rd = pmt_s32vector_elements(v1, len);
+  CPPUNIT_ASSERT_EQUAL(len, N);
+  CPPUNIT_ASSERT_EQUAL(s0, rd[0]);
+  CPPUNIT_ASSERT_EQUAL(s1, rd[1]);
+  CPPUNIT_ASSERT_EQUAL(s2, rd[2]);
+
+  int32_t *wr = pmt_s32vector_writable_elements(v1, len);
+  CPPUNIT_ASSERT_EQUAL(len, N);
+  wr[0] = int32_t(0);
+  CPPUNIT_ASSERT_EQUAL(int32_t(0), wr[0]);
+  CPPUNIT_ASSERT_EQUAL(s1, wr[1]);
+  CPPUNIT_ASSERT_EQUAL(s2, wr[2]);
+}
+void
+qa_pmt_unv::test_u64vector()
+{
+  static const size_t N = 3;
+  pmt_t v1 = pmt_make_u64vector(N, 0);
+  CPPUNIT_ASSERT_EQUAL(N, pmt_length(v1));
+  uint64_t s0 = uint64_t(10);
+  uint64_t s1 = uint64_t(20);
+  uint64_t s2 = uint64_t(30);
+
+  pmt_u64vector_set(v1, 0, s0);
+  pmt_u64vector_set(v1, 1, s1);
+  pmt_u64vector_set(v1, 2, s2);
+
+  CPPUNIT_ASSERT_EQUAL(s0, pmt_u64vector_ref(v1, 0));
+  CPPUNIT_ASSERT_EQUAL(s1, pmt_u64vector_ref(v1, 1));
+  CPPUNIT_ASSERT_EQUAL(s2, pmt_u64vector_ref(v1, 2));
+
+  CPPUNIT_ASSERT_THROW(pmt_u64vector_ref(v1, N), pmt_out_of_range);
+  CPPUNIT_ASSERT_THROW(pmt_u64vector_set(v1, N, uint64_t(0)), pmt_out_of_range);
+
+  size_t       len;
+  const uint64_t *rd = pmt_u64vector_elements(v1, len);
+  CPPUNIT_ASSERT_EQUAL(len, N);
+  CPPUNIT_ASSERT_EQUAL(s0, rd[0]);
+  CPPUNIT_ASSERT_EQUAL(s1, rd[1]);
+  CPPUNIT_ASSERT_EQUAL(s2, rd[2]);
+
+  uint64_t *wr = pmt_u64vector_writable_elements(v1, len);
+  CPPUNIT_ASSERT_EQUAL(len, N);
+  wr[0] = uint64_t(0);
+  CPPUNIT_ASSERT_EQUAL(uint64_t(0), wr[0]);
+  CPPUNIT_ASSERT_EQUAL(s1, wr[1]);
+  CPPUNIT_ASSERT_EQUAL(s2, wr[2]);
+}
+void
+qa_pmt_unv::test_s64vector()
+{
+  static const size_t N = 3;
+  pmt_t v1 = pmt_make_s64vector(N, 0);
+  CPPUNIT_ASSERT_EQUAL(N, pmt_length(v1));
+  int64_t s0 = int64_t(10);
+  int64_t s1 = int64_t(20);
+  int64_t s2 = int64_t(30);
+
+  pmt_s64vector_set(v1, 0, s0);
+  pmt_s64vector_set(v1, 1, s1);
+  pmt_s64vector_set(v1, 2, s2);
+
+  CPPUNIT_ASSERT_EQUAL(s0, pmt_s64vector_ref(v1, 0));
+  CPPUNIT_ASSERT_EQUAL(s1, pmt_s64vector_ref(v1, 1));
+  CPPUNIT_ASSERT_EQUAL(s2, pmt_s64vector_ref(v1, 2));
+
+  CPPUNIT_ASSERT_THROW(pmt_s64vector_ref(v1, N), pmt_out_of_range);
+  CPPUNIT_ASSERT_THROW(pmt_s64vector_set(v1, N, int64_t(0)), pmt_out_of_range);
+
+  size_t       len;
+  const int64_t *rd = pmt_s64vector_elements(v1, len);
+  CPPUNIT_ASSERT_EQUAL(len, N);
+  CPPUNIT_ASSERT_EQUAL(s0, rd[0]);
+  CPPUNIT_ASSERT_EQUAL(s1, rd[1]);
+  CPPUNIT_ASSERT_EQUAL(s2, rd[2]);
+
+  int64_t *wr = pmt_s64vector_writable_elements(v1, len);
+  CPPUNIT_ASSERT_EQUAL(len, N);
+  wr[0] = int64_t(0);
+  CPPUNIT_ASSERT_EQUAL(int64_t(0), wr[0]);
+  CPPUNIT_ASSERT_EQUAL(s1, wr[1]);
+  CPPUNIT_ASSERT_EQUAL(s2, wr[2]);
+}
+void
+qa_pmt_unv::test_f32vector()
+{
+  static const size_t N = 3;
+  pmt_t v1 = pmt_make_f32vector(N, 0);
+  CPPUNIT_ASSERT_EQUAL(N, pmt_length(v1));
+  float s0 = float(10);
+  float s1 = float(20);
+  float s2 = float(30);
+
+  pmt_f32vector_set(v1, 0, s0);
+  pmt_f32vector_set(v1, 1, s1);
+  pmt_f32vector_set(v1, 2, s2);
+
+  CPPUNIT_ASSERT_EQUAL(s0, pmt_f32vector_ref(v1, 0));
+  CPPUNIT_ASSERT_EQUAL(s1, pmt_f32vector_ref(v1, 1));
+  CPPUNIT_ASSERT_EQUAL(s2, pmt_f32vector_ref(v1, 2));
+
+  CPPUNIT_ASSERT_THROW(pmt_f32vector_ref(v1, N), pmt_out_of_range);
+  CPPUNIT_ASSERT_THROW(pmt_f32vector_set(v1, N, float(0)), pmt_out_of_range);
+
+  size_t       len;
+  const float *rd = pmt_f32vector_elements(v1, len);
+  CPPUNIT_ASSERT_EQUAL(len, N);
+  CPPUNIT_ASSERT_EQUAL(s0, rd[0]);
+  CPPUNIT_ASSERT_EQUAL(s1, rd[1]);
+  CPPUNIT_ASSERT_EQUAL(s2, rd[2]);
+
+  float *wr = pmt_f32vector_writable_elements(v1, len);
+  CPPUNIT_ASSERT_EQUAL(len, N);
+  wr[0] = float(0);
+  CPPUNIT_ASSERT_EQUAL(float(0), wr[0]);
+  CPPUNIT_ASSERT_EQUAL(s1, wr[1]);
+  CPPUNIT_ASSERT_EQUAL(s2, wr[2]);
+}
+void
+qa_pmt_unv::test_f64vector()
+{
+  static const size_t N = 3;
+  pmt_t v1 = pmt_make_f64vector(N, 0);
+  CPPUNIT_ASSERT_EQUAL(N, pmt_length(v1));
+  double s0 = double(10);
+  double s1 = double(20);
+  double s2 = double(30);
+
+  pmt_f64vector_set(v1, 0, s0);
+  pmt_f64vector_set(v1, 1, s1);
+  pmt_f64vector_set(v1, 2, s2);
+
+  CPPUNIT_ASSERT_EQUAL(s0, pmt_f64vector_ref(v1, 0));
+  CPPUNIT_ASSERT_EQUAL(s1, pmt_f64vector_ref(v1, 1));
+  CPPUNIT_ASSERT_EQUAL(s2, pmt_f64vector_ref(v1, 2));
+
+  CPPUNIT_ASSERT_THROW(pmt_f64vector_ref(v1, N), pmt_out_of_range);
+  CPPUNIT_ASSERT_THROW(pmt_f64vector_set(v1, N, double(0)), pmt_out_of_range);
+
+  size_t       len;
+  const double *rd = pmt_f64vector_elements(v1, len);
+  CPPUNIT_ASSERT_EQUAL(len, N);
+  CPPUNIT_ASSERT_EQUAL(s0, rd[0]);
+  CPPUNIT_ASSERT_EQUAL(s1, rd[1]);
+  CPPUNIT_ASSERT_EQUAL(s2, rd[2]);
+
+  double *wr = pmt_f64vector_writable_elements(v1, len);
+  CPPUNIT_ASSERT_EQUAL(len, N);
+  wr[0] = double(0);
+  CPPUNIT_ASSERT_EQUAL(double(0), wr[0]);
+  CPPUNIT_ASSERT_EQUAL(s1, wr[1]);
+  CPPUNIT_ASSERT_EQUAL(s2, wr[2]);
+}
+void
+qa_pmt_unv::test_c32vector()
+{
+  static const size_t N = 3;
+  pmt_t v1 = pmt_make_c32vector(N, 0);
+  CPPUNIT_ASSERT_EQUAL(N, pmt_length(v1));
+  std::complex<float> s0 = std::complex<float>(10);
+  std::complex<float> s1 = std::complex<float>(20);
+  std::complex<float> s2 = std::complex<float>(30);
+
+  pmt_c32vector_set(v1, 0, s0);
+  pmt_c32vector_set(v1, 1, s1);
+  pmt_c32vector_set(v1, 2, s2);
+
+  CPPUNIT_ASSERT_EQUAL(s0, pmt_c32vector_ref(v1, 0));
+  CPPUNIT_ASSERT_EQUAL(s1, pmt_c32vector_ref(v1, 1));
+  CPPUNIT_ASSERT_EQUAL(s2, pmt_c32vector_ref(v1, 2));
+
+  CPPUNIT_ASSERT_THROW(pmt_c32vector_ref(v1, N), pmt_out_of_range);
+  CPPUNIT_ASSERT_THROW(pmt_c32vector_set(v1, N, std::complex<float>(0)), pmt_out_of_range);
+
+  size_t       len;
+  const std::complex<float> *rd = pmt_c32vector_elements(v1, len);
+  CPPUNIT_ASSERT_EQUAL(len, N);
+  CPPUNIT_ASSERT_EQUAL(s0, rd[0]);
+  CPPUNIT_ASSERT_EQUAL(s1, rd[1]);
+  CPPUNIT_ASSERT_EQUAL(s2, rd[2]);
+
+  std::complex<float> *wr = pmt_c32vector_writable_elements(v1, len);
+  CPPUNIT_ASSERT_EQUAL(len, N);
+  wr[0] = std::complex<float>(0);
+  CPPUNIT_ASSERT_EQUAL(std::complex<float>(0), wr[0]);
+  CPPUNIT_ASSERT_EQUAL(s1, wr[1]);
+  CPPUNIT_ASSERT_EQUAL(s2, wr[2]);
+}
+void
+qa_pmt_unv::test_c64vector()
+{
+  static const size_t N = 3;
+  pmt_t v1 = pmt_make_c64vector(N, 0);
+  CPPUNIT_ASSERT_EQUAL(N, pmt_length(v1));
+  std::complex<double> s0 = std::complex<double>(10);
+  std::complex<double> s1 = std::complex<double>(20);
+  std::complex<double> s2 = std::complex<double>(30);
+
+  pmt_c64vector_set(v1, 0, s0);
+  pmt_c64vector_set(v1, 1, s1);
+  pmt_c64vector_set(v1, 2, s2);
+
+  CPPUNIT_ASSERT_EQUAL(s0, pmt_c64vector_ref(v1, 0));
+  CPPUNIT_ASSERT_EQUAL(s1, pmt_c64vector_ref(v1, 1));
+  CPPUNIT_ASSERT_EQUAL(s2, pmt_c64vector_ref(v1, 2));
+
+  CPPUNIT_ASSERT_THROW(pmt_c64vector_ref(v1, N), pmt_out_of_range);
+  CPPUNIT_ASSERT_THROW(pmt_c64vector_set(v1, N, std::complex<double>(0)), pmt_out_of_range);
+
+  size_t       len;
+  const std::complex<double> *rd = pmt_c64vector_elements(v1, len);
+  CPPUNIT_ASSERT_EQUAL(len, N);
+  CPPUNIT_ASSERT_EQUAL(s0, rd[0]);
+  CPPUNIT_ASSERT_EQUAL(s1, rd[1]);
+  CPPUNIT_ASSERT_EQUAL(s2, rd[2]);
+
+  std::complex<double> *wr = pmt_c64vector_writable_elements(v1, len);
+  CPPUNIT_ASSERT_EQUAL(len, N);
+  wr[0] = std::complex<double>(0);
+  CPPUNIT_ASSERT_EQUAL(std::complex<double>(0), wr[0]);
+  CPPUNIT_ASSERT_EQUAL(s1, wr[1]);
+  CPPUNIT_ASSERT_EQUAL(s2, wr[2]);
+}
diff --git a/pmt/src/lib/qa_pmt_unv.h b/pmt/src/lib/qa_pmt_unv.h
new file mode 100644 (file)
index 0000000..ce051b6
--- /dev/null
@@ -0,0 +1,61 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifndef INCLUDED_QA_PMT_UNV_H
+#define INCLUDED_QA_PMT_UNV_H
+
+#include <cppunit/extensions/HelperMacros.h>
+#include <cppunit/TestCase.h>
+
+class qa_pmt_unv : public CppUnit::TestCase {
+
+  CPPUNIT_TEST_SUITE(qa_pmt_unv);
+  CPPUNIT_TEST(test_u8vector);
+  CPPUNIT_TEST(test_s8vector);
+  CPPUNIT_TEST(test_u16vector);
+  CPPUNIT_TEST(test_s16vector);
+  CPPUNIT_TEST(test_u32vector);
+  CPPUNIT_TEST(test_s32vector);
+  CPPUNIT_TEST(test_u64vector);
+  CPPUNIT_TEST(test_s64vector);
+  CPPUNIT_TEST(test_f32vector);
+  CPPUNIT_TEST(test_f64vector);
+  CPPUNIT_TEST(test_c32vector);
+  CPPUNIT_TEST(test_c64vector);
+  CPPUNIT_TEST_SUITE_END();
+
+ private:
+  void test_u8vector();
+  void test_s8vector();
+  void test_u16vector();
+  void test_s16vector();
+  void test_u32vector();
+  void test_s32vector();
+  void test_u64vector();
+  void test_s64vector();
+  void test_f32vector();
+  void test_f64vector();
+  void test_c32vector();
+  void test_c64vector();
+};
+
+#endif
diff --git a/pmt/src/lib/stamp-sources-generate b/pmt/src/lib/stamp-sources-generate
new file mode 100644 (file)
index 0000000..e69de29
diff --git a/pmt/src/lib/test_pmt.cc b/pmt/src/lib/test_pmt.cc
new file mode 100644 (file)
index 0000000..034785f
--- /dev/null
@@ -0,0 +1,37 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#include <cppunit/TextTestRunner.h>
+#include <qa_pmt.h>
+
+int 
+main(int argc, char **argv)
+{
+  
+  CppUnit::TextTestRunner      runner;
+
+  runner.addTest(qa_pmt::suite ());
+  
+  bool was_successful = runner.run("", false);
+
+  return was_successful ? 0 : 1;
+}
diff --git a/pmt/src/lib/unv_qa_template.cc.t b/pmt/src/lib/unv_qa_template.cc.t
new file mode 100644 (file)
index 0000000..1e2c8e8
--- /dev/null
@@ -0,0 +1,35 @@
+void
+qa_pmt_unv::test_@TAG@vector()
+{
+  static const size_t N = 3;
+  pmt_t v1 = pmt_make_@TAG@vector(N, 0);
+  CPPUNIT_ASSERT_EQUAL(N, pmt_length(v1));
+  @TYPE@ s0 = @TYPE@(10);
+  @TYPE@ s1 = @TYPE@(20);
+  @TYPE@ s2 = @TYPE@(30);
+
+  pmt_@TAG@vector_set(v1, 0, s0);
+  pmt_@TAG@vector_set(v1, 1, s1);
+  pmt_@TAG@vector_set(v1, 2, s2);
+
+  CPPUNIT_ASSERT_EQUAL(s0, pmt_@TAG@vector_ref(v1, 0));
+  CPPUNIT_ASSERT_EQUAL(s1, pmt_@TAG@vector_ref(v1, 1));
+  CPPUNIT_ASSERT_EQUAL(s2, pmt_@TAG@vector_ref(v1, 2));
+
+  CPPUNIT_ASSERT_THROW(pmt_@TAG@vector_ref(v1, N), pmt_out_of_range);
+  CPPUNIT_ASSERT_THROW(pmt_@TAG@vector_set(v1, N, @TYPE@(0)), pmt_out_of_range);
+
+  size_t       len;
+  const @TYPE@ *rd = pmt_@TAG@vector_elements(v1, len);
+  CPPUNIT_ASSERT_EQUAL(len, N);
+  CPPUNIT_ASSERT_EQUAL(s0, rd[0]);
+  CPPUNIT_ASSERT_EQUAL(s1, rd[1]);
+  CPPUNIT_ASSERT_EQUAL(s2, rd[2]);
+
+  @TYPE@ *wr = pmt_@TAG@vector_writable_elements(v1, len);
+  CPPUNIT_ASSERT_EQUAL(len, N);
+  wr[0] = @TYPE@(0);
+  CPPUNIT_ASSERT_EQUAL(@TYPE@(0), wr[0]);
+  CPPUNIT_ASSERT_EQUAL(s1, wr[1]);
+  CPPUNIT_ASSERT_EQUAL(s2, wr[2]);
+}
diff --git a/pmt/src/lib/unv_template.cc.t b/pmt/src/lib/unv_template.cc.t
new file mode 100644 (file)
index 0000000..1e86cae
--- /dev/null
@@ -0,0 +1,118 @@
+////////////////////////////////////////////////////////////////////////////
+//                           pmt_@TAG@vector
+////////////////////////////////////////////////////////////////////////////
+
+static pmt_@TAG@vector *
+_@TAG@vector(pmt_t x)
+{
+  return dynamic_cast<pmt_@TAG@vector*>(x.get());
+}
+
+
+pmt_@TAG@vector::pmt_@TAG@vector(size_t k, @TYPE@ fill)
+  : d_v(k)
+{
+  for (size_t i = 0; i < k; i++)
+    d_v[i] = fill;
+}
+
+pmt_@TAG@vector::pmt_@TAG@vector(size_t k, const @TYPE@ *data)
+  : d_v(k)
+{
+  for (size_t i = 0; i < k; i++)
+    d_v[i] = data[i];
+}
+
+@TYPE@
+pmt_@TAG@vector::ref(size_t k) const
+{
+  if (k >= length())
+    throw pmt_out_of_range("pmt_@TAG@vector_ref", pmt_from_long(k));
+  return d_v[k];
+}
+
+void 
+pmt_@TAG@vector::set(size_t k, @TYPE@ x)
+{
+  if (k >= length())
+    throw pmt_out_of_range("pmt_@TAG@vector_set", pmt_from_long(k));
+  d_v[k] = x;
+}
+
+const @TYPE@ *
+pmt_@TAG@vector::elements(size_t &len)
+{
+  len = length();
+  return &d_v[0];
+}
+
+@TYPE@ *
+pmt_@TAG@vector::writable_elements(size_t &len)
+{
+  len = length();
+  return &d_v[0];
+}
+
+const void*
+pmt_@TAG@vector::uniform_elements(size_t &len)
+{
+  len = length() * sizeof(@TYPE@);
+  return &d_v[0];
+}
+
+void*
+pmt_@TAG@vector::uniform_writable_elements(size_t &len)
+{
+  len = length() * sizeof(@TYPE@);
+  return &d_v[0];
+}
+
+bool
+pmt_is_@TAG@vector(pmt_t obj)
+{
+  return obj->is_@TAG@vector();
+}
+
+pmt_t
+pmt_make_@TAG@vector(size_t k, @TYPE@ fill)
+{
+  return pmt_t(new pmt_@TAG@vector(k, fill));
+}
+
+pmt_t
+pmt_init_@TAG@vector(size_t k, const @TYPE@ *data)
+{
+  return pmt_t(new pmt_@TAG@vector(k, data));
+}
+
+@TYPE@
+pmt_@TAG@vector_ref(pmt_t vector, size_t k)
+{
+  if (!vector->is_@TAG@vector())
+    throw pmt_wrong_type("pmt_@TAG@vector_ref", vector);
+  return _@TAG@vector(vector)->ref(k);
+}
+
+void
+pmt_@TAG@vector_set(pmt_t vector, size_t k, @TYPE@ obj)
+{
+  if (!vector->is_@TAG@vector())
+    throw pmt_wrong_type("pmt_@TAG@vector_set", vector);
+  _@TAG@vector(vector)->set(k, obj);
+}
+
+const @TYPE@ *
+pmt_@TAG@vector_elements(pmt_t vector, size_t &len)
+{
+  if (!vector->is_@TAG@vector())
+    throw pmt_wrong_type("pmt_@TAG@vector_elements", vector);
+  return _@TAG@vector(vector)->elements(len);
+}
+
+@TYPE@ *
+pmt_@TAG@vector_writable_elements(pmt_t vector, size_t &len)
+{
+  if (!vector->is_@TAG@vector())
+    throw pmt_wrong_type("pmt_@TAG@vector_writable_elements", vector);
+  return _@TAG@vector(vector)->writable_elements(len);
+}
diff --git a/pmt/src/lib/unv_template.h.t b/pmt/src/lib/unv_template.h.t
new file mode 100644 (file)
index 0000000..83ba0be
--- /dev/null
@@ -0,0 +1,23 @@
+
+////////////////////////////////////////////////////////////////////////////
+//                           pmt_@TAG@vector
+////////////////////////////////////////////////////////////////////////////
+
+class pmt_@TAG@vector : public pmt_uniform_vector
+{
+  std::vector< @TYPE@ >        d_v;
+
+public:
+  pmt_@TAG@vector(size_t k, @TYPE@ fill);
+  pmt_@TAG@vector(size_t k, const @TYPE@ *data);
+  // ~pmt_@TAG@vector();
+
+  bool is_@TAG@vector() const { return true; }
+  size_t length() const { return d_v.size(); }
+  @TYPE@ ref(size_t k) const;
+  void set(size_t k, @TYPE@ x);
+  const @TYPE@ *elements(size_t &len);
+  @TYPE@ *writable_elements(size_t &len);
+  const void *uniform_elements(size_t &len);
+  void *uniform_writable_elements(size_t &len);
+};
diff --git a/pmt/src/scheme/Makefile.am b/pmt/src/scheme/Makefile.am
new file mode 100644 (file)
index 0000000..4980063
--- /dev/null
@@ -0,0 +1,21 @@
+#
+# Copyright 2007 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License along
+# with this program; if not, write to the Free Software Foundation, Inc.,
+# 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+#
+
+SUBDIRS = gnuradio
diff --git a/pmt/src/scheme/Makefile.in b/pmt/src/scheme/Makefile.in
new file mode 100644 (file)
index 0000000..2132bbf
--- /dev/null
@@ -0,0 +1,895 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2007 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License along
+# with this program; if not, write to the Free Software Foundation, Inc.,
+# 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+#
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+subdir = pmt/src/scheme
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+SUBDIRS = gnuradio
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am  $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  pmt/src/scheme/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  pmt/src/scheme/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+check-am: all-am
+check: check-recursive
+all-am: Makefile
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am
+
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/pmt/src/scheme/gnuradio/Makefile.am b/pmt/src/scheme/gnuradio/Makefile.am
new file mode 100644 (file)
index 0000000..0ce01f6
--- /dev/null
@@ -0,0 +1,31 @@
+#
+# Copyright 2007,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License along
+# with this program; if not, write to the Free Software Foundation, Inc.,
+# 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+#
+
+#pkgdatadir = $(datadir)/gnuradio
+
+EXTRA_DIST =                           \
+       gen-serial-tags.scm
+
+# really scheme source files
+dist_pkgdata_DATA =                    \
+       pmt-serial-tags.scm             \
+       pmt-serialize.scm               \
+       macros-etc.scm
+
diff --git a/pmt/src/scheme/gnuradio/Makefile.in b/pmt/src/scheme/gnuradio/Makefile.in
new file mode 100644 (file)
index 0000000..9b7a902
--- /dev/null
@@ -0,0 +1,780 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2007,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License along
+# with this program; if not, write to the Free Software Foundation, Inc.,
+# 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+#
+
+#pkgdatadir = $(datadir)/gnuradio
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+subdir = pmt/src/scheme/gnuradio
+DIST_COMMON = $(dist_pkgdata_DATA) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(pkgdatadir)"
+dist_pkgdataDATA_INSTALL = $(INSTALL_DATA)
+DATA = $(dist_pkgdata_DATA)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+EXTRA_DIST = \
+       gen-serial-tags.scm
+
+
+# really scheme source files
+dist_pkgdata_DATA = \
+       pmt-serial-tags.scm             \
+       pmt-serialize.scm               \
+       macros-etc.scm
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am  $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  pmt/src/scheme/gnuradio/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  pmt/src/scheme/gnuradio/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-dist_pkgdataDATA: $(dist_pkgdata_DATA)
+       @$(NORMAL_INSTALL)
+       test -z "$(pkgdatadir)" || $(MKDIR_P) "$(DESTDIR)$(pkgdatadir)"
+       @list='$(dist_pkgdata_DATA)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(dist_pkgdataDATA_INSTALL) '$$d$$p' '$(DESTDIR)$(pkgdatadir)/$$f'"; \
+         $(dist_pkgdataDATA_INSTALL) "$$d$$p" "$(DESTDIR)$(pkgdatadir)/$$f"; \
+       done
+
+uninstall-dist_pkgdataDATA:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_pkgdata_DATA)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(pkgdatadir)/$$f'"; \
+         rm -f "$(DESTDIR)$(pkgdatadir)/$$f"; \
+       done
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+check-am: all-am
+check: check-am
+all-am: Makefile $(DATA)
+installdirs:
+       for dir in "$(DESTDIR)$(pkgdatadir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-dist_pkgdataDATA
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-dist_pkgdataDATA
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       distclean distclean-generic distclean-libtool distdir dvi \
+       dvi-am html html-am info info-am install install-am \
+       install-data install-data-am install-dist_pkgdataDATA \
+       install-dvi install-dvi-am install-exec install-exec-am \
+       install-html install-html-am install-info install-info-am \
+       install-man install-pdf install-pdf-am install-ps \
+       install-ps-am install-strip installcheck installcheck-am \
+       installdirs maintainer-clean maintainer-clean-generic \
+       mostlyclean mostlyclean-generic mostlyclean-libtool pdf pdf-am \
+       ps ps-am uninstall uninstall-am uninstall-dist_pkgdataDATA
+
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/pmt/src/scheme/gnuradio/gen-serial-tags.scm b/pmt/src/scheme/gnuradio/gen-serial-tags.scm
new file mode 100755 (executable)
index 0000000..7b90872
--- /dev/null
@@ -0,0 +1,118 @@
+#!/usr/bin/guile \
+-e main -s
+!#
+;;; -*-scheme-*-
+;;;
+;;; Copyright 2007 Free Software Foundation, Inc.
+;;; 
+;;; This file is part of GNU Radio
+;;; 
+;;; GNU Radio is free software; you can redistribute it and/or modify
+;;; it under the terms of the GNU General Public License as published by
+;;; the Free Software Foundation; either version 3, or (at your option)
+;;; any later version.
+;;; 
+;;; GNU Radio is distributed in the hope that it will be useful,
+;;; but WITHOUT ANY WARRANTY; without even the implied warranty of
+;;; MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+;;; GNU General Public License for more details.
+;;; 
+;;; You should have received a copy of the GNU General Public License along
+;;; with this program; if not, write to the Free Software Foundation, Inc.,
+;;; 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+;;;
+
+(use-modules (ice-9 format))
+
+(defmacro when (pred . body)
+  `(if ,pred (begin ,@body) #f))
+
+;; ----------------------------------------------------------------
+
+(define (main args)
+
+  (define (usage)
+    (format 0
+           "usage: ~a <pmt-serial-tags.scm> <pmt_serial_tags.h>~%"
+           (car args)))
+
+  (when (not (= (length args) 3))
+       (usage)
+       (format 0 "args: ~s~%" args)
+       (exit 1))
+      
+  (let ((i-file (open-input-file (cadr args)))
+       (h-file (open-output-file (caddr args))))
+
+      (write-header-comment h-file "// ")
+      (display "#ifndef INCLUDED_PMT_SERIAL_TAGS_H\n" h-file)
+      (display "#define INCLUDED_PMT_SERIAL_TAGS_H\n" h-file)
+      (newline h-file)
+      (display "enum pst_tags {\n" h-file)
+
+      (for-each-in-file i-file
+       (lambda (form)
+        (let* ((name (cadr form))
+               (c-name (string-upcase (c-ify name)))
+               (value (caddr form)))
+          ;;(format h-file   "static const int ~a\t= 0x~x;~%" c-name value)
+          (format h-file   "  ~a\t= 0x~x,~%" c-name value))))
+
+      (display "};\n" h-file)
+      (display "#endif\n" h-file)))
+
+(define (c-ify name)
+  (list->string (map (lambda (c)
+                      (if (eqv? c #\-) #\_ c))
+                    (string->list (symbol->string name)))))
+
+
+(define (write-header-comment o-port prefix)
+  (for-each (lambda (comment)
+             (format o-port "~a~a~%" prefix comment))
+           header-comment))
+
+(define header-comment
+  '(
+    ""
+    "Copyright 2007 Free Software Foundation, Inc."
+    ""
+    "This file is part of GNU Radio"
+    ""
+    "GNU Radio is free software; you can redistribute it and/or modify"
+    "it under the terms of the GNU General Public License as published by"
+    "the Free Software Foundation; either version 3, or (at your option)"
+    "any later version."
+    ""
+    "GNU Radio is distributed in the hope that it will be useful,"
+    "but WITHOUT ANY WARRANTY; without even the implied warranty of"
+    "MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the"
+    "GNU General Public License for more details."
+    ""
+    "You should have received a copy of the GNU General Public License along"
+    "with this program; if not, write to the Free Software Foundation, Inc.,"
+    "51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA."
+    ""
+    ""
+    "THIS FILE IS MACHINE GENERATED FROM pmt-serial-tags.scm. DO NOT EDIT BY HAND."
+    "See pmt-serial-tags.scm for additional commentary."
+    ""))
+
+
+
+(define (for-each-in-file file f)
+  (let ((port (if (port? file)
+                 file
+                 (open-input-file file))))
+    (letrec
+     ((loop
+       (lambda (port form)
+        (cond ((eof-object? form)
+               (when (not (eq? port file))
+                     (close-input-port port))
+               #t)
+              (else
+               (f form)
+               (set! form #f)          ; for GC
+               (loop port (read port)))))))
+     (loop port (read port)))))
diff --git a/pmt/src/scheme/gnuradio/macros-etc.scm b/pmt/src/scheme/gnuradio/macros-etc.scm
new file mode 100644 (file)
index 0000000..ac2a4a3
--- /dev/null
@@ -0,0 +1,50 @@
+;;; -*- scheme -*-
+;;;
+;;; Copyright 2007 Free Software Foundation, Inc.
+;;; 
+;;; This file is part of GNU Radio
+;;; 
+;;; GNU Radio is free software; you can redistribute it and/or modify
+;;; it under the terms of the GNU General Public License as published by
+;;; the Free Software Foundation; either version 3, or (at your option)
+;;; any later version.
+;;; 
+;;; GNU Radio is distributed in the hope that it will be useful,
+;;; but WITHOUT ANY WARRANTY; without even the implied warranty of
+;;; MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+;;; GNU General Public License for more details.
+;;; 
+;;; You should have received a copy of the GNU General Public License along
+;;; with this program; if not, write to the Free Software Foundation, Inc.,
+;;; 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+;;;
+
+(define-module (gnuradio macros-etc)
+  :export (atom? when unless for-each-in-file))
+
+(define (atom? obj)
+  (not (pair? obj)))
+
+(defmacro when (pred . body)
+  `(if ,pred (begin ,@body) #f))
+
+(defmacro unless (pred . body)
+  `(if (not ,pred) (begin ,@body) #f))
+
+
+(define (for-each-in-file file f)
+  (let ((port (if (port? file)
+                 file
+                 (open-input-file file))))
+    (letrec
+     ((loop
+       (lambda (port form)
+        (cond ((eof-object? form)
+               (when (not (eq? port file))
+                     (close-input-port port))
+               #t)
+              (else
+               (f form)
+               (set! form #f)          ; for GC
+               (loop port (read port)))))))
+     (loop port (read port)))))
diff --git a/pmt/src/scheme/gnuradio/pmt-serial-tags.scm b/pmt/src/scheme/gnuradio/pmt-serial-tags.scm
new file mode 100644 (file)
index 0000000..646a751
--- /dev/null
@@ -0,0 +1,75 @@
+;;; -*-scheme-*-
+;;;
+;;; Copyright 2007 Free Software Foundation, Inc.
+;;; 
+;;; This file is part of GNU Radio
+;;; 
+;;; GNU Radio is free software; you can redistribute it and/or modify
+;;; it under the terms of the GNU General Public License as published by
+;;; the Free Software Foundation; either version 3, or (define at your option)
+;;; any later version.
+;;; 
+;;; GNU Radio is distributed in the hope that it will be useful,
+;;; but WITHOUT ANY WARRANTY; without even the implied warranty of
+;;; MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+;;; GNU General Public License for more details.
+;;; 
+;;; You should have received a copy of the GNU General Public License along
+;;; with this program; if not, write to the Free Software Foundation, Inc.,
+;;; 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+;;;
+
+;;; definitions of tag values used for marshalling pmt data
+
+(define pst-true               #x00)
+(define pst-false              #x01)
+(define pst-symbol             #x02)   ; untagged-int16 n; followed by n bytes of symbol name
+(define pst-int32              #x03)
+(define pst-double             #x04)
+(define pst-complex            #x05)   ; complex<double>: real, imag
+(define pst-null               #x06)
+(define pst-pair               #x07)   ; followed by two objects
+(define pst-vector             #x08)   ; untagged-int32 n; followed by n objects
+(define pst-dict               #x09)   ; untagged-int32 n; followed by n key/value tuples
+
+(define pst-uniform-vector     #x0a)
+
+;; u8, s8, u16, s16, u32, s32, u64, s64, f32, f64, c32, c64
+;;
+;;   untagged-uint8  tag
+;;   untagged-uint8  uvi (define uniform vector info, see below)
+;;   untagged-int32  n-items
+;;   untagged-uint8  npad
+;;   npad bytes of zeros to align binary data
+;;   n-items binary numeric items
+;;
+;; uvi:
+;; +-+-+-+-+-+-+-+-+
+;; |B|   subtype   |
+;; +-+-+-+-+-+-+-+-+
+;;
+;; B == 0, numeric data is little-endian.
+;; B == 1, numeric data is big-endian.
+
+    (define uvi-endian-mask     #x80)
+    (define uvi-subtype-mask    #x7f)
+
+    (define uvi-little-endian   #x00)
+    (define uvi-big-endian      #x80)
+
+    (define uvi-u8             #x00)
+    (define uvi-s8             #x01)
+    (define uvi-u16            #x02)
+    (define uvi-s16            #x03)
+    (define uvi-u32            #x04)
+    (define uvi-s32            #x05)
+    (define uvi-u64            #x06)
+    (define uvi-s64            #x07)
+    (define uvi-f32            #x08)
+    (define uvi-f64            #x09)
+    (define uvi-c32            #x0a)
+    (define uvi-c64            #x0b)
+
+
+(define pst-comment            #x3b)   ; ascii ';'
+(define pst-comment-end                #x0a)   ; ascii '\n'
diff --git a/pmt/src/scheme/gnuradio/pmt-serialize.scm b/pmt/src/scheme/gnuradio/pmt-serialize.scm
new file mode 100644 (file)
index 0000000..c1d32d7
--- /dev/null
@@ -0,0 +1,48 @@
+;;;
+;;; Copyright 2007 Free Software Foundation, Inc.
+;;; 
+;;; This file is part of GNU Radio
+;;; 
+;;; GNU Radio is free software; you can redistribute it and/or modify
+;;; it under the terms of the GNU General Public License as published by
+;;; the Free Software Foundation; either version 3, or (at your option)
+;;; any later version.
+;;; 
+;;; GNU Radio is distributed in the hope that it will be useful,
+;;; but WITHOUT ANY WARRANTY; without even the implied warranty of
+;;; MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+;;; GNU General Public License for more details.
+;;; 
+;;; You should have received a copy of the GNU General Public License along
+;;; with this program; if not, write to the Free Software Foundation, Inc.,
+;;; 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+;;;
+
+;;; An implementation of pmt_serialize in scheme.
+;;; Currently handles only symbols and pairs.  They're all we need for now.
+
+(define-module (gnuradio pmt-serialize)
+  :export (pmt-serialize))
+
+(load-from-path "gnuradio/pmt-serial-tags")
+
+(define (pmt-serialize obj put-byte)
+  (define (put-u16 x)
+    (put-byte (logand (ash x -8) #xff))
+    (put-byte (logand x #xff)))
+    
+  (cond ((null? obj)
+        (put-byte pst-null))
+       ((symbol? obj)
+        (let* ((sym-as-bytes (map char->integer (string->list (symbol->string obj))))
+               (len (length sym-as-bytes)))
+          (put-byte pst-symbol)
+          (put-u16 len)
+          (for-each put-byte sym-as-bytes)))
+       
+       ((pair? obj)
+        (put-byte pst-pair)
+        (pmt-serialize (car obj) put-byte)
+        (pmt-serialize (cdr obj) put-byte))
+       (else
+        (throw 'not-implemented "pmt-serialize" obj))))
diff --git a/py-compile b/py-compile
new file mode 100755 (executable)
index 0000000..d6e900b
--- /dev/null
@@ -0,0 +1,146 @@
+#!/bin/sh
+# py-compile - Compile a Python program
+
+scriptversion=2005-05-14.22
+
+# Copyright (C) 2000, 2001, 2003, 2004, 2005  Free Software Foundation, Inc.
+
+# This program is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 2, or (at your option)
+# any later version.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+
+# You should have received a copy of the GNU General Public License
+# along with this program; if not, write to the Free Software
+# Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA
+# 02110-1301, USA.
+
+# As a special exception to the GNU General Public License, if you
+# distribute this file as part of a program that contains a
+# configuration script generated by Autoconf, you may include it under
+# the same distribution terms that you use for the rest of that program.
+
+# This file is maintained in Automake, please report
+# bugs to <bug-automake@gnu.org> or send patches to
+# <automake-patches@gnu.org>.
+
+if [ -z "$PYTHON" ]; then
+  PYTHON=python
+fi
+
+basedir=
+destdir=
+files=
+while test $# -ne 0; do
+  case "$1" in
+    --basedir)
+      basedir=$2
+      if test -z "$basedir"; then
+        echo "$0: Missing argument to --basedir." 1>&2
+        exit 1
+      fi
+      shift
+      ;;
+    --destdir)
+      destdir=$2
+      if test -z "$destdir"; then
+        echo "$0: Missing argument to --destdir." 1>&2
+        exit 1
+      fi
+      shift
+      ;;
+    -h|--h*)
+      cat <<\EOF
+Usage: py-compile [--help] [--version] [--basedir DIR] [--destdir DIR] FILES..."
+
+Byte compile some python scripts FILES.  Use --destdir to specify any
+leading directory path to the FILES that you don't want to include in the
+byte compiled file.  Specify --basedir for any additional path information you
+do want to be shown in the byte compiled file.
+
+Example:
+  py-compile --destdir /tmp/pkg-root --basedir /usr/share/test test.py test2.py
+
+Report bugs to <bug-automake@gnu.org>.
+EOF
+      exit $?
+      ;;
+    -v|--v*)
+      echo "py-compile $scriptversion"
+      exit $?
+      ;;
+    *)
+      files="$files $1"
+      ;;
+  esac
+  shift
+done
+
+if test -z "$files"; then
+    echo "$0: No files given.  Try \`$0 --help' for more information." 1>&2
+    exit 1
+fi
+
+# if basedir was given, then it should be prepended to filenames before
+# byte compilation.
+if [ -z "$basedir" ]; then
+    pathtrans="path = file"
+else
+    pathtrans="path = os.path.join('$basedir', file)"
+fi
+
+# if destdir was given, then it needs to be prepended to the filename to
+# byte compile but not go into the compiled file.
+if [ -z "$destdir" ]; then
+    filetrans="filepath = path"
+else
+    filetrans="filepath = os.path.normpath('$destdir' + os.sep + path)"
+fi
+
+$PYTHON -c "
+import sys, os, string, py_compile
+
+files = '''$files'''
+
+print 'Byte-compiling python modules...'
+for file in string.split(files):
+    $pathtrans
+    $filetrans
+    if not os.path.exists(filepath) or not (len(filepath) >= 3
+                                            and filepath[-3:] == '.py'):
+       continue
+    print file,
+    sys.stdout.flush()
+    py_compile.compile(filepath, filepath + 'c', path)
+print" || exit $?
+
+# this will fail for python < 1.5, but that doesn't matter ...
+$PYTHON -O -c "
+import sys, os, string, py_compile
+
+files = '''$files'''
+print 'Byte-compiling python modules (optimized versions) ...'
+for file in string.split(files):
+    $pathtrans
+    $filetrans
+    if not os.path.exists(filepath) or not (len(filepath) >= 3
+                                            and filepath[-3:] == '.py'):
+       continue
+    print file,
+    sys.stdout.flush()
+    py_compile.compile(filepath, filepath + 'o', path)
+print" 2>/dev/null || :
+
+# Local Variables:
+# mode: shell-script
+# sh-indentation: 2
+# eval: (add-hook 'write-file-hooks 'time-stamp)
+# time-stamp-start: "scriptversion="
+# time-stamp-format: "%:y-%02m-%02d.%02H"
+# time-stamp-end: "$"
+# End:
diff --git a/usrp/Makefile.in b/usrp/Makefile.in
new file mode 100644 (file)
index 0000000..04886ba
--- /dev/null
@@ -0,0 +1,941 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2003 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+subdir = usrp
+DIST_COMMON = README $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(srcdir)/usrp.iss.in $(srcdir)/usrp.pc.in
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES = usrp.pc usrp.iss
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(pkgconfigdir)"
+pkgconfigDATA_INSTALL = $(INSTALL_DATA)
+DATA = $(pkgconfig_DATA)
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+EXTRA_DIST = \
+       usrp.pc.in \
+       usrp.iss.in \
+       usrp.inf
+
+SUBDIRS = host firmware fpga doc
+pkgconfigdir = $(libdir)/pkgconfig
+pkgconfig_DATA = \
+       usrp.pc
+
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am  $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  usrp/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  usrp/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+usrp.pc: $(top_builddir)/config.status $(srcdir)/usrp.pc.in
+       cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@
+usrp.iss: $(top_builddir)/config.status $(srcdir)/usrp.iss.in
+       cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-pkgconfigDATA: $(pkgconfig_DATA)
+       @$(NORMAL_INSTALL)
+       test -z "$(pkgconfigdir)" || $(MKDIR_P) "$(DESTDIR)$(pkgconfigdir)"
+       @list='$(pkgconfig_DATA)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(pkgconfigDATA_INSTALL) '$$d$$p' '$(DESTDIR)$(pkgconfigdir)/$$f'"; \
+         $(pkgconfigDATA_INSTALL) "$$d$$p" "$(DESTDIR)$(pkgconfigdir)/$$f"; \
+       done
+
+uninstall-pkgconfigDATA:
+       @$(NORMAL_UNINSTALL)
+       @list='$(pkgconfig_DATA)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(pkgconfigdir)/$$f'"; \
+         rm -f "$(DESTDIR)$(pkgconfigdir)/$$f"; \
+       done
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+check-am: all-am
+check: check-recursive
+all-am: Makefile $(DATA)
+installdirs: installdirs-recursive
+installdirs-am:
+       for dir in "$(DESTDIR)$(pkgconfigdir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am: install-pkgconfigDATA
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am: uninstall-pkgconfigDATA
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-pkgconfigDATA install-ps install-ps-am install-strip \
+       installcheck installcheck-am installdirs installdirs-am \
+       maintainer-clean maintainer-clean-generic mostlyclean \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       tags tags-recursive uninstall uninstall-am \
+       uninstall-pkgconfigDATA
+
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/usrp/doc/Makefile.in b/usrp/doc/Makefile.in
new file mode 100644 (file)
index 0000000..5922ee1
--- /dev/null
@@ -0,0 +1,1105 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2001,2005,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(dist_usrp_doc_DATA) $(srcdir)/Doxyfile.in \
+       $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = usrp/doc
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES = Doxyfile
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(usrp_docdir)"
+dist_usrp_docDATA_INSTALL = $(INSTALL_DATA)
+DATA = $(dist_usrp_doc_DATA)
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+SUBDIRS = other
+man3dir = $(mandir)/man3
+usrp_docdir = $(prefix)/share/doc/usrp-@VERSION@
+EXTRA_DIST = \
+       Doxyfile.in             \
+       ddc.eps                 \
+       ddc.png                 \
+       usrp-block-diagram.eps  \
+       usrp-block-diagram.png  \
+       usrp.jpg                \
+       usrp_guide.xml          
+
+@HAS_XMLTO_FALSE@DOCBOOK_HTML_FILES = 
+@HAS_XMLTO_TRUE@DOCBOOK_HTML_FILES = usrp_guide.html
+dist_usrp_doc_DATA = $(top_srcdir)/usrp/README
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  usrp/doc/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  usrp/doc/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+Doxyfile: $(top_builddir)/config.status $(srcdir)/Doxyfile.in
+       cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-dist_usrp_docDATA: $(dist_usrp_doc_DATA)
+       @$(NORMAL_INSTALL)
+       test -z "$(usrp_docdir)" || $(MKDIR_P) "$(DESTDIR)$(usrp_docdir)"
+       @list='$(dist_usrp_doc_DATA)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(dist_usrp_docDATA_INSTALL) '$$d$$p' '$(DESTDIR)$(usrp_docdir)/$$f'"; \
+         $(dist_usrp_docDATA_INSTALL) "$$d$$p" "$(DESTDIR)$(usrp_docdir)/$$f"; \
+       done
+
+uninstall-dist_usrp_docDATA:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_usrp_doc_DATA)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(usrp_docdir)/$$f'"; \
+         rm -f "$(DESTDIR)$(usrp_docdir)/$$f"; \
+       done
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-recursive
+all-am: Makefile $(DATA) all-local
+installdirs: installdirs-recursive
+installdirs-am:
+       for dir in "$(DESTDIR)$(usrp_docdir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool clean-local mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am: install-data-local install-dist_usrp_docDATA
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am: uninstall-dist_usrp_docDATA uninstall-local
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am all-local check check-am clean clean-generic \
+       clean-libtool clean-local ctags ctags-recursive dist-hook \
+       distclean distclean-generic distclean-libtool distclean-tags \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am install-data-local \
+       install-dist_usrp_docDATA install-dvi install-dvi-am \
+       install-exec install-exec-am install-html install-html-am \
+       install-info install-info-am install-man install-pdf \
+       install-pdf-am install-ps install-ps-am install-strip \
+       installcheck installcheck-am installdirs installdirs-am \
+       maintainer-clean maintainer-clean-generic mostlyclean \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       tags tags-recursive uninstall uninstall-am \
+       uninstall-dist_usrp_docDATA uninstall-local
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+@HAS_XMLTO_TRUE@all-local: dox docbook-html
+@HAS_XMLTO_FALSE@all-local: dox
+
+dox: html/index.html
+html/index.html:
+       $(MKDIR_P) html
+       @DOXYGEN@
+
+docbook-html: usrp_guide.html
+
+usrp_guide.html: usrp_guide.xml
+       xmlto html-nochunks $(top_srcdir)/usrp/doc/usrp_guide.xml
+
+install-data-local:
+       $(MKDIR_P) $(DESTDIR)$(usrp_docdir)/html
+       @for i in $(DOCBOOK_HTML_FILES); do \
+               echo "$(INSTALL_DATA) $$i $(DESTDIR)$(usrp_docdir)/html"; \
+               $(INSTALL_DATA) $$i $(DESTDIR)$(usrp_docdir)/html; \
+       done
+       cp -r html $(DESTDIR)$(usrp_docdir)
+
+uninstall-local:
+       $(RM) -fr $(DESTDIR)$(usrp_docdir)/html
+
+clean-local:
+       $(RM) -fr latex html man xml $(DOCBOOK_HTML_FILES)
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/usrp/doc/other/Makefile.in b/usrp/doc/other/Makefile.in
new file mode 100644 (file)
index 0000000..d9d4ff9
--- /dev/null
@@ -0,0 +1,875 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2005 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = usrp/doc/other
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+EXTRA_DIST = \
+       mainpage.dox            
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  usrp/doc/other/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  usrp/doc/other/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile
+installdirs:
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am install-dvi \
+       install-dvi-am install-exec install-exec-am install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-ps install-ps-am \
+       install-strip installcheck installcheck-am installdirs \
+       maintainer-clean maintainer-clean-generic mostlyclean \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/usrp/firmware/Makefile.in b/usrp/firmware/Makefile.in
new file mode 100644 (file)
index 0000000..3d4b459
--- /dev/null
@@ -0,0 +1,896 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2003 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+subdir = usrp/firmware
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+SUBDIRS = include lib src
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am  $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  usrp/firmware/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  usrp/firmware/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+check-am: all-am
+check: check-recursive
+all-am: Makefile
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am
+
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/usrp/firmware/include/Makefile.in b/usrp/firmware/include/Makefile.in
new file mode 100644 (file)
index 0000000..766ba6a
--- /dev/null
@@ -0,0 +1,850 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2003 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+subdir = usrp/firmware/include
+DIST_COMMON = $(include_HEADERS) $(noinst_HEADERS) \
+       $(srcdir)/Makefile.am $(srcdir)/Makefile.in
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(includedir)"
+includeHEADERS_INSTALL = $(INSTALL_HEADER)
+HEADERS = $(include_HEADERS) $(noinst_HEADERS)
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+include_HEADERS = \
+       usrp_i2c_addr.h         \
+       usrp_spi_defs.h         \
+       fpga_regs_common.h      \
+       fpga_regs_standard.h
+
+noinst_HEADERS = \
+       delay.h                 \
+       fpga_regs_common.v      \
+       fpga_regs_standard.v    \
+       fpga_regs0.h            \
+       fx2regs.h               \
+       fx2utils.h              \
+       i2c.h                   \
+       isr.h                   \
+       syncdelay.h             \
+       timer.h                 \
+       usb_common.h            \
+       usb_descriptors.h       \
+       usb_requests.h          \
+       usrp_commands.h         \
+       usrp_config.h           \
+       usrp_ids.h              \
+       usrp_interfaces.h       
+
+CODE_GENERATOR = \
+       generate_regs.py        
+
+EXTRA_DIST = \
+       $(CODE_GENERATOR)
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am  $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  usrp/firmware/include/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  usrp/firmware/include/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-includeHEADERS: $(include_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(includedir)" || $(MKDIR_P) "$(DESTDIR)$(includedir)"
+       @list='$(include_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(includeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(includedir)/$$f'"; \
+         $(includeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(includedir)/$$f"; \
+       done
+
+uninstall-includeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(include_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(includedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(includedir)/$$f"; \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+check-am: all-am
+check: check-am
+all-am: Makefile $(HEADERS)
+installdirs:
+       for dir in "$(DESTDIR)$(includedir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-includeHEADERS
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-includeHEADERS
+
+.MAKE: install-am install-strip
+
+.PHONY: CTAGS GTAGS all all-am check check-am clean clean-generic \
+       clean-libtool ctags distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am \
+       install-includeHEADERS install-info install-info-am \
+       install-man install-pdf install-pdf-am install-ps \
+       install-ps-am install-strip installcheck installcheck-am \
+       installdirs maintainer-clean maintainer-clean-generic \
+       mostlyclean mostlyclean-generic mostlyclean-libtool pdf pdf-am \
+       ps ps-am tags uninstall uninstall-am uninstall-includeHEADERS
+
+
+fpga_regs_common.v: fpga_regs_common.h generate_regs.py 
+       PYTHONPATH=$(top_srcdir)/usrp/firmware/include $(PYTHON) $(srcdir)/generate_regs.py $(srcdir)/fpga_regs_common.h $@
+
+fpga_regs_standard.v: fpga_regs_standard.h generate_regs.py
+       PYTHONPATH=$(top_srcdir)/usrp/firmware/include $(PYTHON) $(srcdir)/generate_regs.py $(srcdir)/fpga_regs_standard.h $@
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/usrp/firmware/lib/Makefile.in b/usrp/firmware/lib/Makefile.in
new file mode 100644 (file)
index 0000000..8d4bd5e
--- /dev/null
@@ -0,0 +1,786 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2003 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+subdir = usrp/firmware/lib
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = 
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = \
+       delay.rel       \
+       fx2utils.rel    \
+       i2c.rel         \
+       isr.rel         \
+       timer.rel       \
+       usb_common.rel
+
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+EXTRA_DIST = \
+       delay.c         \
+       fx2utils.c      \
+       i2c.c           \
+       isr.c           \
+       timer.c         \
+       usb_common.c
+
+FW_INCLUDES = -I$(top_srcdir)/usrp/firmware/include
+
+# with EA = 0, the FX2 implements a portion of the 8051 "external memory"
+# on chip.  This memory is mapped like this:
+#
+# The bottom 8K of memory (0x0000 - 0x1fff) is used for both data and
+# code accesses.  There's also 512 bytes for data only from 0xe000 - 0xe1ff.
+#
+# We tell the linker to start the xdata segment at 0x1800, 6K up from
+# the bottom.
+LINKOPTS = --code-loc 0x0000 --code-size 0x1800 --xram-loc 0x1800 --xram-size 0x0800
+LIBRARY = libfx2.lib
+CLEANFILES = \
+       *.ihx *.lnk *.lst *.map *.mem *.rel *.rst *.sym *.asm *.lib
+
+DISTCLEANFILES = \
+       *.ihx *.lnk *.lst *.map *.mem *.rel *.rst *.sym *.asm *.lib
+
+all: all-am
+
+.SUFFIXES:
+.SUFFIXES: .c .rel
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am  $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  usrp/firmware/lib/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  usrp/firmware/lib/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+check-am: all-am
+check: check-am
+all-am: Makefile
+installdirs:
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+
+clean-generic:
+       -test -z "$(CLEANFILES)" || rm -f $(CLEANFILES)
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+       -test -z "$(DISTCLEANFILES)" || rm -f $(DISTCLEANFILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       distclean distclean-generic distclean-libtool distdir dvi \
+       dvi-am html html-am info info-am install install-am \
+       install-data install-data-am install-dvi install-dvi-am \
+       install-exec install-exec-am install-html install-html-am \
+       install-info install-info-am install-man install-pdf \
+       install-pdf-am install-ps install-ps-am install-strip \
+       installcheck installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am uninstall uninstall-am
+
+
+all: libfx2.lib
+
+.c.rel:
+       $(XCC) $(FW_INCLUDES) $(DEFINES) -c $< -o $@
+
+$(LIBRARY): $(LIBOBJS)
+       -rm -f $(LIBRARY)
+       touch $(LIBRARY)
+       for obj in $(LIBOBJS); do basename $$obj .rel >> $(LIBRARY) ; done
+
+install: all
+
+# dependencies
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/usrp/firmware/src/Makefile.in b/usrp/firmware/src/Makefile.in
new file mode 100644 (file)
index 0000000..487762d
--- /dev/null
@@ -0,0 +1,896 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+subdir = usrp/firmware/src
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+SUBDIRS = common usrp2
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am  $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  usrp/firmware/src/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  usrp/firmware/src/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+check-am: all-am
+check: check-recursive
+all-am: Makefile
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am
+
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/usrp/firmware/src/common/Makefile.in b/usrp/firmware/src/common/Makefile.in
new file mode 100644 (file)
index 0000000..c38f862
--- /dev/null
@@ -0,0 +1,769 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+subdir = usrp/firmware/src/common
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+EXTRA_DIST = \
+       _startup.a51            \
+       blink_leds.c            \
+       check_mdelay.c          \
+       check_udelay.c          \
+       edit-gpif               \
+       fpga.h                  \
+       fpga_load.h             \
+       fpga_load.c             \
+       gpif.c                  \
+       gpif.gpf                \
+       init_gpif.c             \
+       usrp_common.c           \
+       usrp_globals.h          \
+       vectors.a51             \
+       build_eeprom.py         
+
+CLEANFILES = \
+       *.ihx *.lnk *.lst *.map *.mem *.rel *.rst *.sym *.asm *.lib \
+       usrp_gpif.c usrp_gpif_inline.h
+
+DISTCLEANFILES = \
+       *.ihx *.lnk *.lst *.map *.mem *.rel *.rst *.sym *.asm *.lib \
+       usrp_gpif.c usrp_gpif_inline.h 
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am  $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  usrp/firmware/src/common/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  usrp/firmware/src/common/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+check-am: all-am
+check: check-am
+all-am: Makefile
+installdirs:
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+
+clean-generic:
+       -test -z "$(CLEANFILES)" || rm -f $(CLEANFILES)
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+       -test -z "$(DISTCLEANFILES)" || rm -f $(DISTCLEANFILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       distclean distclean-generic distclean-libtool distdir dvi \
+       dvi-am html html-am info info-am install install-am \
+       install-data install-data-am install-dvi install-dvi-am \
+       install-exec install-exec-am install-html install-html-am \
+       install-info install-info-am install-man install-pdf \
+       install-pdf-am install-ps install-ps-am install-strip \
+       installcheck installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am uninstall uninstall-am
+
+
+all: usrp_gpif.c
+
+usrp_gpif.c usrp_gpif_inline.h : gpif.c
+       srcdir=$(srcdir) $(PYTHON) $(srcdir)/edit-gpif $(srcdir)/gpif.c usrp_gpif.c usrp_gpif_inline.h
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/usrp/firmware/src/usrp2/Makefile.in b/usrp/firmware/src/usrp2/Makefile.in
new file mode 100644 (file)
index 0000000..a62ec7c
--- /dev/null
@@ -0,0 +1,925 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2003,2006 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+subdir = usrp/firmware/src/usrp2
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SCRIPTS = $(noinst_SCRIPTS)
+SOURCES =
+DIST_SOURCES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(firmware2dir)" \
+       "$(DESTDIR)$(firmware4dir)"
+firmware2DATA_INSTALL = $(INSTALL_DATA)
+firmware4DATA_INSTALL = $(INSTALL_DATA)
+DATA = $(firmware2_DATA) $(firmware4_DATA)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = -DHAVE_USRP2
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+firmware2dir = $(prefix)/share/usrp/rev2
+firmware2_DATA = std.ihx
+
+# we put the same stuff in the rev4 directory
+firmware4dir = $(prefix)/share/usrp/rev4
+firmware4_DATA = std.ihx
+EXTRA_DIST = \
+       edit-gpif               \
+       _startup.a51            \
+       blink_leds.c            \
+       board_specific.c        \
+       check_mdelay.c          \
+       check_udelay.c          \
+       eeprom_boot.a51         \
+       eeprom_init.c           \
+       eeprom_io.c             \
+       eeprom_io.h             \
+       fpga_load.c             \
+       fpga_rev2.c             \
+       fpga_rev2.h             \
+       gpif.c                  \
+       init_gpif.c             \
+       spi.c                   \
+       spi.h                   \
+       usb_descriptors.a51     \
+       usrp_common.c           \
+       usrp_common.h           \
+       usrp_gpif.c             \
+       usrp_main.c             \
+       usrp_rev2_regs.h        \
+       vectors.a51             
+
+FW_INCLUDES = -I$(top_srcdir)/usrp/firmware/include \
+         -I$(top_srcdir)/usrp/firmware/src/usrp2 \
+        -I$(top_srcdir)/usrp/firmware/src/common \
+        -I$(top_builddir)/usrp/firmware/src/common
+
+
+# with EA = 0, the FX2 implements a portion of the 8051 "external memory"
+# on chip.  This memory is mapped like this:
+#
+# The bottom 8K of memory (0x0000 - 0x1fff) is used for both data and
+# code accesses.  There's also 512 bytes for data only from 0xe000 - 0xe1ff.
+#
+# We tell the linker to start the xdata segment at 0x1800, 6K up from
+# the bottom.
+MEMOPTS = --code-loc 0x0000 --code-size 0x1800 --xram-loc 0x1800 --xram-size 0x0800 \
+ -Wl '-b USBDESCSEG = 0xE000'
+
+LIBOPTS = -L ../../lib libfx2.lib
+LIBDEP = ../../lib/libfx2.lib
+LINKOPTS = $(MEMOPTS) $(LIBOPTS)
+EXECUTABLES = \
+       std.ihx                 \
+       blink_leds.ihx          \
+       check_mdelay.ihx        \
+       check_udelay.ihx        \
+       eeprom_boot.ihx         
+
+STARTUP = _startup.rel
+noinst_SCRIPTS = \
+       burn-usrp2-eeprom       \
+       burn-usrp4-eeprom
+
+EEPROM_BOOT_OBJS = eeprom_boot.rel eeprom_init.rel $(STARTUP)
+BLINK_LEDS_OBJS = blink_leds.rel usrp_common.rel board_specific.rel spi.rel $(STARTUP)
+CHECK_MDELAY_OBJS = check_mdelay.rel usrp_common.rel board_specific.rel spi.rel $(STARTUP)
+CHECK_UDELAY_OBJS = check_udelay.rel usrp_common.rel board_specific.rel spi.rel $(STARTUP)
+USRP_OBJS = \
+       vectors.rel                                             \
+       usrp_main.rel usrp_common.rel board_specific.rel        \
+       fpga_load.rel fpga_rev2.rel init_gpif.rel usrp_gpif.rel \
+       usb_descriptors.rel spi.rel eeprom_io.rel $(STARTUP)
+
+CLEANFILES = \
+       *.ihx *.lnk *.lst *.map *.mem *.rel *.rst *.sym *.asm *.lib     \
+       usrp_gpif.c usrp_gpif_inline.h \
+       burn-usrp2-eeprom       \
+       burn-usrp4-eeprom
+
+DISTCLEANFILES = \
+       *.ihx *.lnk *.lst *.map *.mem *.rel *.rst *.sym *.asm *.lib
+
+all: all-am
+
+.SUFFIXES:
+.SUFFIXES: .a51 .c .rel
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am  $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  usrp/firmware/src/usrp2/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  usrp/firmware/src/usrp2/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-firmware2DATA: $(firmware2_DATA)
+       @$(NORMAL_INSTALL)
+       test -z "$(firmware2dir)" || $(MKDIR_P) "$(DESTDIR)$(firmware2dir)"
+       @list='$(firmware2_DATA)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(firmware2DATA_INSTALL) '$$d$$p' '$(DESTDIR)$(firmware2dir)/$$f'"; \
+         $(firmware2DATA_INSTALL) "$$d$$p" "$(DESTDIR)$(firmware2dir)/$$f"; \
+       done
+
+uninstall-firmware2DATA:
+       @$(NORMAL_UNINSTALL)
+       @list='$(firmware2_DATA)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(firmware2dir)/$$f'"; \
+         rm -f "$(DESTDIR)$(firmware2dir)/$$f"; \
+       done
+install-firmware4DATA: $(firmware4_DATA)
+       @$(NORMAL_INSTALL)
+       test -z "$(firmware4dir)" || $(MKDIR_P) "$(DESTDIR)$(firmware4dir)"
+       @list='$(firmware4_DATA)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(firmware4DATA_INSTALL) '$$d$$p' '$(DESTDIR)$(firmware4dir)/$$f'"; \
+         $(firmware4DATA_INSTALL) "$$d$$p" "$(DESTDIR)$(firmware4dir)/$$f"; \
+       done
+
+uninstall-firmware4DATA:
+       @$(NORMAL_UNINSTALL)
+       @list='$(firmware4_DATA)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(firmware4dir)/$$f'"; \
+         rm -f "$(DESTDIR)$(firmware4dir)/$$f"; \
+       done
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+check-am: all-am
+check: check-am
+all-am: Makefile $(SCRIPTS) $(DATA)
+installdirs:
+       for dir in "$(DESTDIR)$(firmware2dir)" "$(DESTDIR)$(firmware4dir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+
+clean-generic:
+       -test -z "$(CLEANFILES)" || rm -f $(CLEANFILES)
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+       -test -z "$(DISTCLEANFILES)" || rm -f $(DISTCLEANFILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-firmware2DATA install-firmware4DATA
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-firmware2DATA uninstall-firmware4DATA
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       distclean distclean-generic distclean-libtool distdir dvi \
+       dvi-am html html-am info info-am install install-am \
+       install-data install-data-am install-dvi install-dvi-am \
+       install-exec install-exec-am install-firmware2DATA \
+       install-firmware4DATA install-html install-html-am \
+       install-info install-info-am install-man install-pdf \
+       install-pdf-am install-ps install-ps-am install-strip \
+       installcheck installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am uninstall uninstall-am \
+       uninstall-firmware2DATA uninstall-firmware4DATA
+
+
+.c.rel:
+       $(XCC) $(FW_INCLUDES) $(DEFINES) \
+               -c -o $@ `test -f '$<' || echo '$(srcdir)/'`$<
+
+.a51.rel:
+       test -f `basename '$<'` || $(LN_S) '$<' .
+       test -f ../common/`basename '$<'` -o \
+               \! -f `dirname '$<'`/../common/`basename '$<'` \
+               || $(LN_S) `dirname '$<'`/../common/`basename '$<'` ../common/`basename '$<'`
+       $(XAS) `basename '$<'`
+
+eeprom_boot.ihx: $(EEPROM_BOOT_OBJS) $(LIBDEP)
+       $(XCC) $(LINKOPTS) -o $@ $(EEPROM_BOOT_OBJS)
+
+burn-usrp2-eeprom: eeprom_boot.ihx
+       $(PYTHON) $(srcdir)/../common/build_eeprom.py -r2 eeprom_boot.ihx > $@
+       chmod +x $@
+
+burn-usrp4-eeprom: eeprom_boot.ihx
+       $(PYTHON) $(srcdir)/../common/build_eeprom.py -r4 eeprom_boot.ihx > $@
+       chmod +x $@
+
+blink_leds.ihx: $(BLINK_LEDS_OBJS) $(LIBDEP)
+       $(XCC) $(LINKOPTS) -o $@ $(BLINK_LEDS_OBJS)
+
+check_mdelay.ihx: $(CHECK_MDELAY_OBJS) $(LIBDEP)
+       $(XCC) $(LINKOPTS) -o $@ $(CHECK_MDELAY_OBJS)
+
+check_udelay.ihx: $(CHECK_UDELAY_OBJS) $(LIBDEP)
+       $(XCC) $(LINKOPTS) -o $@ $(CHECK_UDELAY_OBJS)
+
+std.ihx: $(USRP_OBJS) $(LIBDEP)
+       $(XCC) $(LINKOPTS) -o $@ $(USRP_OBJS)
+
+# build gpif stuff
+
+all: usrp_gpif.c
+
+usrp_gpif.c usrp_gpif_inline.h : gpif.c
+       srcdir=$(srcdir) $(PYTHON) $(srcdir)/edit-gpif $(srcdir)/gpif.c usrp_gpif.c usrp_gpif_inline.h
+
+# dependencies
+
+usrp_main.rel: usrp_gpif_inline.h
+#usrp_main.rel: fpga.h usrp_common.h ../../include/usrp_commands.h usrp_gpif_inline.h  ../../include/usrp_config.h usrp_rev2_regs.h ../../include/fx2regs.h
+#usrp_common.rel: usrp_common.h ../../include/usrp_commands.h ../../include/usrp_config.h usrp_rev2_regs.h ../../include/fx2regs.h
+#fpga.rel: usrp_common.h ../../include/usrp_commands.h fpga.h ../../include/usrp_config.h usrp_rev2_regs.h ../../include/fx2regs.h
+#init_gpif.rel: usrp_common.h ../../include/usrp_config.h usrp_rev2_regs.h ../../include/fx2regs.h
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/usrp/firmware/src/usrp2/usrp_gpif.c b/usrp/firmware/src/usrp2/usrp_gpif.c
new file mode 100644 (file)
index 0000000..1191c8b
--- /dev/null
@@ -0,0 +1,206 @@
+/*
+ * Machine generated by "edit-gpif".  Do not edit by hand.
+ */
+
+// This program configures the General Programmable Interface (GPIF) for FX2.
+// Please do not modify sections of text which are marked as "DO NOT EDIT ...".
+//
+// DO NOT EDIT ...
+// GPIF Initialization
+// Interface Timing      Async
+// Internal Ready Init   IntRdy=1
+// CTL Out Tristate-able Binary
+// SingleWrite WF Select     1
+// SingleRead WF Select      0
+// FifoWrite WF Select       3
+// FifoRead WF Select        2
+// Data Bus Idle Drive   Tristate
+// END DO NOT EDIT
+
+// DO NOT EDIT ...
+// GPIF Wave Names
+// Wave 0   = singlerd
+// Wave 1   = singlewr
+// Wave 2   = FIFORd
+// Wave 3   = FIFOWr
+
+// GPIF Ctrl Outputs   Level
+// CTL 0    = WEN#     CMOS
+// CTL 1    = REN#     CMOS
+// CTL 2    = OE#      CMOS
+// CTL 3    = CLRST    CMOS
+// CTL 4    = unused   CMOS
+// CTL 5    = BOGUS    CMOS
+
+// GPIF Rdy Inputs
+// RDY0     = EF#
+// RDY1     = FF#
+// RDY2     = unused
+// RDY3     = unused
+// RDY4     = unused
+// RDY5     = TCXpire
+// FIFOFlag = FIFOFlag
+// IntReady = IntReady
+// END DO NOT EDIT
+// DO NOT EDIT ...
+//
+// GPIF Waveform 0: singlerd
+//
+// Interval     0         1         2         3         4         5         6     Idle (7)
+//          _________ _________ _________ _________ _________ _________ _________ _________
+//
+// AddrMode Same Val  Same Val  Same Val  Same Val  Same Val  Same Val  Same Val
+// DataMode NO Data   NO Data   NO Data   NO Data   NO Data   NO Data   NO Data
+// NextData SameData  SameData  SameData  SameData  SameData  SameData  SameData
+// Int Trig No Int    No Int    No Int    No Int    No Int    No Int    No Int
+// IF/Wait  Wait 1    Wait 1    Wait 1    Wait 1    Wait 1    Wait 1    Wait 1
+//   Term A
+//   LFunc
+//   Term B
+// Branch1
+// Branch0
+// Re-Exec
+// Sngl/CRC Default   Default   Default   Default   Default   Default   Default
+// WEN#         0         0         0         0         0         0         0         0
+// REN#         0         0         0         0         0         0         0         0
+// OE#          0         0         0         0         0         0         0         0
+// CLRST        0         0         0         0         0         0         0         0
+// unused       0         0         0         0         0         0         0         0
+// BOGUS        0         0         0         0         0         0         0         0
+//
+// END DO NOT EDIT
+// DO NOT EDIT ...
+//
+// GPIF Waveform 1: singlewr
+//
+// Interval     0         1         2         3         4         5         6     Idle (7)
+//          _________ _________ _________ _________ _________ _________ _________ _________
+//
+// AddrMode Same Val  Same Val  Same Val  Same Val  Same Val  Same Val  Same Val
+// DataMode Activate  Activate  Activate  Activate  Activate  Activate  Activate
+// NextData SameData  SameData  SameData  SameData  SameData  SameData  SameData
+// Int Trig No Int    No Int    No Int    No Int    No Int    No Int    No Int
+// IF/Wait  Wait 1    IF        Wait 1    Wait 1    Wait 1    Wait 1    Wait 1
+//   Term A           EF#
+//   LFunc            AND
+//   Term B           EF#
+// Branch1            ThenIdle
+// Branch0            ElseIdle
+// Re-Exec            No
+// Sngl/CRC Default   Default   Default   Default   Default   Default   Default
+// WEN#         0         1         1         1         1         1         1         0
+// REN#         0         0         0         0         0         0         0         0
+// OE#          0         0         0         0         0         0         0         0
+// CLRST        0         0         0         0         0         0         0         0
+// unused       0         0         0         0         0         0         0         0
+// BOGUS        0         0         0         0         0         0         0         0
+//
+// END DO NOT EDIT
+// DO NOT EDIT ...
+//
+// GPIF Waveform 2: FIFORd
+//
+// Interval     0         1         2         3         4         5         6     Idle (7)
+//          _________ _________ _________ _________ _________ _________ _________ _________
+//
+// AddrMode Same Val  Same Val  Same Val  Same Val  Same Val  Same Val  Same Val
+// DataMode NO Data   Activate  NO Data   NO Data   NO Data   NO Data   NO Data
+// NextData SameData  SameData  SameData  SameData  SameData  SameData  SameData
+// Int Trig No Int    No Int    No Int    No Int    No Int    No Int    No Int
+// IF/Wait  Wait 1    IF        Wait 1    IF        Wait 1    Wait 1    Wait 1
+//   Term A           TCXpire             TCXpire
+//   LFunc            AND                 AND
+//   Term B           TCXpire             TCXpire
+// Branch1            Then 2              ThenIdle
+// Branch0            Else 1              ElseIdle
+// Re-Exec            No                  No
+// Sngl/CRC Default   Default   Default   Default   Default   Default   Default
+// WEN#         0         0         0         0         0         0         0         0
+// REN#         1         0         0         0         0         0         0         0
+// OE#          1         1         1         0         0         0         0         0
+// CLRST        0         0         0         0         0         0         0         0
+// unused       0         0         0         0         0         0         0         0
+// BOGUS        0         0         0         0         0         0         0         0
+//
+// END DO NOT EDIT
+// DO NOT EDIT ...
+//
+// GPIF Waveform 3: FIFOWr
+//
+// Interval     0         1         2         3         4         5         6     Idle (7)
+//          _________ _________ _________ _________ _________ _________ _________ _________
+//
+// AddrMode Same Val  Same Val  Same Val  Same Val  Same Val  Same Val  Same Val
+// DataMode NO Data   Activate  Activate  Activate  Activate  Activate  Activate
+// NextData SameData  SameData  SameData  SameData  SameData  SameData  SameData
+// Int Trig No Int    No Int    No Int    No Int    No Int    No Int    No Int
+// IF/Wait  Wait 1    IF        Wait 1    Wait 1    Wait 1    Wait 1    Wait 1
+//   Term A           TCXpire
+//   LFunc            AND
+//   Term B           TCXpire
+// Branch1            ThenIdle
+// Branch0            Else 1
+// Re-Exec            No
+// Sngl/CRC Default   Default   Default   Default   Default   Default   Default
+// WEN#         0         0         0         0         0         0         0         0
+// REN#         0         0         0         0         0         0         0         0
+// OE#          0         0         0         0         0         0         0         0
+// CLRST        0         0         0         0         0         0         0         0
+// unused       0         0         0         0         0         0         0         0
+// BOGUS        0         0         0         0         0         0         0         0
+//
+// END DO NOT EDIT
+
+// GPIF Program Code
+
+// DO NOT EDIT ...
+// #include "fx2.h"
+// #include "fx2regs.h"
+// #include "fx2sdly.h"     // SYNCDELAY macro
+// END DO NOT EDIT
+
+// DO NOT EDIT ...
+const char WaveData[128] =
+{
+// Wave 0
+/* LenBr */ 0x01,     0x01,     0x01,     0x01,     0x01,     0x01,     0x01,     0x07,
+/* Opcode*/ 0x00,     0x00,     0x00,     0x00,     0x00,     0x00,     0x00,     0x00,
+/* Output*/ 0x00,     0x00,     0x00,     0x00,     0x00,     0x00,     0x00,     0x00,
+/* LFun  */ 0x00,     0x00,     0x00,     0x00,     0x00,     0x00,     0x00,     0x3F,
+// Wave 1
+/* LenBr */ 0x01,     0x3F,     0x01,     0x01,     0x01,     0x01,     0x01,     0x07,
+/* Opcode*/ 0x22,     0x03,     0x02,     0x02,     0x02,     0x02,     0x02,     0x00,
+/* Output*/ 0x00,     0x01,     0x01,     0x01,     0x01,     0x01,     0x01,     0x00,
+/* LFun  */ 0x00,     0x00,     0x00,     0x00,     0x00,     0x00,     0x00,     0x3F,
+// Wave 2
+/* LenBr */ 0x01,     0x11,     0x01,     0x3F,     0x01,     0x01,     0x01,     0x07,
+/* Opcode*/ 0x00,     0x03,     0x00,     0x01,     0x00,     0x00,     0x00,     0x00,
+/* Output*/ 0x06,     0x04,     0x04,     0x00,     0x00,     0x00,     0x00,     0x00,
+/* LFun  */ 0x00,     0x2D,     0x00,     0x2D,     0x00,     0x00,     0x00,     0x3F,
+// Wave 3
+/* LenBr */ 0x01,     0x39,     0x01,     0x01,     0x01,     0x01,     0x01,     0x07,
+/* Opcode*/ 0x00,     0x03,     0x02,     0x02,     0x02,     0x02,     0x02,     0x00,
+/* Output*/ 0x00,     0x00,     0x00,     0x00,     0x00,     0x00,     0x00,     0x00,
+/* LFun  */ 0x00,     0x2D,     0x00,     0x00,     0x00,     0x00,     0x00,     0x3F,
+};
+// END DO NOT EDIT
+
+// DO NOT EDIT ...
+const char FlowStates[36] =
+{
+/* Wave 0 FlowStates */ 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,
+/* Wave 1 FlowStates */ 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,
+/* Wave 2 FlowStates */ 0x81,0x2D,0x26,0x00,0x04,0x04,0x03,0x02,0x00,
+/* Wave 3 FlowStates */ 0x81,0x2D,0x21,0x00,0x04,0x04,0x03,0x02,0x00,
+};
+// END DO NOT EDIT
+
+// DO NOT EDIT ...
+const char InitData[7] =
+{
+/* Regs  */ 0xA0,0x00,0x00,0x00,0xEE,0x4E,0x00
+};
+// END DO NOT EDIT
+
+// TO DO: You may add additional code below.
+
diff --git a/usrp/fpga/Makefile.extra b/usrp/fpga/Makefile.extra
new file mode 100644 (file)
index 0000000..56df23c
--- /dev/null
@@ -0,0 +1,181 @@
+EXTRA_DIST =                                         \
+       gen_makefile_extra.py                        \
+       inband_lib/chan_fifo_reader.v                \
+       inband_lib/channel_demux.v                   \
+       inband_lib/channel_ram.v                     \
+       inband_lib/cmd_reader.v                      \
+       inband_lib/packet_builder.v                  \
+       inband_lib/register_io.v                     \
+       inband_lib/rx_buffer_inband.v                \
+       inband_lib/tx_buffer_inband.v                \
+       inband_lib/tx_packer.v                       \
+       inband_lib/usb_packet_fifo.v                 \
+       megacells/accum32.bsf                        \
+       megacells/accum32.cmp                        \
+       megacells/accum32.inc                        \
+       megacells/accum32.v                          \
+       megacells/accum32_bb.v                       \
+       megacells/accum32_inst.v                     \
+       megacells/add32.bsf                          \
+       megacells/add32.cmp                          \
+       megacells/add32.inc                          \
+       megacells/add32.v                            \
+       megacells/add32_bb.v                         \
+       megacells/add32_inst.v                       \
+       megacells/addsub16.bsf                       \
+       megacells/addsub16.cmp                       \
+       megacells/addsub16.inc                       \
+       megacells/addsub16.v                         \
+       megacells/addsub16_bb.v                      \
+       megacells/addsub16_inst.v                    \
+       megacells/bustri.bsf                         \
+       megacells/bustri.cmp                         \
+       megacells/bustri.inc                         \
+       megacells/bustri.v                           \
+       megacells/bustri_bb.v                        \
+       megacells/bustri_inst.v                      \
+       megacells/clk_doubler.v                      \
+       megacells/clk_doubler_bb.v                   \
+       megacells/dspclkpll.v                        \
+       megacells/dspclkpll_bb.v                     \
+       megacells/fifo_1kx16.bsf                     \
+       megacells/fifo_1kx16.cmp                     \
+       megacells/fifo_1kx16.inc                     \
+       megacells/fifo_1kx16.v                       \
+       megacells/fifo_1kx16_bb.v                    \
+       megacells/fifo_1kx16_inst.v                  \
+       megacells/fifo_2k.v                          \
+       megacells/fifo_2k_bb.v                       \
+       megacells/fifo_4k.v                          \
+       megacells/fifo_4k_18.v                       \
+       megacells/fifo_4k_bb.v                       \
+       megacells/fifo_4kx16_dc.bsf                  \
+       megacells/fifo_4kx16_dc.cmp                  \
+       megacells/fifo_4kx16_dc.inc                  \
+       megacells/fifo_4kx16_dc.v                    \
+       megacells/fifo_4kx16_dc_bb.v                 \
+       megacells/fifo_4kx16_dc_inst.v               \
+       megacells/mylpm_addsub.bsf                   \
+       megacells/mylpm_addsub.cmp                   \
+       megacells/mylpm_addsub.inc                   \
+       megacells/mylpm_addsub.v                     \
+       megacells/mylpm_addsub_bb.v                  \
+       megacells/mylpm_addsub_inst.v                \
+       megacells/pll.v                              \
+       megacells/pll_bb.v                           \
+       megacells/pll_inst.v                         \
+       megacells/sub32.bsf                          \
+       megacells/sub32.cmp                          \
+       megacells/sub32.inc                          \
+       megacells/sub32.v                            \
+       megacells/sub32_bb.v                         \
+       megacells/sub32_inst.v                       \
+       models/bustri.v                              \
+       models/fifo.v                                \
+       models/fifo_1c_1k.v                          \
+       models/fifo_1c_2k.v                          \
+       models/fifo_1c_4k.v                          \
+       models/fifo_1k.v                             \
+       models/fifo_2k.v                             \
+       models/fifo_4k.v                             \
+       models/fifo_4k_18.v                          \
+       models/pll.v                                 \
+       models/ssram.v                               \
+       sdr_lib/adc_interface.v                      \
+       sdr_lib/atr_delay.v                          \
+       sdr_lib/bidir_reg.v                          \
+       sdr_lib/cic_dec_shifter.v                    \
+       sdr_lib/cic_decim.v                          \
+       sdr_lib/cic_int_shifter.v                    \
+       sdr_lib/cic_interp.v                         \
+       sdr_lib/clk_divider.v                        \
+       sdr_lib/cordic.v                             \
+       sdr_lib/cordic_stage.v                       \
+       sdr_lib/ddc.v                                \
+       sdr_lib/dpram.v                              \
+       sdr_lib/duc.v                                \
+       sdr_lib/ext_fifo.v                           \
+       sdr_lib/gen_cordic_consts.py                 \
+       sdr_lib/gen_sync.v                           \
+       sdr_lib/hb/acc.v                             \
+       sdr_lib/hb/coeff_rom.v                       \
+       sdr_lib/hb/halfband_decim.v                  \
+       sdr_lib/hb/halfband_interp.v                 \
+       sdr_lib/hb/hbd_tb/test_hbd.v                 \
+       sdr_lib/hb/mac.v                             \
+       sdr_lib/hb/mult.v                            \
+       sdr_lib/hb/ram16_2port.v                     \
+       sdr_lib/hb/ram16_2sum.v                      \
+       sdr_lib/hb/ram32_2sum.v                      \
+       sdr_lib/io_pins.v                            \
+       sdr_lib/master_control.v                     \
+       sdr_lib/master_control_multi.v               \
+       sdr_lib/phase_acc.v                          \
+       sdr_lib/ram.v                                \
+       sdr_lib/ram16.v                              \
+       sdr_lib/ram32.v                              \
+       sdr_lib/ram64.v                              \
+       sdr_lib/rssi.v                               \
+       sdr_lib/rx_buffer.v                          \
+       sdr_lib/rx_chain.v                           \
+       sdr_lib/rx_chain_dual.v                      \
+       sdr_lib/rx_dcoffset.v                        \
+       sdr_lib/serial_io.v                          \
+       sdr_lib/setting_reg.v                        \
+       sdr_lib/setting_reg_masked.v                 \
+       sdr_lib/sign_extend.v                        \
+       sdr_lib/strobe_gen.v                         \
+       sdr_lib/tx_buffer.v                          \
+       sdr_lib/tx_chain.v                           \
+       sdr_lib/tx_chain_hb.v                        \
+       tb/cbus_tb.v                                 \
+       tb/cordic_tb.v                               \
+       tb/decim_tb.v                                \
+       tb/fullchip_tb.v                             \
+       tb/interp_tb.v                               \
+       tb/justinterp_tb.v                           \
+       tb/usrp_tasks.v                              \
+       toplevel/include/common_config_1rxhb_1tx.vh  \
+       toplevel/include/common_config_2rx_0tx.vh    \
+       toplevel/include/common_config_2rxhb_0tx.vh  \
+       toplevel/include/common_config_2rxhb_2tx.vh  \
+       toplevel/include/common_config_4rx_0tx.vh    \
+       toplevel/include/common_config_bottom.vh     \
+       toplevel/mrfm/biquad_2stage.v                \
+       toplevel/mrfm/biquad_6stage.v                \
+       toplevel/mrfm/mrfm.csf                       \
+       toplevel/mrfm/mrfm.esf                       \
+       toplevel/mrfm/mrfm.psf                       \
+       toplevel/mrfm/mrfm.py                        \
+       toplevel/mrfm/mrfm.qpf                       \
+       toplevel/mrfm/mrfm.qsf                       \
+       toplevel/mrfm/mrfm.v                         \
+       toplevel/mrfm/mrfm.vh                        \
+       toplevel/mrfm/mrfm_compensator.v             \
+       toplevel/mrfm/mrfm_fft.py                    \
+       toplevel/mrfm/mrfm_proc.v                    \
+       toplevel/mrfm/shifter.v                      \
+       toplevel/sizetest/sizetest.csf               \
+       toplevel/sizetest/sizetest.psf               \
+       toplevel/sizetest/sizetest.v                 \
+       toplevel/usrp_inband_usb/config.vh           \
+       toplevel/usrp_inband_usb/usrp_inband_usb.csf \
+       toplevel/usrp_inband_usb/usrp_inband_usb.esf \
+       toplevel/usrp_inband_usb/usrp_inband_usb.psf \
+       toplevel/usrp_inband_usb/usrp_inband_usb.qpf \
+       toplevel/usrp_inband_usb/usrp_inband_usb.qsf \
+       toplevel/usrp_inband_usb/usrp_inband_usb.v   \
+       toplevel/usrp_multi/config.vh                \
+       toplevel/usrp_multi/usrp_multi.csf           \
+       toplevel/usrp_multi/usrp_multi.esf           \
+       toplevel/usrp_multi/usrp_multi.psf           \
+       toplevel/usrp_multi/usrp_multi.qpf           \
+       toplevel/usrp_multi/usrp_multi.qsf           \
+       toplevel/usrp_multi/usrp_multi.v             \
+       toplevel/usrp_std/config.vh                  \
+       toplevel/usrp_std/usrp_std.csf               \
+       toplevel/usrp_std/usrp_std.esf               \
+       toplevel/usrp_std/usrp_std.psf               \
+       toplevel/usrp_std/usrp_std.qpf               \
+       toplevel/usrp_std/usrp_std.qsf               \
+       toplevel/usrp_std/usrp_std.v
diff --git a/usrp/fpga/Makefile.in b/usrp/fpga/Makefile.in
new file mode 100644 (file)
index 0000000..5d97850
--- /dev/null
@@ -0,0 +1,1079 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2004,2005,2006 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.extra \
+       $(srcdir)/Makefile.in TODO
+subdir = usrp/fpga
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+SUBDIRS = rbf
+EXTRA_DIST = \
+       gen_makefile_extra.py                        \
+       inband_lib/chan_fifo_reader.v                \
+       inband_lib/channel_demux.v                   \
+       inband_lib/channel_ram.v                     \
+       inband_lib/cmd_reader.v                      \
+       inband_lib/packet_builder.v                  \
+       inband_lib/register_io.v                     \
+       inband_lib/rx_buffer_inband.v                \
+       inband_lib/tx_buffer_inband.v                \
+       inband_lib/tx_packer.v                       \
+       inband_lib/usb_packet_fifo.v                 \
+       megacells/accum32.bsf                        \
+       megacells/accum32.cmp                        \
+       megacells/accum32.inc                        \
+       megacells/accum32.v                          \
+       megacells/accum32_bb.v                       \
+       megacells/accum32_inst.v                     \
+       megacells/add32.bsf                          \
+       megacells/add32.cmp                          \
+       megacells/add32.inc                          \
+       megacells/add32.v                            \
+       megacells/add32_bb.v                         \
+       megacells/add32_inst.v                       \
+       megacells/addsub16.bsf                       \
+       megacells/addsub16.cmp                       \
+       megacells/addsub16.inc                       \
+       megacells/addsub16.v                         \
+       megacells/addsub16_bb.v                      \
+       megacells/addsub16_inst.v                    \
+       megacells/bustri.bsf                         \
+       megacells/bustri.cmp                         \
+       megacells/bustri.inc                         \
+       megacells/bustri.v                           \
+       megacells/bustri_bb.v                        \
+       megacells/bustri_inst.v                      \
+       megacells/clk_doubler.v                      \
+       megacells/clk_doubler_bb.v                   \
+       megacells/dspclkpll.v                        \
+       megacells/dspclkpll_bb.v                     \
+       megacells/fifo_1kx16.bsf                     \
+       megacells/fifo_1kx16.cmp                     \
+       megacells/fifo_1kx16.inc                     \
+       megacells/fifo_1kx16.v                       \
+       megacells/fifo_1kx16_bb.v                    \
+       megacells/fifo_1kx16_inst.v                  \
+       megacells/fifo_2k.v                          \
+       megacells/fifo_2k_bb.v                       \
+       megacells/fifo_4k.v                          \
+       megacells/fifo_4k_18.v                       \
+       megacells/fifo_4k_bb.v                       \
+       megacells/fifo_4kx16_dc.bsf                  \
+       megacells/fifo_4kx16_dc.cmp                  \
+       megacells/fifo_4kx16_dc.inc                  \
+       megacells/fifo_4kx16_dc.v                    \
+       megacells/fifo_4kx16_dc_bb.v                 \
+       megacells/fifo_4kx16_dc_inst.v               \
+       megacells/mylpm_addsub.bsf                   \
+       megacells/mylpm_addsub.cmp                   \
+       megacells/mylpm_addsub.inc                   \
+       megacells/mylpm_addsub.v                     \
+       megacells/mylpm_addsub_bb.v                  \
+       megacells/mylpm_addsub_inst.v                \
+       megacells/pll.v                              \
+       megacells/pll_bb.v                           \
+       megacells/pll_inst.v                         \
+       megacells/sub32.bsf                          \
+       megacells/sub32.cmp                          \
+       megacells/sub32.inc                          \
+       megacells/sub32.v                            \
+       megacells/sub32_bb.v                         \
+       megacells/sub32_inst.v                       \
+       models/bustri.v                              \
+       models/fifo.v                                \
+       models/fifo_1c_1k.v                          \
+       models/fifo_1c_2k.v                          \
+       models/fifo_1c_4k.v                          \
+       models/fifo_1k.v                             \
+       models/fifo_2k.v                             \
+       models/fifo_4k.v                             \
+       models/fifo_4k_18.v                          \
+       models/pll.v                                 \
+       models/ssram.v                               \
+       sdr_lib/adc_interface.v                      \
+       sdr_lib/atr_delay.v                          \
+       sdr_lib/bidir_reg.v                          \
+       sdr_lib/cic_dec_shifter.v                    \
+       sdr_lib/cic_decim.v                          \
+       sdr_lib/cic_int_shifter.v                    \
+       sdr_lib/cic_interp.v                         \
+       sdr_lib/clk_divider.v                        \
+       sdr_lib/cordic.v                             \
+       sdr_lib/cordic_stage.v                       \
+       sdr_lib/ddc.v                                \
+       sdr_lib/dpram.v                              \
+       sdr_lib/duc.v                                \
+       sdr_lib/ext_fifo.v                           \
+       sdr_lib/gen_cordic_consts.py                 \
+       sdr_lib/gen_sync.v                           \
+       sdr_lib/hb/acc.v                             \
+       sdr_lib/hb/coeff_rom.v                       \
+       sdr_lib/hb/halfband_decim.v                  \
+       sdr_lib/hb/halfband_interp.v                 \
+       sdr_lib/hb/hbd_tb/test_hbd.v                 \
+       sdr_lib/hb/mac.v                             \
+       sdr_lib/hb/mult.v                            \
+       sdr_lib/hb/ram16_2port.v                     \
+       sdr_lib/hb/ram16_2sum.v                      \
+       sdr_lib/hb/ram32_2sum.v                      \
+       sdr_lib/io_pins.v                            \
+       sdr_lib/master_control.v                     \
+       sdr_lib/master_control_multi.v               \
+       sdr_lib/phase_acc.v                          \
+       sdr_lib/ram.v                                \
+       sdr_lib/ram16.v                              \
+       sdr_lib/ram32.v                              \
+       sdr_lib/ram64.v                              \
+       sdr_lib/rssi.v                               \
+       sdr_lib/rx_buffer.v                          \
+       sdr_lib/rx_chain.v                           \
+       sdr_lib/rx_chain_dual.v                      \
+       sdr_lib/rx_dcoffset.v                        \
+       sdr_lib/serial_io.v                          \
+       sdr_lib/setting_reg.v                        \
+       sdr_lib/setting_reg_masked.v                 \
+       sdr_lib/sign_extend.v                        \
+       sdr_lib/strobe_gen.v                         \
+       sdr_lib/tx_buffer.v                          \
+       sdr_lib/tx_chain.v                           \
+       sdr_lib/tx_chain_hb.v                        \
+       tb/cbus_tb.v                                 \
+       tb/cordic_tb.v                               \
+       tb/decim_tb.v                                \
+       tb/fullchip_tb.v                             \
+       tb/interp_tb.v                               \
+       tb/justinterp_tb.v                           \
+       tb/usrp_tasks.v                              \
+       toplevel/include/common_config_1rxhb_1tx.vh  \
+       toplevel/include/common_config_2rx_0tx.vh    \
+       toplevel/include/common_config_2rxhb_0tx.vh  \
+       toplevel/include/common_config_2rxhb_2tx.vh  \
+       toplevel/include/common_config_4rx_0tx.vh    \
+       toplevel/include/common_config_bottom.vh     \
+       toplevel/mrfm/biquad_2stage.v                \
+       toplevel/mrfm/biquad_6stage.v                \
+       toplevel/mrfm/mrfm.csf                       \
+       toplevel/mrfm/mrfm.esf                       \
+       toplevel/mrfm/mrfm.psf                       \
+       toplevel/mrfm/mrfm.py                        \
+       toplevel/mrfm/mrfm.qpf                       \
+       toplevel/mrfm/mrfm.qsf                       \
+       toplevel/mrfm/mrfm.v                         \
+       toplevel/mrfm/mrfm.vh                        \
+       toplevel/mrfm/mrfm_compensator.v             \
+       toplevel/mrfm/mrfm_fft.py                    \
+       toplevel/mrfm/mrfm_proc.v                    \
+       toplevel/mrfm/shifter.v                      \
+       toplevel/sizetest/sizetest.csf               \
+       toplevel/sizetest/sizetest.psf               \
+       toplevel/sizetest/sizetest.v                 \
+       toplevel/usrp_inband_usb/config.vh           \
+       toplevel/usrp_inband_usb/usrp_inband_usb.csf \
+       toplevel/usrp_inband_usb/usrp_inband_usb.esf \
+       toplevel/usrp_inband_usb/usrp_inband_usb.psf \
+       toplevel/usrp_inband_usb/usrp_inband_usb.qpf \
+       toplevel/usrp_inband_usb/usrp_inband_usb.qsf \
+       toplevel/usrp_inband_usb/usrp_inband_usb.v   \
+       toplevel/usrp_multi/config.vh                \
+       toplevel/usrp_multi/usrp_multi.csf           \
+       toplevel/usrp_multi/usrp_multi.esf           \
+       toplevel/usrp_multi/usrp_multi.psf           \
+       toplevel/usrp_multi/usrp_multi.qpf           \
+       toplevel/usrp_multi/usrp_multi.qsf           \
+       toplevel/usrp_multi/usrp_multi.v             \
+       toplevel/usrp_std/config.vh                  \
+       toplevel/usrp_std/usrp_std.csf               \
+       toplevel/usrp_std/usrp_std.esf               \
+       toplevel/usrp_std/usrp_std.psf               \
+       toplevel/usrp_std/usrp_std.qpf               \
+       toplevel/usrp_std/usrp_std.qsf               \
+       toplevel/usrp_std/usrp_std.v
+
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(srcdir)/Makefile.extra $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  usrp/fpga/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  usrp/fpga/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+check-am: all-am
+check: check-recursive
+all-am: Makefile
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am
+
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/usrp/fpga/TODO b/usrp/fpga/TODO
new file mode 100644 (file)
index 0000000..76287c3
--- /dev/null
@@ -0,0 +1,23 @@
+
+
+Area Reduction
+==============
+Reduce one or both stages of dec/interp to max rate of 8 instead of 16
+Optimize CICs to minimize registers
+Reduce width of RX CORDIC
+Fix CORDIC wasted logic cells from bad synthesis
+Progressively narrow x,y,z on CORDIC
+16-bit wide FIFOs, split IQ/channels on other side (?)
+
+Enhancements
+============
+Halfband filter in Spartan 3
+Muxing of inputs
+Switch over to newfc
+RAM interface?
+
+Other
+=====
+Capture/Transmit straight samples (no DUC/DDC)
+
+
diff --git a/usrp/fpga/gen_makefile_extra.py b/usrp/fpga/gen_makefile_extra.py
new file mode 100755 (executable)
index 0000000..9f48802
--- /dev/null
@@ -0,0 +1,67 @@
+#!/usr/bin/env python
+#
+# Copyright 2006 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+"""
+Generate Makefile.extra
+"""
+
+import sys
+import os.path
+
+extensions_we_like = (
+    '.v', '.vh',
+    '.csf', '.esf', '.psf', '.qpf', '.qsf', 
+    '.inc', '.cmp', '.bsf',
+    '.py')
+
+def visit(keepers, dirname, names):
+    if 'rbf' in names:
+        names.remove('rbf')
+    if 'CVS' in names:
+        names.remove('CVS')
+    
+    if dirname == '.':
+        dirname = ''
+    if dirname.startswith('./'):
+        dirname = dirname[2:]
+    
+    for n in names:
+        base, ext = os.path.splitext(n)
+        if ext in extensions_we_like:
+            keepers.append(os.path.join(dirname, n))
+
+def generate(f):
+    keepers = []
+    os.path.walk('.', visit, keepers)
+    keepers.sort()
+    write_keepers(keepers, f)
+
+def write_keepers(files, outf):
+    m = reduce(max, map(len, files), 0)
+    e = 'EXTRA_DIST ='
+    outf.write('%s%s \\\n' % (e, (m-len(e)+8) * ' '))
+    for f in files[:-1]:
+        outf.write('\t%s%s \\\n' % (f, (m-len(f)) * ' '))
+    outf.write('\t%s\n' % (files[-1],))
+
+if __name__ == '__main__':
+    generate(open('Makefile.extra','w'))
diff --git a/usrp/fpga/inband_lib/chan_fifo_reader.v b/usrp/fpga/inband_lib/chan_fifo_reader.v
new file mode 100755 (executable)
index 0000000..69da9ec
--- /dev/null
@@ -0,0 +1,219 @@
+module chan_fifo_reader 
+   (reset, tx_clock, tx_strobe, timestamp_clock, samples_format,
+    fifodata, pkt_waiting, rdreq, skip, tx_q, tx_i,
+    underrun, tx_empty, debug, rssi, threshhold, rssi_wait) ;
+
+   input   wire                     reset ;
+   input   wire                     tx_clock ;
+   input   wire                     tx_strobe ; //signal to output tx_i and tx_q
+   input   wire              [31:0] timestamp_clock ; //current time
+   input   wire               [3:0] samples_format ;// not useful at this point
+   input   wire              [31:0] fifodata ; //the data input
+   input   wire                     pkt_waiting ; //signal the next packet is ready
+   output  reg                      rdreq ; //actually an ack to the current fifodata
+   output  reg                      skip ; //finish reading current packet
+   output  reg               [15:0] tx_q ; //top 16 bit output of fifodata
+   output  reg               [15:0] tx_i ; //bottom 16 bit output of fifodata
+   output  reg                      underrun ; 
+   output  reg                      tx_empty ; //cause 0 to be the output
+   input   wire                     [31:0] rssi;
+   input   wire                     [31:0] threshhold;
+   input   wire                     [31:0] rssi_wait;
+
+   output wire [14:0] debug;
+   assign debug = {7'd0, rdreq, skip, reader_state, pkt_waiting, tx_strobe, tx_clock};
+   
+   //Samples format
+   // 16 bits interleaved complex samples
+   `define QI16                     4'b0
+    
+   // States
+   parameter IDLE           =     3'd0;    
+   parameter HEADER         =     3'd1;
+   parameter TIMESTAMP      =     3'd2;
+   parameter WAIT           =     3'd3;
+   parameter WAITSTROBE     =     3'd4;
+   parameter SEND           =     3'd5;
+
+   // Header format
+   `define PAYLOAD                  8:2
+   `define ENDOFBURST               27
+   `define STARTOFBURST             28
+   `define RSSI_FLAG                26
+       
+
+   /* State registers */
+   reg                        [2:0] reader_state;
+   /* Local registers */  
+   reg                        [6:0] payload_len;
+   reg                        [6:0] read_len;
+   reg                       [31:0] timestamp;
+   reg                              burst;
+   reg                              trash;
+   reg                              rssi_flag;
+   reg                      [31:0] time_wait;
+   
+   always @(posedge tx_clock)
+     begin
+       if (reset) 
+         begin
+           reader_state <= IDLE;
+           rdreq <= 0;
+           skip <= 0;
+           underrun <= 0;
+           burst <= 0;
+           tx_empty <= 1;
+           tx_q <= 0;
+           tx_i <= 0;
+           trash <= 0;
+           rssi_flag <= 0;
+           time_wait <= 0;
+         end
+       else 
+         begin
+           case (reader_state)
+             IDLE:
+               begin
+               /*
+               * reset all the variables and wait for a tx_strobe
+               * it is assumed that the ram connected to this fifo_reader 
+               * is a short hand fifo meaning that the header to the next packet
+               * is already available to this fifo_reader when pkt_waiting is on
+               */
+                 skip <=0;
+                 time_wait <= 0;
+                 if (pkt_waiting == 1)
+                   begin
+                     reader_state <= HEADER;
+                     rdreq <= 1;
+                     underrun <= 0;
+                   end
+                 if (burst == 1 && pkt_waiting == 0)
+                     underrun <= 1;
+                 if (tx_strobe == 1)
+                     tx_empty <= 1 ;
+               end
+
+               /* Process header */
+               HEADER:
+                 begin
+                   if (tx_strobe == 1)
+                       tx_empty <= 1 ;
+                   
+                   rssi_flag <= fifodata[`RSSI_FLAG]&fifodata[`STARTOFBURST];
+                   //Check Start/End burst flag
+                   if  (fifodata[`STARTOFBURST] == 1 
+                       && fifodata[`ENDOFBURST] == 1)
+                       burst <= 0;
+                   else if (fifodata[`STARTOFBURST] == 1)
+                       burst <= 1;
+                   else if (fifodata[`ENDOFBURST] == 1)
+                       burst <= 0;
+
+                   if (trash == 1 && fifodata[`STARTOFBURST] == 0)
+                     begin
+                       skip <= 1;
+                       reader_state <= IDLE;
+                       rdreq <= 0;
+                     end 
+                   else
+                     begin   
+                       payload_len <= fifodata[`PAYLOAD] ;
+                       read_len <= 0;
+                       rdreq <= 1;
+                       reader_state <= TIMESTAMP;
+                     end
+                 end
+
+               TIMESTAMP: 
+                 begin
+                   timestamp <= fifodata;
+                   reader_state <= WAIT;
+                   if (tx_strobe == 1)
+                       tx_empty <= 1 ;
+                   rdreq <= 0;
+                 end
+                               
+               // Decide if we wait, send or discard samples
+               WAIT: 
+                 begin
+                   if (tx_strobe == 1)
+                       tx_empty <= 1 ;
+                    
+                   time_wait <= time_wait + 32'd1;
+                   // Outdated
+                   if ((timestamp < timestamp_clock) ||
+                      (time_wait >= rssi_wait && rssi_wait != 0 && rssi_flag))
+                     begin
+                       trash <= 1;
+                       reader_state <= IDLE;
+                       skip <= 1;
+                     end  
+                   // Let's send it                                    
+                   else if (timestamp == timestamp_clock 
+                             || timestamp == 32'hFFFFFFFF)
+                     begin
+                       if (rssi <= threshhold || rssi_flag == 0)
+                         begin
+                           trash <= 0;
+                           reader_state <= WAITSTROBE; 
+                         end
+                       else
+                         reader_state <= WAIT;
+                     end
+                   else
+                       reader_state <= WAIT;
+                 end
+                 
+               // Wait for the transmit chain to be ready
+               WAITSTROBE:
+                 begin
+                   // If end of payload...
+                   if (read_len == payload_len)
+                     begin
+                       reader_state <= IDLE;
+                       skip <= 1;
+                       if (tx_strobe == 1)
+                           tx_empty <= 1 ;
+                     end  
+                   else if (tx_strobe == 1)
+                     begin
+                       reader_state <= SEND;
+                       rdreq <= 1;
+                     end
+                 end
+               
+               // Send the samples to the tx_chain
+               SEND:
+                 begin
+                   reader_state <= WAITSTROBE; 
+                   read_len <= read_len + 7'd1;
+                   tx_empty <= 0;
+                   rdreq <= 0;
+                   
+                   case(samples_format)
+                       `QI16:
+                        begin
+                            tx_i <= fifodata[15:0];
+                            tx_q <= fifodata[31:16];
+                        end
+                        
+                        // Assume 16 bits complex samples by default
+                        default:
+                        begin
+                            tx_i <= fifodata[15:0];
+                            tx_q <= fifodata[31:16];
+                        end 
+                   endcase
+                 end
+               
+               default:
+                 begin
+                   //error handling
+                   reader_state <= IDLE;
+                 end
+           endcase
+       end
+   end
+endmodule
diff --git a/usrp/fpga/inband_lib/channel_demux.v b/usrp/fpga/inband_lib/channel_demux.v
new file mode 100644 (file)
index 0000000..cca5cdb
--- /dev/null
@@ -0,0 +1,78 @@
+module channel_demux
+ #(parameter NUM_CHAN = 2) (     //usb Side
+   input [31:0]usbdata_final,
+   input WR_final, 
+   // TX Side
+   input reset,
+   input txclk,
+   output reg [NUM_CHAN:0] WR_channel,
+   output reg [31:0] ram_data,
+   output reg [NUM_CHAN:0] WR_done_channel );
+   /* Parse header and forward to ram */
+       
+    reg [2:0]reader_state;
+    reg [4:0]channel ;
+    reg [6:0]read_length ;
+       
+        // States
+    parameter IDLE      =    3'd0;
+    parameter HEADER    =    3'd1;
+    parameter WAIT      =    3'd2;
+    parameter FORWARD   =    3'd3;
+       
+       `define CHANNEL 20:16
+       `define PKT_SIZE 127
+       wire [4:0] true_channel;
+       assign true_channel = (usbdata_final[`CHANNEL] == 5'h1f) ?
+                                                       NUM_CHAN : (usbdata_final[`CHANNEL]);
+       
+       always @(posedge txclk)
+         begin
+           if (reset)
+             begin
+              reader_state <= IDLE;
+              WR_channel <= 0;
+              WR_done_channel <= 0;
+             end
+             else
+               case (reader_state)
+               IDLE: begin
+                   if (WR_final)
+                       reader_state <= HEADER; 
+                   end
+              
+            // Store channel and forware header
+               HEADER: begin
+                   channel <= true_channel;
+                   WR_channel[true_channel] <= 1;
+                   ram_data <= usbdata_final;
+                               read_length <= 7'd0 ;
+                               
+                reader_state <= WAIT;
+               end
+              
+               WAIT: begin
+                  WR_channel[channel] <= 0;
+       
+                          if (read_length == `PKT_SIZE)
+                      reader_state <= IDLE;
+                  else if (WR_final)
+                      reader_state <= FORWARD;
+               end
+              
+               FORWARD: begin
+                  WR_channel[channel] <= 1;
+                  ram_data <= usbdata_final;
+                  read_length <= read_length + 7'd1;
+                  
+                  reader_state <= WAIT;
+               end
+       
+                       default:
+               begin
+                                       //error handling
+                   reader_state <= IDLE;
+               end
+              endcase
+          end
+endmodule
diff --git a/usrp/fpga/inband_lib/channel_ram.v b/usrp/fpga/inband_lib/channel_ram.v
new file mode 100755 (executable)
index 0000000..9621246
--- /dev/null
@@ -0,0 +1,107 @@
+module channel_ram 
+   ( // System
+     input txclk, input reset,
+     // USB side
+     input [31:0] datain, input WR, input WR_done, output have_space,
+     // Reader side 
+     output [31:0] dataout, input RD, input RD_done, output packet_waiting);
+       
+   reg [6:0] wr_addr, rd_addr;
+   reg [1:0] which_ram_wr, which_ram_rd;
+   reg [2:0] nb_packets;
+       
+   reg [31:0] ram0 [0:127];
+   reg [31:0] ram1 [0:127];
+   reg [31:0] ram2 [0:127];
+   reg [31:0] ram3 [0:127];
+       
+   reg [31:0] dataout0;
+   reg [31:0] dataout1;
+   reg [31:0] dataout2;
+   reg [31:0] dataout3;
+       
+   wire wr_done_int;
+   wire rd_done_int;
+   wire [6:0] rd_addr_final;
+   wire [1:0] which_ram_rd_final;
+       
+   // USB side
+   always @(posedge txclk)
+       if(WR & (which_ram_wr == 2'd0)) ram0[wr_addr] <= datain;
+                       
+   always @(posedge txclk)
+       if(WR & (which_ram_wr == 2'd1)) ram1[wr_addr] <= datain;
+
+   always @(posedge txclk)
+       if(WR & (which_ram_wr == 2'd2)) ram2[wr_addr] <= datain;
+
+   always @(posedge txclk)
+       if(WR & (which_ram_wr == 2'd3)) ram3[wr_addr] <= datain;
+
+   assign wr_done_int = ((WR && (wr_addr == 7'd127)) || WR_done);
+   
+   always @(posedge txclk)
+       if(reset)
+           wr_addr <= 0;
+       else if (WR_done)
+           wr_addr <= 0;
+       else if (WR) 
+           wr_addr <= wr_addr + 7'd1;
+               
+   always @(posedge txclk)
+      if(reset)
+          which_ram_wr <= 0;
+      else if (wr_done_int) 
+          which_ram_wr <= which_ram_wr + 2'd1;
+       
+   assign have_space = (nb_packets < 3'd3);
+               
+   // Reader side
+   // short hand fifo
+   // rd_addr_final is what rd_addr is going to be next clock cycle
+   // which_ram_rd_final is what which_ram_rd is going to be next clock cycle
+   always @(posedge txclk)  dataout0 <= ram0[rd_addr_final];
+   always @(posedge txclk)  dataout1 <= ram1[rd_addr_final];
+   always @(posedge txclk)  dataout2 <= ram2[rd_addr_final];
+   always @(posedge txclk)  dataout3 <= ram3[rd_addr_final];
+       
+   assign dataout = (which_ram_rd_final[1]) ? 
+                    (which_ram_rd_final[0] ? dataout3 : dataout2) :
+                    (which_ram_rd_final[0] ? dataout1 : dataout0);
+
+   //RD_done is the only way to signal the end of one packet
+   assign rd_done_int = RD_done;   
+
+   always @(posedge txclk)
+       if (reset)
+           rd_addr <= 0;
+       else if (RD_done)
+           rd_addr <= 0;
+       else if (RD) 
+           rd_addr <= rd_addr + 7'd1;
+                       
+   assign rd_addr_final = (reset|RD_done) ? (6'd0) : 
+                         ((RD)?(rd_addr+7'd1):rd_addr); 
+       
+   always @(posedge txclk)
+       if (reset)
+           which_ram_rd <= 0;
+       else if (rd_done_int)
+           which_ram_rd <= which_ram_rd + 2'd1;
+
+   assign which_ram_rd_final = (reset) ? (2'd0):
+                              ((rd_done_int) ? (which_ram_rd + 2'd1) : which_ram_rd);
+                               
+   //packet_waiting is set to zero if rd_done_int is high
+   //because there is no guarantee that nb_packets will be pos.
+
+   assign packet_waiting = (nb_packets > 1) | ((nb_packets == 1)&(~rd_done_int));
+   always @(posedge txclk)
+       if (reset)
+           nb_packets <= 0;
+       else if (wr_done_int & ~rd_done_int)
+           nb_packets <= nb_packets + 3'd1;
+       else if (rd_done_int & ~wr_done_int)
+           nb_packets <= nb_packets - 3'd1;
+                       
+endmodule
diff --git a/usrp/fpga/inband_lib/cmd_reader.v b/usrp/fpga/inband_lib/cmd_reader.v
new file mode 100755 (executable)
index 0000000..b69ea02
--- /dev/null
@@ -0,0 +1,305 @@
+module cmd_reader
+   (//System
+    input reset, input txclk, input [31:0] timestamp_clock,
+    //FX2 Side
+    output reg skip, output reg rdreq, 
+    input [31:0] fifodata, input pkt_waiting,
+    //Rx side
+    input rx_WR_enabled, output reg [15:0] rx_databus,
+    output reg rx_WR, output reg rx_WR_done,
+    //register io
+    input wire [31:0] reg_data_out, output reg [31:0] reg_data_in,
+    output reg [6:0] reg_addr, output reg [1:0] reg_io_enable,
+    output wire [14:0] debug, output reg stop, output reg [15:0] stop_time);
+       
+   // States
+   parameter IDLE                       =   4'd0;
+   parameter HEADER                     =   4'd1;
+   parameter TIMESTAMP                  =   4'd2;
+   parameter WAIT                      =   4'd3;
+   parameter TEST                       =   4'd4;
+   parameter SEND                       =   4'd5;
+   parameter PING                       =   4'd6;
+   parameter WRITE_REG                  =   4'd7;
+   parameter WRITE_REG_MASKED           =   4'd8;
+   parameter READ_REG                   =   4'd9;
+   parameter DELAY                      =   4'd14;             
+
+   `define OP_PING_FIXED                    8'd0
+   `define OP_PING_FIXED_REPLY              8'd1
+   `define OP_WRITE_REG                            8'd2
+   `define OP_WRITE_REG_MASKED              8'd3
+   `define OP_READ_REG                      8'd4
+   `define OP_READ_REG_REPLY                8'd5
+   `define OP_DELAY                         8'd12
+       
+   reg [6:0]   payload;
+   reg [6:0]   payload_read;
+   reg [3:0]   state;
+   reg [15:0]  high;
+   reg [15:0]  low;
+   reg         pending;
+   reg [31:0]  value0;
+   reg [31:0]  value1;
+   reg [31:0]  value2;
+   reg [1:0]   lines_in;
+   reg [1:0]   lines_out;
+   reg [1:0]   lines_out_total;
+       
+   `define JITTER                           5
+   `define OP_CODE                          31:24
+   `define PAYLOAD                          8:2
+       
+   wire [7:0] ops;
+   assign ops = value0[`OP_CODE];
+   assign debug = {state[3:0], lines_out[1:0], pending, rx_WR, rx_WR_enabled, value0[2:0], ops[2:0]};
+       
+   always @(posedge txclk)
+       if (reset)
+         begin
+           pending <= 0;
+           state <= IDLE;
+           skip <= 0;
+           rdreq <= 0;
+           rx_WR <= 0;
+           reg_io_enable <= 0;
+           reg_data_in <= 0;
+           reg_addr <= 0;
+           stop <= 0;
+          end
+        else case (state)
+          IDLE : 
+            begin
+              payload_read <= 0;
+              skip <= 0;
+              lines_in <= 0;
+              if(pkt_waiting)
+                begin
+                  state <= HEADER;
+                  rdreq <= 1;
+                end
+             end
+          
+          HEADER : 
+            begin
+              payload <= fifodata[`PAYLOAD];
+              state <= TIMESTAMP;
+            end
+          
+          TIMESTAMP : 
+            begin
+              value0 <= fifodata;
+              state <= WAIT;
+              rdreq <= 0;
+            end
+                       
+          WAIT : 
+            begin
+              // Let's send it
+              if ((value0 <= timestamp_clock + `JITTER 
+                 && value0 > timestamp_clock)
+                 || value0 == 32'hFFFFFFFF)
+                  state <= TEST;
+              // Wait a little bit more
+              else if (value0 > timestamp_clock + `JITTER)
+                  state <= WAIT; 
+              // Outdated
+              else if (value0 < timestamp_clock)
+                begin
+                  state <= IDLE;
+                  skip <= 1;
+                end
+            end
+                       
+          TEST : 
+            begin
+              reg_io_enable <= 0;
+              rx_WR <= 0;
+              rx_WR_done <= 1;
+              stop <= 0;
+              if (payload_read == payload)
+                begin
+                  skip <= 1;
+                  state <= IDLE;
+                  rdreq <= 0;
+                end
+              else
+                begin
+                  value0 <= fifodata;
+                  lines_in <= 2'd1;
+                  rdreq <= 1;
+                  payload_read <= payload_read + 7'd1;
+                  lines_out <= 0;
+                  case (fifodata[`OP_CODE])
+                    `OP_PING_FIXED: 
+                      begin
+                        state <= PING;
+                      end
+                    `OP_WRITE_REG: 
+                      begin
+                        state <= WRITE_REG;
+                        pending <= 1;
+                      end
+                    `OP_WRITE_REG_MASKED: 
+                      begin
+                        state <= WRITE_REG_MASKED;
+                        pending <= 1;
+                      end
+                    `OP_READ_REG: 
+                      begin
+                        state <= READ_REG;
+                      end
+                    `OP_DELAY: 
+                      begin
+                        state <= DELAY;
+                      end
+                    default: 
+                      begin
+                      //error, skip this packet
+                        skip <= 1;
+                        state <= IDLE;
+                      end
+                  endcase
+                end
+              end
+                       
+            SEND: 
+              begin
+                rdreq <= 0;
+                rx_WR_done <= 0;
+                if (pending)
+                  begin
+                    rx_WR <= 1;
+                    rx_databus <= high;
+                    pending <= 0;
+                    if (lines_out == lines_out_total)
+                        state <= TEST;
+                    else case (ops)
+                        `OP_READ_REG: 
+                          begin
+                            state <= READ_REG;
+                          end
+                         default: 
+                           begin
+                             state <= TEST;
+                           end
+                    endcase
+                  end
+                else
+                  begin
+                    if (rx_WR_enabled)
+                      begin
+                        rx_WR <= 1;
+                        rx_databus <= low;
+                        pending <= 1;
+                        lines_out <= lines_out + 2'd1;
+                      end
+                    else
+                        rx_WR <= 0;
+                  end
+                end
+                       
+            PING: 
+              begin
+                rx_WR <= 0;
+                rdreq <= 0;
+                rx_WR_done <= 0;
+                lines_out_total <= 2'd1;
+                pending <= 0; 
+                state <= SEND;
+                high <= {`OP_PING_FIXED_REPLY, 8'd2};
+                low <= value0[15:0];   
+              end
+                       
+            READ_REG: 
+              begin
+                rx_WR <= 0;
+                rx_WR_done <= 0;
+                rdreq <= 0;
+                lines_out_total <= 2'd2;
+                pending <= 0;
+                state <= SEND;
+                if (lines_out == 0)
+                  begin
+                    high <= {`OP_READ_REG_REPLY, 8'd6};
+                    low <= value0[15:0];
+                    reg_io_enable <= 2'd3;
+                    reg_addr <= value0[6:0];
+                  end
+                else
+                  begin                
+                    high <= reg_data_out[31:16];
+                    low <= reg_data_out[15:0];
+                  end
+             end    
+                       
+            WRITE_REG: 
+              begin
+                rx_WR <= 0;
+                if (pending)
+                    pending <= 0;
+                else
+                  begin
+                    if (lines_in == 2'd1)
+                      begin
+                        payload_read <= payload_read + 7'd1;
+                        lines_in <= lines_in + 2'd1;
+                        value1 <= fifodata;
+                        rdreq <= 0;
+                      end
+                    else
+                      begin
+                        reg_io_enable <= 2'd2;
+                        reg_data_in <= value1;
+                        reg_addr <= value0[6:0];
+                        state <= TEST;
+                      end
+                  end
+              end
+                       
+            WRITE_REG_MASKED: 
+              begin
+                rx_WR <= 0;
+                if (pending)
+                    pending <= 0;
+                else
+                  begin
+                    if (lines_in == 2'd1)
+                      begin
+                        rdreq <= 1;
+                        payload_read <= payload_read + 7'd1;
+                        lines_in <= lines_in + 2'd1;
+                        value1 <= fifodata;
+                      end
+                    else if (lines_in == 2'd2)
+                      begin
+                        rdreq <= 0;
+                        payload_read <= payload_read + 7'd1;
+                        lines_in <= lines_in + 2'd1;
+                        value2 <= fifodata;
+                      end
+                    else
+                      begin
+                        reg_io_enable <= 2'd2;
+                        reg_data_in <= (value1 & value2);
+                        reg_addr <= value0[6:0];
+                        state <= TEST;
+                      end
+                  end
+              end
+                       
+            DELAY : 
+              begin
+                rdreq <= 0;
+                stop <= 1;
+                stop_time <= value0[15:0];
+                state <= TEST;
+              end
+                       
+            default : 
+              begin
+                //error state handling
+                state <= IDLE;
+              end
+        endcase
+endmodule
diff --git a/usrp/fpga/inband_lib/packet_builder.v b/usrp/fpga/inband_lib/packet_builder.v
new file mode 100755 (executable)
index 0000000..2c91223
--- /dev/null
@@ -0,0 +1,152 @@
+module packet_builder #(parameter NUM_CHAN = 2)(
+    // System
+    input rxclk,
+    input reset,
+        input [31:0] timestamp_clock,
+        input [3:0] channels,
+    // ADC side
+    input [15:0]chan_fifodata,
+    input [NUM_CHAN:0]chan_empty,
+    input [9:0]chan_usedw,
+    output reg [3:0]rd_select,
+    output reg chan_rdreq,
+    // FX2 side
+    output reg WR,
+    output reg [15:0]fifodata,
+    input have_space, 
+    input wire [31:0]rssi_0, input wire [31:0]rssi_1, input wire [31:0]rssi_2,
+    input wire [31:0]rssi_3, output wire [7:0] debugbus,
+    input [NUM_CHAN:0] underrun);
+    
+    
+    // States
+    `define IDLE                     3'd0
+    `define HEADER1                  3'd1
+    `define HEADER2                  3'd2
+    `define TIMESTAMP                3'd3
+    `define FORWARD                  3'd4
+       
+    `define MAXPAYLOAD 504
+    
+    `define PAYLOAD_LEN 8:0
+    `define TAG 12:9
+    `define MBZ 15:13
+    
+    `define CHAN 4:0
+    `define RSSI 10:5
+    `define BURST 12:11
+    `define DROPPED 13
+    `define UNDERRUN 14
+    `define OVERRUN 15
+    
+    reg [NUM_CHAN:0] overrun;
+    reg [2:0] state;
+    reg [8:0] read_length;
+    reg [8:0] payload_len;
+    reg timestamp_complete;
+    reg [3:0] check_next;
+       
+    wire [31:0] true_rssi;
+    wire [4:0] true_channel;
+    wire ready_to_send;
+
+    assign debugbus = {chan_empty[0], rd_select[0], have_space, 
+                       (chan_usedw >= 10'd504), (chan_usedw ==0),  
+                       ready_to_send, state[1:0]};
+
+    assign true_rssi = (rd_select[1]) ? ((rd_select[0]) ? rssi_3:rssi_2) :
+                                                       ((rd_select[0]) ? rssi_1:rssi_0);
+    assign true_channel = (check_next == 4'd0 ? 5'h1f : {1'd0, check_next - 4'd1});
+    assign ready_to_send = (chan_usedw >= 10'd504) || (chan_usedw == 0) || 
+                           ((rd_select == NUM_CHAN)&&(chan_usedw > 0));
+               
+    always @(posedge rxclk)
+    begin
+        if (reset)
+          begin
+            overrun <= 0;
+            WR <= 0;
+            rd_select <= 0;
+            chan_rdreq <= 0;
+            timestamp_complete <= 0;
+            check_next <= 0;
+            state <= `IDLE;
+          end
+        else case (state)
+            `IDLE: begin
+               chan_rdreq <= #1 0;
+               //check if the channel is full
+               if(~chan_empty[check_next])
+                 begin
+                    if (have_space)
+                      begin
+                        //transmit if the usb buffer have space
+                       //check if we should send
+                       if (ready_to_send)
+                           state <= #1 `HEADER1;
+                                                   
+                       overrun[check_next] <= 0;
+                      end
+                  else
+                    begin
+                      state <= #1 `IDLE;
+                      overrun[check_next] <= 1;
+                    end
+                  rd_select <= #1 check_next;
+                end
+                check_next <= #1 (check_next == channels ? 4'd0 : check_next + 4'd1);
+            end
+            
+            `HEADER1: begin
+                fifodata[`PAYLOAD_LEN] <= #1 9'd504;
+                payload_len <= #1 9'd504;
+                fifodata[`TAG] <= #1 0;
+                fifodata[`MBZ] <= #1 0;
+                WR <= #1 1;
+                
+                state <= #1 `HEADER2;
+                read_length <= #1 0;
+            end
+            
+            `HEADER2: begin
+                fifodata[`CHAN] <= #1 true_channel;
+                fifodata[`RSSI] <= #1 true_rssi[5:0];
+                fifodata[`BURST] <= #1 0;
+                fifodata[`DROPPED] <= #1 0;
+                fifodata[`UNDERRUN] <= #1 (check_next == 0) ? 1'b0 : underrun[true_channel];
+                fifodata[`OVERRUN] <= #1 (check_next == 0) ? 1'b0 : overrun[true_channel];
+                state <= #1 `TIMESTAMP;
+            end
+            
+            `TIMESTAMP: begin
+                fifodata <= #1 (timestamp_complete ? timestamp_clock[31:16] : timestamp_clock[15:0]);
+                timestamp_complete <= #1 ~timestamp_complete;
+                
+                if (~timestamp_complete)
+                    chan_rdreq <= #1 1;
+                
+                state <= #1 (timestamp_complete ? `FORWARD : `TIMESTAMP);
+            end
+            
+            `FORWARD: begin
+                read_length <= #1 read_length + 9'd2;
+                fifodata <= #1 (read_length >= payload_len ? 16'hDEAD : chan_fifodata);
+                
+                if (read_length >= `MAXPAYLOAD)
+                  begin
+                    WR <= #1 0;
+                    state <= #1 `IDLE;
+                                       chan_rdreq <= #1 0;
+                  end
+                else if (read_length == payload_len - 4)
+                    chan_rdreq <= #1 0;
+            end
+            
+            default: begin
+                               //handling error state
+                state <= `IDLE;
+            end
+            endcase
+    end
+endmodule
+
diff --git a/usrp/fpga/inband_lib/register_io.v b/usrp/fpga/inband_lib/register_io.v
new file mode 100755 (executable)
index 0000000..2b0cd17
--- /dev/null
@@ -0,0 +1,82 @@
+module register_io
+       (clk, reset, enable, addr, datain, dataout, debugbus, addr_wr, data_wr, strobe_wr,
+        rssi_0, rssi_1, rssi_2, rssi_3, threshhold, rssi_wait, reg_0, reg_1, reg_2, reg_3, 
+     debug_en, misc, txmux);   
+       
+       input clk;
+       input reset;
+       input wire [1:0] enable;
+       input wire [6:0] addr; 
+       input wire [31:0] datain;
+       output reg [31:0] dataout;
+       output wire [15:0] debugbus;
+       output reg [6:0] addr_wr;
+       output reg [31:0] data_wr;
+       output wire strobe_wr; 
+       input wire [31:0] rssi_0;
+       input wire [31:0] rssi_1;
+       input wire [31:0] rssi_2; 
+       input wire [31:0] rssi_3; 
+       output wire [31:0] threshhold;
+       output wire [31:0] rssi_wait;
+       input wire [15:0] reg_0;
+       input wire [15:0] reg_1; 
+       input wire [15:0] reg_2; 
+       input wire [15:0] reg_3;
+       input wire [3:0]  debug_en;
+       input wire [7:0]  misc;
+       input wire [31:0] txmux;
+       
+       reg strobe;
+       wire [31:0] out[2:1];
+       assign debugbus = {clk, enable, addr[2:0], datain[4:0], dataout[4:0]};
+       assign threshhold = out[1];
+       assign rssi_wait = out[2];
+       assign strobe_wr = strobe;
+       
+       always @(*)
+        if (reset | ~enable[1])
+           begin
+             strobe <= 0;
+                    dataout <= 0;
+                  end
+               else
+                  begin
+                if (enable[0])
+                  begin
+                    //read
+                               if (addr <= 7'd52 && addr > 7'd50)
+                                       dataout <= out[addr-7'd50];
+                               else
+                                       dataout <= 32'hFFFFFFFF;        
+                   strobe <= 0;
+              end
+             else
+               begin
+                 //write
+                    dataout <= dataout;
+                 strobe <= 1;
+                                data_wr <= datain;
+                                addr_wr <= addr;
+               end
+          end
+
+//register declarations
+    /*setting_reg #(50) setting_reg0(.clock(clk),.reset(reset),
+    .strobe(strobe_wr),.addr(addr_wr),.in(data_wr),.out(out[0]));*/
+    setting_reg #(51) setting_reg1(.clock(clk),.reset(reset),
+    .strobe(strobe_wr),.addr(addr_wr),.in(data_wr),.out(out[1]));
+    setting_reg #(52) setting_reg2(.clock(clk),.reset(reset),
+    .strobe(strobe_wr),.addr(addr_wr),.in(data_wr),.out(out[2]));
+    /*setting_reg #(53) setting_reg3(.clock(clk),.reset(reset),
+    .strobe(strobe_wr),.addr(addr_wr),.in(data_wr),.out(out[3]));
+    setting_reg #(54) setting_reg4(.clock(clk),.reset(reset),
+    .strobe(strobe_wr),.addr(addr_wr),.in(data_wr),.out(out[4]));
+    setting_reg #(55) setting_reg5(.clock(clk),.reset(reset),
+    .strobe(strobe_wr),.addr(addr_wr),.in(data_wr),.out(out[5]));
+    setting_reg #(56) setting_reg6(.clock(clk),.reset(reset),
+    .strobe(strobe_wr),.addr(addr_wr),.in(data_wr),.out(out[6]));
+    setting_reg #(57) setting_reg7(.clock(clk),.reset(reset),
+    .strobe(strobe_wr),.addr(addr_wr),.in(data_wr),.out(out[7]));*/
+
+endmodule      
diff --git a/usrp/fpga/inband_lib/rx_buffer_inband.v b/usrp/fpga/inband_lib/rx_buffer_inband.v
new file mode 100755 (executable)
index 0000000..cbd2d89
--- /dev/null
@@ -0,0 +1,209 @@
+//`include "../../firmware/include/fpga_regs_common.v"\r
+//`include "../../firmware/include/fpga_regs_standard.v"\r
+module rx_buffer_inband\r
+  ( input usbclk,\r
+    input bus_reset,\r
+    input reset,  // DSP side reset (used here), do not reset registers\r
+    input reset_regs, //Only reset registers\r
+    output [15:0] usbdata,\r
+    input RD,\r
+    output wire have_pkt_rdy,\r
+    output reg rx_overrun,\r
+    input wire [3:0] channels,\r
+    input wire [15:0] ch_0,\r
+    input wire [15:0] ch_1,\r
+    input wire [15:0] ch_2,\r
+    input wire [15:0] ch_3,\r
+    input wire [15:0] ch_4,\r
+    input wire [15:0] ch_5,\r
+    input wire [15:0] ch_6,\r
+    input wire [15:0] ch_7,\r
+    input rxclk,\r
+    input rxstrobe,\r
+    input clear_status,\r
+    input [6:0] serial_addr, \r
+    input [31:0] serial_data, \r
+    input serial_strobe,\r
+    output wire [15:0] debugbus,\r
+       \r
+    //Connection with tx_inband\r
+    input rx_WR,\r
+    input [15:0] rx_databus,\r
+    input rx_WR_done,\r
+    output reg rx_WR_enabled,\r
+    //signal strength\r
+    input wire [31:0] rssi_0, input wire [31:0] rssi_1,\r
+    input wire [31:0] rssi_2, input wire [31:0] rssi_3,\r
+    input wire [1:0] tx_underrun\r
+    );\r
+    \r
+    parameter NUM_CHAN = 1;\r
+    genvar i ;\r
+    \r
+    // FX2 Bug Fix\r
+    reg [8:0] read_count;\r
+    always @(negedge usbclk)\r
+        if(bus_reset)\r
+            read_count <= #1 9'd0;\r
+        else if(RD & ~read_count[8])\r
+            read_count <= #1 read_count + 9'd1;\r
+        else\r
+            read_count <= #1 RD ? read_count : 9'b0;\r
+       \r
+       // Time counter\r
+       reg [31:0] timestamp_clock;\r
+       always @(posedge rxclk)\r
+               if (reset)\r
+                       timestamp_clock <= 0;\r
+               else\r
+                       timestamp_clock <= timestamp_clock + 1;\r
+     \r
+  // USB side fifo\r
+  wire [11:0] rdusedw;\r
+  wire [11:0] wrusedw;\r
+  wire [15:0] fifodata;\r
+  wire [15:0] fifodata_il[0:NUM_CHAN];\r
+  wire WR;\r
+  wire have_space;\r
+  reg sel;\r
+  reg wr;\r
+\r
+  always@(posedge rxclk)\r
+    begin\r
+      if(reset)\r
+        begin\r
+          sel<=1;\r
+          wr<=0;\r
+        end\r
+      else if(rxstrobe)\r
+        begin\r
+          sel<=0;\r
+          wr<=1;\r
+        end\r
+      else if(wr&~sel)\r
+          sel<=1;\r
+      else if(wr&sel)\r
+          wr<=0;\r
+      else\r
+          wr<=0;\r
+    end\r
+\r
+  assign fifodata_il[0] = (sel)?ch_1:ch_0;\r
+  assign fifodata_il[1] = (sel)?ch_3:ch_2;\r
+\r
+  fifo_4kx16_dc        rx_usb_fifo (\r
+    .aclr ( reset ),\r
+    .data ( fifodata ),\r
+    .rdclk ( ~usbclk ),\r
+    .rdreq ( RD & ~read_count[8] ),\r
+    .wrclk ( rxclk ),\r
+    .wrreq ( WR ),\r
+    .q ( usbdata ),\r
+    .rdempty (  ),\r
+    .rdusedw ( rdusedw ),\r
+    .wrfull (  ),\r
+    .wrusedw ( wrusedw ) );\r
+    \r
+  assign have_pkt_rdy = (rdusedw >= 12'd256);\r
+  assign have_space = (wrusedw < 12'd760);\r
+        \r
+  // Rx side fifos\r
+  // These are of size [NUM_CHAN:0] because the extra channel is used for the\r
+  // RX command channel.  If there were no command channel, they would be\r
+  // NUM_CHAN-1.\r
+  wire chan_rdreq;\r
+  wire [15:0] chan_fifodata;\r
+  wire [9:0] chan_usedw;\r
+  wire [NUM_CHAN:0] chan_empty;\r
+  wire [3:0] rd_select;\r
+  wire [NUM_CHAN:0] rx_full;\r
+        \r
+  packet_builder #(NUM_CHAN) rx_pkt_builer (\r
+    .rxclk ( rxclk ),\r
+    .reset ( reset ),\r
+    .timestamp_clock ( timestamp_clock ),\r
+    .channels ( NUM_CHAN ),\r
+    .chan_rdreq ( chan_rdreq ),\r
+    .chan_fifodata ( chan_fifodata ),\r
+    .chan_empty ( chan_empty ),\r
+    .rd_select ( rd_select ),\r
+    .chan_usedw ( chan_usedw ),\r
+    .WR ( WR ),\r
+    .fifodata ( fifodata ),\r
+    .have_space ( have_space ),\r
+      .rssi_0(rssi_0), .rssi_1(rssi_1),\r
+      .rssi_2(rssi_2),.rssi_3(rssi_3), .debugbus(debug),\r
+      .underrun(tx_underrun));\r
+        \r
+  // Detect overrun\r
+  always @(posedge rxclk)\r
+    if(reset)\r
+      rx_overrun <= 1'b0;\r
+    else if(rx_full[0])\r
+      rx_overrun <= 1'b1;\r
+    else if(clear_status)\r
+      rx_overrun <= 1'b0;\r
+\r
+               \r
+  // FIXME: what is the purpose of these two lines?\r
+  wire [15:0]ch[NUM_CHAN:0];\r
+  assign ch[0] = ch_0;\r
+       \r
+  wire cmd_empty;\r
+       \r
+  always @(posedge rxclk)\r
+    if(reset)\r
+      rx_WR_enabled <= 1;\r
+    else if(cmd_empty)\r
+      rx_WR_enabled <= 1;\r
+    else if(rx_WR_done)\r
+      rx_WR_enabled <= 0;\r
+\r
+\r
+  // Of Size 0:NUM_CHAN due to extra command channel.\r
+  wire [15:0] dataout [0:NUM_CHAN];\r
+  wire [9:0]  usedw    [0:NUM_CHAN];\r
+  wire empty[0:NUM_CHAN];\r
+       \r
+  generate for (i = 0 ; i < NUM_CHAN; i = i + 1)\r
+    begin : generate_channel_fifos\r
+\r
+      wire rdreq;\r
+\r
+      assign rdreq = (rd_select == i) & chan_rdreq;\r
+\r
+      fifo_1kx16 rx_chan_fifo (\r
+      .aclr ( reset ),\r
+      .clock ( rxclk ),\r
+      .data ( fifodata_il[i] ),\r
+      .rdreq ( rdreq ),\r
+      .wrreq ( ~rx_full[i] & wr),\r
+      .empty (empty[i]),\r
+      .full (rx_full[i]),\r
+      .q ( dataout[i]),\r
+      .usedw ( usedw[i]),\r
+      .almost_empty(chan_empty[i])\r
+      );\r
+    end\r
+  endgenerate\r
+       \r
+  wire [7:0] debug;\r
+        \r
+  fifo_1kx16 rx_cmd_fifo (\r
+    .aclr ( reset ),\r
+    .clock ( rxclk ),\r
+    .data ( rx_databus ),\r
+    .rdreq ( (rd_select == NUM_CHAN) & chan_rdreq ),\r
+    .wrreq ( rx_WR & rx_WR_enabled),\r
+    .empty ( cmd_empty),\r
+    .full ( rx_full[NUM_CHAN] ),\r
+    .q ( dataout[NUM_CHAN]),\r
+    .usedw ( usedw[NUM_CHAN] )\r
+  );\r
+       \r
+  assign chan_empty[NUM_CHAN] = cmd_empty | rx_WR_enabled;\r
+  assign chan_fifodata = dataout[rd_select];\r
+  assign chan_usedw = usedw[rd_select];\r
+  assign debugbus = {4'd0, rxclk, rxstrobe, rx_full[0], rx_full[1], sel, wr};\r
+\r
+endmodule\r
diff --git a/usrp/fpga/inband_lib/tx_buffer_inband.v b/usrp/fpga/inband_lib/tx_buffer_inband.v
new file mode 100755 (executable)
index 0000000..2dd75f4
--- /dev/null
@@ -0,0 +1,143 @@
+module tx_buffer_inband
+  ( //System
+    input wire usbclk, input wire bus_reset, input wire reset, 
+    input wire [15:0] usbdata, output wire have_space, input wire [3:0] channels, 
+    //output transmit signals
+    output wire [15:0] tx_i_0, output wire [15:0] tx_q_0, 
+    output wire [15:0] tx_i_1, output wire [15:0] tx_q_1,
+    output wire [15:0] tx_i_2, output wire [15:0] tx_q_2, 
+    output wire [15:0] tx_i_3, output wire [15:0] tx_q_3, 
+    input wire txclk, input wire txstrobe, input wire WR,
+    input wire clear_status, output wire tx_empty, output wire [15:0] debugbus, 
+    //command reader io
+    output wire [15:0] rx_databus, output wire rx_WR, output wire rx_WR_done, 
+    input wire rx_WR_enabled,
+    //register io 
+    output wire [1:0] reg_io_enable, output wire [31:0] reg_data_in, output wire [6:0] reg_addr,
+    input wire [31:0] reg_data_out,  
+    //input characteristic signals
+    input wire [31:0] rssi_0, input wire [31:0] rssi_1, input wire [31:0] rssi_2, 
+    input wire [31:0] rssi_3, input wire [31:0] rssi_wait, input wire [31:0] threshhold, 
+    output wire [1:0] tx_underrun, 
+    //system stop
+    output wire stop, output wire [15:0] stop_time);
+       
+   parameter NUM_CHAN   =      1 ;
+    
+   /* To generate channel readers */
+   genvar i ;
+    
+   /* These will eventually be external register */
+   reg                  [31:0] timestamp_clock ;
+   wire                 [7:0]  txstrobe_rate [NUM_CHAN-1:0] ;
+   wire                                [31:0] rssi [3:0];
+   assign rssi[0] = rssi_0;
+   assign rssi[1] = rssi_1;
+   assign rssi[2] = rssi_2;
+   assign rssi[3] = rssi_3;
+   
+   always @(posedge txclk)
+       if (reset)
+           timestamp_clock <= 0;
+       else
+           timestamp_clock <= timestamp_clock + 1;
+
+
+    /* Connections between tx_usb_fifo_reader and
+       cnannel/command processing blocks */
+   wire                  [31:0] tx_data_bus ;
+   wire            [NUM_CHAN:0] chan_WR ;
+   wire            [NUM_CHAN:0] chan_done ;
+    
+    /* Connections between data block and the
+       FX2/TX chains */
+   wire            [NUM_CHAN:0] chan_underrun;
+   wire            [NUM_CHAN:0] chan_txempty;
+   
+   /* Conections between tx_data_packet_fifo and
+       its reader + strobe generator */
+   wire                 [31:0] chan_fifodata [NUM_CHAN:0] ;
+   wire                        chan_pkt_waiting [NUM_CHAN:0] ;
+   wire                        chan_rdreq [NUM_CHAN:0] ;
+   wire                        chan_skip [NUM_CHAN:0] ;
+   wire                        chan_have_space [NUM_CHAN:0] ;
+
+   wire                            [14:0] debug [NUM_CHAN:0];
+    
+   /* Outputs to transmit chains */
+   wire                 [15:0] tx_i [NUM_CHAN:0] ;
+   wire                 [15:0] tx_q [NUM_CHAN:0] ;
+
+   assign tx_i[NUM_CHAN] = 0;
+   assign tx_q[NUM_CHAN] = 0;
+    
+   assign have_space = chan_have_space[0] & chan_have_space[1];
+   assign tx_empty = chan_txempty[0] & chan_txempty[1] ;
+
+   assign tx_i_0 = chan_txempty[0] ? 16'b0 : tx_i[0] ;
+   assign tx_q_0 = chan_txempty[0] ? 16'b0 : tx_q[0] ;
+   assign tx_i_1 = chan_txempty[1] ? 16'b0 : tx_i[1] ;
+   assign tx_q_1 = chan_txempty[1] ? 16'b0 : tx_q[1] ;
+        
+   assign tx_q_2 = 16'b0 ;
+   assign tx_i_2 = 16'b0 ;
+   assign tx_q_3 = 16'b0 ;
+   assign tx_i_3 = 16'b0 ;
+   assign tx_i_3 = 16'b0 ;
+       
+   assign debugbus = {have_space, txclk, WR, WR_final, chan_WR, chan_done, 
+                      chan_pkt_waiting[0], chan_pkt_waiting[1],
+                      chan_rdreq[0], chan_rdreq[1], chan_txempty[0], chan_txempty[1]};
+
+   wire [31:0] usbdata_final;
+   wire                WR_final;
+
+   tx_packer tx_usb_packer
+   (.bus_reset(bus_reset), .usbclk(usbclk), .WR_fx2(WR),
+    .usbdata(usbdata), .reset(reset), .txclk(txclk),
+    .usbdata_final(usbdata_final), .WR_final(WR_final));
+       
+   channel_demux #(NUM_CHAN) channel_demuxer
+   (.usbdata_final(usbdata_final), .WR_final(WR_final),
+    .reset(reset), .txclk(txclk), .WR_channel(chan_WR),
+    .WR_done_channel(chan_done), .ram_data(tx_data_bus));
+       
+   generate for (i = 0 ; i < NUM_CHAN; i = i + 1)
+     begin : generate_channel_readers
+       assign tx_underrun[i] = chan_underrun[i];
+
+       channel_ram tx_data_packet_fifo 
+       (.reset(reset), .txclk(txclk), .datain(tx_data_bus),
+        .WR(chan_WR[i]), .WR_done(chan_done[i]),
+        .have_space(chan_have_space[i]), .dataout(chan_fifodata[i]),
+        .packet_waiting(chan_pkt_waiting[i]), .RD(chan_rdreq[i]),
+        .RD_done(chan_skip[i]));
+
+       chan_fifo_reader tx_chan_reader 
+       (.reset(reset), .tx_clock(txclk), .tx_strobe(txstrobe),
+        .timestamp_clock(timestamp_clock), .samples_format(4'b0),          
+        .tx_q(tx_q[i]), .tx_i(tx_i[i]), .underrun(chan_underrun[i]),
+        .skip(chan_skip[i]), .rdreq(chan_rdreq[i]),
+        .fifodata(chan_fifodata[i]), .pkt_waiting(chan_pkt_waiting[i]),
+        .tx_empty(chan_txempty[i]), .rssi(rssi[i]), .debug(debug[i]),
+        .threshhold(threshhold), .rssi_wait(rssi_wait));                
+    end
+    endgenerate
+
+
+   channel_ram tx_cmd_packet_fifo 
+   (.reset(reset), .txclk(txclk), .datain(tx_data_bus), .WR(chan_WR[NUM_CHAN]),
+    .WR_done(chan_done[NUM_CHAN]), .have_space(chan_have_space[NUM_CHAN]),
+    .dataout(chan_fifodata[NUM_CHAN]), .packet_waiting(chan_pkt_waiting[NUM_CHAN]),
+    .RD(chan_rdreq[NUM_CHAN]), .RD_done(chan_skip[NUM_CHAN]));
+
+   cmd_reader tx_cmd_reader
+   (.reset(reset), .txclk(txclk), .timestamp_clock(timestamp_clock), .skip(chan_skip[NUM_CHAN]),
+    .rdreq(chan_rdreq[NUM_CHAN]), .fifodata(chan_fifodata[NUM_CHAN]),
+    .pkt_waiting(chan_pkt_waiting[NUM_CHAN]), .rx_databus(rx_databus),
+    .rx_WR(rx_WR), .rx_WR_done(rx_WR_done), .rx_WR_enabled(rx_WR_enabled),
+    .reg_data_in(reg_data_in), .reg_data_out(reg_data_out), .reg_addr(reg_addr),
+    .reg_io_enable(reg_io_enable), .debug(debug[NUM_CHAN]), .stop(stop), .stop_time(stop_time));
+                                  
+endmodule // tx_buffer
+
diff --git a/usrp/fpga/inband_lib/tx_packer.v b/usrp/fpga/inband_lib/tx_packer.v
new file mode 100644 (file)
index 0000000..2f19b21
--- /dev/null
@@ -0,0 +1,119 @@
+module tx_packer
+   (     //FX2 Side
+                       input bus_reset, 
+                       input usbclk, 
+                       input WR_fx2, 
+                       input [15:0]usbdata,
+                       
+                       // TX Side
+                       input reset,
+                       input txclk,
+                       output reg [31:0] usbdata_final,
+                       output reg WR_final);
+
+       reg [8:0] write_count;
+
+       /* Fix FX2 bug */
+       always @(posedge usbclk)
+       begin
+       if(bus_reset)        // Use bus reset because this is on usbclk
+                       write_count <= #1 0;
+       else if(WR_fx2 & ~write_count[8])
+               write_count <= #1 write_count + 9'd1;
+       else
+               write_count <= #1 WR_fx2 ? write_count : 9'b0;
+       end
+       
+       reg WR_fx2_fixed;
+       reg [15:0]usbdata_fixed;
+       
+       always @(posedge usbclk) 
+       begin
+          WR_fx2_fixed <= WR_fx2 & ~write_count[8];
+          usbdata_fixed <= usbdata;
+       end
+
+       /* Used to convert 16 bits bus_data to the 32 bits wide fifo */
+    reg                             word_complete ;
+    reg     [15:0]                             usbdata_delayed ;
+    reg                             writing ;
+       wire    [31:0]                                  usbdata_packed ;    
+       wire                                                    WR_packed ;
+   
+    always @(posedge usbclk)
+    begin
+        if (bus_reset)
+          begin
+            word_complete <= 0 ;
+            writing <= 0 ;
+          end
+        else if (WR_fx2_fixed)
+          begin
+            writing <= 1 ;
+            if (word_complete)
+                word_complete <= 0 ;
+            else
+              begin
+                usbdata_delayed <= usbdata_fixed ;
+                word_complete <= 1 ;
+              end
+          end
+        else
+            writing <= 0 ;
+       end
+    
+       assign usbdata_packed = {usbdata_fixed, usbdata_delayed} ;
+    assign WR_packed = word_complete & writing ;
+
+       /* Make sure data are sync with usbclk */
+       reg [31:0]usbdata_usbclk;
+       reg WR_usbclk; 
+    
+    always @(posedge usbclk)
+    begin
+       if (WR_packed)
+               usbdata_usbclk <= usbdata_packed;
+        WR_usbclk <= WR_packed;
+    end
+
+       /* Cross clock boundaries */
+       reg [31:0] usbdata_tx ;
+       reg WR_tx;
+    reg WR_1;
+    reg WR_2;
+  
+       always @(posedge txclk) usbdata_tx <= usbdata_usbclk;
+
+    always @(posedge txclk) 
+       if (reset)
+               WR_1 <= 0;
+       else
+                       WR_1 <= WR_usbclk;
+
+    always @(posedge txclk) 
+       if (reset)
+                       WR_2 <= 0;
+       else
+               WR_2 <= WR_1;
+
+       always @(posedge txclk)
+       begin
+               if (reset)
+                       WR_tx <= 0;
+               else
+                  WR_tx <= WR_1 & ~WR_2;
+       end
+       
+       always @(posedge txclk)
+       begin
+          if (reset)
+             WR_final <= 0;
+          else
+          begin
+             WR_final <= WR_tx; 
+             if (WR_tx)
+                usbdata_final <= usbdata_tx;
+          end
+       end
+
+endmodule
diff --git a/usrp/fpga/inband_lib/usb_packet_fifo.v b/usrp/fpga/inband_lib/usb_packet_fifo.v
new file mode 100755 (executable)
index 0000000..c416e2b
--- /dev/null
@@ -0,0 +1,112 @@
+module usb_packet_fifo 
+  ( input       reset,
+    input       clock_in,
+    input       clock_out,
+    input       [15:0]ram_data_in,
+    input       write_enable,
+    output  reg [15:0]ram_data_out,
+    output  reg pkt_waiting,
+    output  reg have_space,
+    input       read_enable,
+    input       skip_packet          ) ;
+
+    /* Some parameters for usage later on */
+    parameter DATA_WIDTH = 16 ;
+    parameter NUM_PACKETS = 4 ;
+
+    /* Create the RAM here */
+    reg [DATA_WIDTH-1:0] usb_ram [256*NUM_PACKETS-1:0] ;
+
+    /* Create the address signals */
+    reg [7-2+NUM_PACKETS:0] usb_ram_ain ;
+    reg [7:0] usb_ram_offset ;
+    reg [1:0] usb_ram_packet ;
+
+    wire [7-2+NUM_PACKETS:0] usb_ram_aout ;
+    reg isfull;
+
+    assign usb_ram_aout = {usb_ram_packet,usb_ram_offset} ;
+    
+    // Check if there is one full packet to process
+    always @(usb_ram_ain, usb_ram_aout)
+    begin
+        if (reset)
+            pkt_waiting <= 0;
+        else if (usb_ram_ain == usb_ram_aout)
+            pkt_waiting <= isfull;
+        else if (usb_ram_ain > usb_ram_aout)
+            pkt_waiting <= (usb_ram_ain - usb_ram_aout) >= 256;
+        else
+            pkt_waiting <= (usb_ram_ain + 10'b1111111111 - usb_ram_aout) >= 256;
+    end
+    
+    // Check if there is room
+    always @(usb_ram_ain, usb_ram_aout)
+    begin
+        if (reset)
+            have_space <= 1;
+        else if (usb_ram_ain == usb_ram_aout)
+            have_space <= ~isfull;   
+        else if (usb_ram_ain > usb_ram_aout)
+            have_space <= (usb_ram_ain - usb_ram_aout) <= 256 * (NUM_PACKETS - 1);
+        else
+            have_space <= (usb_ram_aout - usb_ram_ain) >= 256;
+    end
+
+    /* RAM Write Address process */
+    always @(posedge clock_in)
+    begin
+        if( reset )
+            usb_ram_ain <= 0 ;
+        else
+            if( write_enable ) 
+              begin
+                usb_ram_ain <= usb_ram_ain + 1 ;
+                if (usb_ram_ain + 1 == usb_ram_aout)
+                   isfull <= 1;
+              end
+    end
+
+    /* RAM Writing process */
+    always @(posedge clock_in)
+    begin
+        if( write_enable ) 
+          begin
+            usb_ram[usb_ram_ain] <= ram_data_in ;
+          end
+    end
+
+    /* RAM Read Address process */
+    always @(posedge clock_out)
+    begin
+        if( reset ) 
+          begin
+            usb_ram_packet <= 0 ;
+            usb_ram_offset <= 0 ;
+            isfull <= 0;
+          end
+        else
+            if( skip_packet )
+              begin
+                usb_ram_packet <= usb_ram_packet + 1 ;
+                usb_ram_offset <= 0 ;
+              end
+            else if(read_enable)
+                if( usb_ram_offset == 8'b11111111 )
+                  begin
+                    usb_ram_offset <= 0 ;
+                    usb_ram_packet <= usb_ram_packet + 1 ;
+                  end
+                else
+                    usb_ram_offset <= usb_ram_offset + 1 ;
+            if (usb_ram_ain == usb_ram_aout)
+               isfull <= 0;                       
+    end
+
+    /* RAM Reading Process */
+    always @(posedge clock_out)
+    begin
+        ram_data_out <= usb_ram[usb_ram_aout] ;
+    end
+
+endmodule
\ No newline at end of file
diff --git a/usrp/fpga/megacells/accum32.bsf b/usrp/fpga/megacells/accum32.bsf
new file mode 100755 (executable)
index 0000000..494a820
--- /dev/null
@@ -0,0 +1,86 @@
+/*
+WARNING: Do NOT edit the input and output ports in this file in a text
+editor if you plan to continue editing the block that represents it in
+the Block Editor! File corruption is VERY likely to occur.
+*/
+/*
+Copyright (C) 1991-2003 Altera Corporation
+Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
+support information,  device programming or simulation file,  and any other
+associated  documentation or information  provided by  Altera  or a partner
+under  Altera's   Megafunction   Partnership   Program  may  be  used  only
+to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
+other  use  of such  megafunction  design,  netlist,  support  information,
+device programming or simulation file,  or any other  related documentation
+or information  is prohibited  for  any  other purpose,  including, but not
+limited to  modification,  reverse engineering,  de-compiling, or use  with
+any other  silicon devices,  unless such use is  explicitly  licensed under
+a separate agreement with  Altera  or a megafunction partner.  Title to the
+intellectual property,  including patents,  copyrights,  trademarks,  trade
+secrets,  or maskworks,  embodied in any such megafunction design, netlist,
+support  information,  device programming or simulation file,  or any other
+related documentation or information provided by  Altera  or a megafunction
+partner, remains with Altera, the megafunction partner, or their respective
+licensors. No other licenses, including any licenses needed under any third
+party's intellectual property, are provided herein.
+*/
+(header "symbol" (version "1.1"))
+(symbol
+       (rect 0 0 240 120)
+       (text "accum32" (rect 87 2 166 21)(font "Arial" (font_size 10)))
+       (text "inst" (rect 8 101 31 116)(font "Arial" ))
+       (port
+               (pt 0 40)
+               (input)
+               (text "data[31..0]" (rect 0 0 73 16)(font "Arial" (font_size 8)))
+               (text "data[31..0]" (rect 20 24 82 40)(font "Arial" (font_size 8)))
+               (line (pt 0 40)(pt 16 40)(line_width 3))
+       )
+       (port
+               (pt 0 56)
+               (input)
+               (text "clock" (rect 0 0 36 16)(font "Arial" (font_size 8)))
+               (text "clock" (rect 20 40 51 56)(font "Arial" (font_size 8)))
+               (line (pt 0 56)(pt 16 56)(line_width 1))
+       )
+       (port
+               (pt 0 72)
+               (input)
+               (text "clken" (rect 0 0 36 16)(font "Arial" (font_size 8)))
+               (text "clken" (rect 20 56 51 72)(font "Arial" (font_size 8)))
+               (line (pt 0 72)(pt 16 72)(line_width 1))
+       )
+       (port
+               (pt 0 96)
+               (input)
+               (text "aclr" (rect 0 0 24 16)(font "Arial" (font_size 8)))
+               (text "aclr" (rect 20 80 41 96)(font "Arial" (font_size 8)))
+               (line (pt 0 96)(pt 16 96)(line_width 1))
+       )
+       (port
+               (pt 240 56)
+               (output)
+               (text "result[31..0]" (rect 0 0 81 16)(font "Arial" (font_size 8)))
+               (text "result[31..0]" (rect 152 40 221 56)(font "Arial" (font_size 8)))
+               (line (pt 240 56)(pt 224 56)(line_width 3))
+       )
+       (drawing
+               (text "acc" (rect 102 48 123 64)(font "Arial" (font_size 8)))
+               (text "SIGNED" (rect 177 18 214 32)(font "Arial" ))
+               (line (pt 16 16)(pt 224 16)(line_width 1))
+               (line (pt 16 16)(pt 16 104)(line_width 1))
+               (line (pt 16 104)(pt 224 104)(line_width 1))
+               (line (pt 224 16)(pt 224 104)(line_width 1))
+               (line (pt 88 24)(pt 136 48)(line_width 1))
+               (line (pt 136 64)(pt 136 48)(line_width 1))
+               (line (pt 88 88)(pt 136 64)(line_width 1))
+               (line (pt 88 24)(pt 88 88)(line_width 1))
+               (line (pt 16 40)(pt 88 40)(line_width 1))
+               (line (pt 16 56)(pt 88 56)(line_width 1))
+               (line (pt 136 56)(pt 224 56)(line_width 1))
+               (line (pt 16 72)(pt 88 72)(line_width 1))
+               (line (pt 16 72)(pt 88 72)(line_width 1))
+               (line (pt 16 96)(pt 104 96)(line_width 1))
+               (line (pt 104 96)(pt 104 80)(line_width 1))
+       )
+)
diff --git a/usrp/fpga/megacells/accum32.cmp b/usrp/fpga/megacells/accum32.cmp
new file mode 100755 (executable)
index 0000000..55b5fdc
--- /dev/null
@@ -0,0 +1,31 @@
+--Copyright (C) 1991-2003 Altera Corporation
+--Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
+--support information,  device programming or simulation file,  and any other
+--associated  documentation or information  provided by  Altera  or a partner
+--under  Altera's   Megafunction   Partnership   Program  may  be  used  only
+--to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
+--other  use  of such  megafunction  design,  netlist,  support  information,
+--device programming or simulation file,  or any other  related documentation
+--or information  is prohibited  for  any  other purpose,  including, but not
+--limited to  modification,  reverse engineering,  de-compiling, or use  with
+--any other  silicon devices,  unless such use is  explicitly  licensed under
+--a separate agreement with  Altera  or a megafunction partner.  Title to the
+--intellectual property,  including patents,  copyrights,  trademarks,  trade
+--secrets,  or maskworks,  embodied in any such megafunction design, netlist,
+--support  information,  device programming or simulation file,  or any other
+--related documentation or information provided by  Altera  or a megafunction
+--partner, remains with Altera, the megafunction partner, or their respective
+--licensors. No other licenses, including any licenses needed under any third
+--party's intellectual property, are provided herein.
+
+
+component accum32
+       PORT
+       (
+               data            : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
+               clock           : IN STD_LOGIC  := '0';
+               clken           : IN STD_LOGIC  := '1';
+               aclr            : IN STD_LOGIC  := '0';
+               result          : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)
+       );
+end component;
diff --git a/usrp/fpga/megacells/accum32.inc b/usrp/fpga/megacells/accum32.inc
new file mode 100755 (executable)
index 0000000..6c66900
--- /dev/null
@@ -0,0 +1,32 @@
+--Copyright (C) 1991-2003 Altera Corporation
+--Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
+--support information,  device programming or simulation file,  and any other
+--associated  documentation or information  provided by  Altera  or a partner
+--under  Altera's   Megafunction   Partnership   Program  may  be  used  only
+--to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
+--other  use  of such  megafunction  design,  netlist,  support  information,
+--device programming or simulation file,  or any other  related documentation
+--or information  is prohibited  for  any  other purpose,  including, but not
+--limited to  modification,  reverse engineering,  de-compiling, or use  with
+--any other  silicon devices,  unless such use is  explicitly  licensed under
+--a separate agreement with  Altera  or a megafunction partner.  Title to the
+--intellectual property,  including patents,  copyrights,  trademarks,  trade
+--secrets,  or maskworks,  embodied in any such megafunction design, netlist,
+--support  information,  device programming or simulation file,  or any other
+--related documentation or information provided by  Altera  or a megafunction
+--partner, remains with Altera, the megafunction partner, or their respective
+--licensors. No other licenses, including any licenses needed under any third
+--party's intellectual property, are provided herein.
+
+
+FUNCTION accum32 
+(
+       data[31..0],
+       clock,
+       clken,
+       aclr
+)
+
+RETURNS (
+       result[31..0]
+);
diff --git a/usrp/fpga/megacells/accum32.v b/usrp/fpga/megacells/accum32.v
new file mode 100755 (executable)
index 0000000..ce50cbb
--- /dev/null
@@ -0,0 +1,765 @@
+// megafunction wizard: %ALTACCUMULATE%CBX%
+// GENERATION: STANDARD
+// VERSION: WM1.0
+// MODULE: altaccumulate 
+
+// ============================================================
+// File Name: accum32.v
+// Megafunction Name(s):
+//                     altaccumulate
+// ============================================================
+// ************************************************************
+// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
+// ************************************************************
+
+
+//Copyright (C) 1991-2003 Altera Corporation
+//Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
+//support information,  device programming or simulation file,  and any other
+//associated  documentation or information  provided by  Altera  or a partner
+//under  Altera's   Megafunction   Partnership   Program  may  be  used  only
+//to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
+//other  use  of such  megafunction  design,  netlist,  support  information,
+//device programming or simulation file,  or any other  related documentation
+//or information  is prohibited  for  any  other purpose,  including, but not
+//limited to  modification,  reverse engineering,  de-compiling, or use  with
+//any other  silicon devices,  unless such use is  explicitly  licensed under
+//a separate agreement with  Altera  or a megafunction partner.  Title to the
+//intellectual property,  including patents,  copyrights,  trademarks,  trade
+//secrets,  or maskworks,  embodied in any such megafunction design, netlist,
+//support  information,  device programming or simulation file,  or any other
+//related documentation or information provided by  Altera  or a megafunction
+//partner, remains with Altera, the megafunction partner, or their respective
+//licensors. No other licenses, including any licenses needed under any third
+//party's intellectual property, are provided herein.
+
+
+//altaccumulate DEVICE_FAMILY=Cyclone LPM_REPRESENTATION=SIGNED WIDTH_IN=32 WIDTH_OUT=32 aclr clken clock data result
+//VERSION_BEGIN 3.0 cbx_altaccumulate 2003:04:08:16:04:48:SJ cbx_mgl 2003:06:11:11:00:44:SJ cbx_stratix 2003:05:16:10:26:50:SJ  VERSION_END
+
+//synthesis_resources = lut 32 
+module  accum32_accum_nta
+       ( 
+       aclr,
+       clken,
+       clock,
+       data,
+       result) /* synthesis synthesis_clearbox=1 */;
+       input   aclr;
+       input   clken;
+       input   clock;
+       input   [31:0]  data;
+       output   [31:0]  result;
+
+       wire  [0:0]   wire_acc_cella_0cout;
+       wire  [0:0]   wire_acc_cella_1cout;
+       wire  [0:0]   wire_acc_cella_2cout;
+       wire  [0:0]   wire_acc_cella_3cout;
+       wire  [0:0]   wire_acc_cella_4cout;
+       wire  [0:0]   wire_acc_cella_5cout;
+       wire  [0:0]   wire_acc_cella_6cout;
+       wire  [0:0]   wire_acc_cella_7cout;
+       wire  [0:0]   wire_acc_cella_8cout;
+       wire  [0:0]   wire_acc_cella_9cout;
+       wire  [0:0]   wire_acc_cella_10cout;
+       wire  [0:0]   wire_acc_cella_11cout;
+       wire  [0:0]   wire_acc_cella_12cout;
+       wire  [0:0]   wire_acc_cella_13cout;
+       wire  [0:0]   wire_acc_cella_14cout;
+       wire  [0:0]   wire_acc_cella_15cout;
+       wire  [0:0]   wire_acc_cella_16cout;
+       wire  [0:0]   wire_acc_cella_17cout;
+       wire  [0:0]   wire_acc_cella_18cout;
+       wire  [0:0]   wire_acc_cella_19cout;
+       wire  [0:0]   wire_acc_cella_20cout;
+       wire  [0:0]   wire_acc_cella_21cout;
+       wire  [0:0]   wire_acc_cella_22cout;
+       wire  [0:0]   wire_acc_cella_23cout;
+       wire  [0:0]   wire_acc_cella_24cout;
+       wire  [0:0]   wire_acc_cella_25cout;
+       wire  [0:0]   wire_acc_cella_26cout;
+       wire  [0:0]   wire_acc_cella_27cout;
+       wire  [0:0]   wire_acc_cella_28cout;
+       wire  [0:0]   wire_acc_cella_29cout;
+       wire  [0:0]   wire_acc_cella_30cout;
+       wire  [31:0]   wire_acc_cella_dataa;
+       wire  [31:0]   wire_acc_cella_datab;
+       wire  [31:0]   wire_acc_cella_datac;
+       wire  [31:0]   wire_acc_cella_regout;
+       wire sload;
+
+       stratix_lcell   acc_cella_0
+       ( 
+       .aclr(aclr),
+       .cin(1'b0),
+       .clk(clock),
+       .cout(wire_acc_cella_0cout[0:0]),
+       .dataa(wire_acc_cella_dataa[0:0]),
+       .datab(wire_acc_cella_datab[0:0]),
+       .datac(wire_acc_cella_datac[0:0]),
+       .ena(clken),
+       .regout(wire_acc_cella_regout[0:0]),
+       .sload(sload));
+       defparam
+               acc_cella_0.cin_used = "true",
+               acc_cella_0.lut_mask = "96e8",
+               acc_cella_0.operation_mode = "arithmetic",
+               acc_cella_0.sum_lutc_input = "cin",
+               acc_cella_0.synch_mode = "on",
+               acc_cella_0.lpm_type = "stratix_lcell";
+       stratix_lcell   acc_cella_1
+       ( 
+       .aclr(aclr),
+       .cin(wire_acc_cella_0cout[0:0]),
+       .clk(clock),
+       .cout(wire_acc_cella_1cout[0:0]),
+       .dataa(wire_acc_cella_dataa[1:1]),
+       .datab(wire_acc_cella_datab[1:1]),
+       .datac(wire_acc_cella_datac[1:1]),
+       .ena(clken),
+       .regout(wire_acc_cella_regout[1:1]),
+       .sload(sload));
+       defparam
+               acc_cella_1.cin_used = "true",
+               acc_cella_1.lut_mask = "96e8",
+               acc_cella_1.operation_mode = "arithmetic",
+               acc_cella_1.sum_lutc_input = "cin",
+               acc_cella_1.synch_mode = "on",
+               acc_cella_1.lpm_type = "stratix_lcell";
+       stratix_lcell   acc_cella_2
+       ( 
+       .aclr(aclr),
+       .cin(wire_acc_cella_1cout[0:0]),
+       .clk(clock),
+       .cout(wire_acc_cella_2cout[0:0]),
+       .dataa(wire_acc_cella_dataa[2:2]),
+       .datab(wire_acc_cella_datab[2:2]),
+       .datac(wire_acc_cella_datac[2:2]),
+       .ena(clken),
+       .regout(wire_acc_cella_regout[2:2]),
+       .sload(sload));
+       defparam
+               acc_cella_2.cin_used = "true",
+               acc_cella_2.lut_mask = "96e8",
+               acc_cella_2.operation_mode = "arithmetic",
+               acc_cella_2.sum_lutc_input = "cin",
+               acc_cella_2.synch_mode = "on",
+               acc_cella_2.lpm_type = "stratix_lcell";
+       stratix_lcell   acc_cella_3
+       ( 
+       .aclr(aclr),
+       .cin(wire_acc_cella_2cout[0:0]),
+       .clk(clock),
+       .cout(wire_acc_cella_3cout[0:0]),
+       .dataa(wire_acc_cella_dataa[3:3]),
+       .datab(wire_acc_cella_datab[3:3]),
+       .datac(wire_acc_cella_datac[3:3]),
+       .ena(clken),
+       .regout(wire_acc_cella_regout[3:3]),
+       .sload(sload));
+       defparam
+               acc_cella_3.cin_used = "true",
+               acc_cella_3.lut_mask = "96e8",
+               acc_cella_3.operation_mode = "arithmetic",
+               acc_cella_3.sum_lutc_input = "cin",
+               acc_cella_3.synch_mode = "on",
+               acc_cella_3.lpm_type = "stratix_lcell";
+       stratix_lcell   acc_cella_4
+       ( 
+       .aclr(aclr),
+       .cin(wire_acc_cella_3cout[0:0]),
+       .clk(clock),
+       .cout(wire_acc_cella_4cout[0:0]),
+       .dataa(wire_acc_cella_dataa[4:4]),
+       .datab(wire_acc_cella_datab[4:4]),
+       .datac(wire_acc_cella_datac[4:4]),
+       .ena(clken),
+       .regout(wire_acc_cella_regout[4:4]),
+       .sload(sload));
+       defparam
+               acc_cella_4.cin_used = "true",
+               acc_cella_4.lut_mask = "96e8",
+               acc_cella_4.operation_mode = "arithmetic",
+               acc_cella_4.sum_lutc_input = "cin",
+               acc_cella_4.synch_mode = "on",
+               acc_cella_4.lpm_type = "stratix_lcell";
+       stratix_lcell   acc_cella_5
+       ( 
+       .aclr(aclr),
+       .cin(wire_acc_cella_4cout[0:0]),
+       .clk(clock),
+       .cout(wire_acc_cella_5cout[0:0]),
+       .dataa(wire_acc_cella_dataa[5:5]),
+       .datab(wire_acc_cella_datab[5:5]),
+       .datac(wire_acc_cella_datac[5:5]),
+       .ena(clken),
+       .regout(wire_acc_cella_regout[5:5]),
+       .sload(sload));
+       defparam
+               acc_cella_5.cin_used = "true",
+               acc_cella_5.lut_mask = "96e8",
+               acc_cella_5.operation_mode = "arithmetic",
+               acc_cella_5.sum_lutc_input = "cin",
+               acc_cella_5.synch_mode = "on",
+               acc_cella_5.lpm_type = "stratix_lcell";
+       stratix_lcell   acc_cella_6
+       ( 
+       .aclr(aclr),
+       .cin(wire_acc_cella_5cout[0:0]),
+       .clk(clock),
+       .cout(wire_acc_cella_6cout[0:0]),
+       .dataa(wire_acc_cella_dataa[6:6]),
+       .datab(wire_acc_cella_datab[6:6]),
+       .datac(wire_acc_cella_datac[6:6]),
+       .ena(clken),
+       .regout(wire_acc_cella_regout[6:6]),
+       .sload(sload));
+       defparam
+               acc_cella_6.cin_used = "true",
+               acc_cella_6.lut_mask = "96e8",
+               acc_cella_6.operation_mode = "arithmetic",
+               acc_cella_6.sum_lutc_input = "cin",
+               acc_cella_6.synch_mode = "on",
+               acc_cella_6.lpm_type = "stratix_lcell";
+       stratix_lcell   acc_cella_7
+       ( 
+       .aclr(aclr),
+       .cin(wire_acc_cella_6cout[0:0]),
+       .clk(clock),
+       .cout(wire_acc_cella_7cout[0:0]),
+       .dataa(wire_acc_cella_dataa[7:7]),
+       .datab(wire_acc_cella_datab[7:7]),
+       .datac(wire_acc_cella_datac[7:7]),
+       .ena(clken),
+       .regout(wire_acc_cella_regout[7:7]),
+       .sload(sload));
+       defparam
+               acc_cella_7.cin_used = "true",
+               acc_cella_7.lut_mask = "96e8",
+               acc_cella_7.operation_mode = "arithmetic",
+               acc_cella_7.sum_lutc_input = "cin",
+               acc_cella_7.synch_mode = "on",
+               acc_cella_7.lpm_type = "stratix_lcell";
+       stratix_lcell   acc_cella_8
+       ( 
+       .aclr(aclr),
+       .cin(wire_acc_cella_7cout[0:0]),
+       .clk(clock),
+       .cout(wire_acc_cella_8cout[0:0]),
+       .dataa(wire_acc_cella_dataa[8:8]),
+       .datab(wire_acc_cella_datab[8:8]),
+       .datac(wire_acc_cella_datac[8:8]),
+       .ena(clken),
+       .regout(wire_acc_cella_regout[8:8]),
+       .sload(sload));
+       defparam
+               acc_cella_8.cin_used = "true",
+               acc_cella_8.lut_mask = "96e8",
+               acc_cella_8.operation_mode = "arithmetic",
+               acc_cella_8.sum_lutc_input = "cin",
+               acc_cella_8.synch_mode = "on",
+               acc_cella_8.lpm_type = "stratix_lcell";
+       stratix_lcell   acc_cella_9
+       ( 
+       .aclr(aclr),
+       .cin(wire_acc_cella_8cout[0:0]),
+       .clk(clock),
+       .cout(wire_acc_cella_9cout[0:0]),
+       .dataa(wire_acc_cella_dataa[9:9]),
+       .datab(wire_acc_cella_datab[9:9]),
+       .datac(wire_acc_cella_datac[9:9]),
+       .ena(clken),
+       .regout(wire_acc_cella_regout[9:9]),
+       .sload(sload));
+       defparam
+               acc_cella_9.cin_used = "true",
+               acc_cella_9.lut_mask = "96e8",
+               acc_cella_9.operation_mode = "arithmetic",
+               acc_cella_9.sum_lutc_input = "cin",
+               acc_cella_9.synch_mode = "on",
+               acc_cella_9.lpm_type = "stratix_lcell";
+       stratix_lcell   acc_cella_10
+       ( 
+       .aclr(aclr),
+       .cin(wire_acc_cella_9cout[0:0]),
+       .clk(clock),
+       .cout(wire_acc_cella_10cout[0:0]),
+       .dataa(wire_acc_cella_dataa[10:10]),
+       .datab(wire_acc_cella_datab[10:10]),
+       .datac(wire_acc_cella_datac[10:10]),
+       .ena(clken),
+       .regout(wire_acc_cella_regout[10:10]),
+       .sload(sload));
+       defparam
+               acc_cella_10.cin_used = "true",
+               acc_cella_10.lut_mask = "96e8",
+               acc_cella_10.operation_mode = "arithmetic",
+               acc_cella_10.sum_lutc_input = "cin",
+               acc_cella_10.synch_mode = "on",
+               acc_cella_10.lpm_type = "stratix_lcell";
+       stratix_lcell   acc_cella_11
+       ( 
+       .aclr(aclr),
+       .cin(wire_acc_cella_10cout[0:0]),
+       .clk(clock),
+       .cout(wire_acc_cella_11cout[0:0]),
+       .dataa(wire_acc_cella_dataa[11:11]),
+       .datab(wire_acc_cella_datab[11:11]),
+       .datac(wire_acc_cella_datac[11:11]),
+       .ena(clken),
+       .regout(wire_acc_cella_regout[11:11]),
+       .sload(sload));
+       defparam
+               acc_cella_11.cin_used = "true",
+               acc_cella_11.lut_mask = "96e8",
+               acc_cella_11.operation_mode = "arithmetic",
+               acc_cella_11.sum_lutc_input = "cin",
+               acc_cella_11.synch_mode = "on",
+               acc_cella_11.lpm_type = "stratix_lcell";
+       stratix_lcell   acc_cella_12
+       ( 
+       .aclr(aclr),
+       .cin(wire_acc_cella_11cout[0:0]),
+       .clk(clock),
+       .cout(wire_acc_cella_12cout[0:0]),
+       .dataa(wire_acc_cella_dataa[12:12]),
+       .datab(wire_acc_cella_datab[12:12]),
+       .datac(wire_acc_cella_datac[12:12]),
+       .ena(clken),
+       .regout(wire_acc_cella_regout[12:12]),
+       .sload(sload));
+       defparam
+               acc_cella_12.cin_used = "true",
+               acc_cella_12.lut_mask = "96e8",
+               acc_cella_12.operation_mode = "arithmetic",
+               acc_cella_12.sum_lutc_input = "cin",
+               acc_cella_12.synch_mode = "on",
+               acc_cella_12.lpm_type = "stratix_lcell";
+       stratix_lcell   acc_cella_13
+       ( 
+       .aclr(aclr),
+       .cin(wire_acc_cella_12cout[0:0]),
+       .clk(clock),
+       .cout(wire_acc_cella_13cout[0:0]),
+       .dataa(wire_acc_cella_dataa[13:13]),
+       .datab(wire_acc_cella_datab[13:13]),
+       .datac(wire_acc_cella_datac[13:13]),
+       .ena(clken),
+       .regout(wire_acc_cella_regout[13:13]),
+       .sload(sload));
+       defparam
+               acc_cella_13.cin_used = "true",
+               acc_cella_13.lut_mask = "96e8",
+               acc_cella_13.operation_mode = "arithmetic",
+               acc_cella_13.sum_lutc_input = "cin",
+               acc_cella_13.synch_mode = "on",
+               acc_cella_13.lpm_type = "stratix_lcell";
+       stratix_lcell   acc_cella_14
+       ( 
+       .aclr(aclr),
+       .cin(wire_acc_cella_13cout[0:0]),
+       .clk(clock),
+       .cout(wire_acc_cella_14cout[0:0]),
+       .dataa(wire_acc_cella_dataa[14:14]),
+       .datab(wire_acc_cella_datab[14:14]),
+       .datac(wire_acc_cella_datac[14:14]),
+       .ena(clken),
+       .regout(wire_acc_cella_regout[14:14]),
+       .sload(sload));
+       defparam
+               acc_cella_14.cin_used = "true",
+               acc_cella_14.lut_mask = "96e8",
+               acc_cella_14.operation_mode = "arithmetic",
+               acc_cella_14.sum_lutc_input = "cin",
+               acc_cella_14.synch_mode = "on",
+               acc_cella_14.lpm_type = "stratix_lcell";
+       stratix_lcell   acc_cella_15
+       ( 
+       .aclr(aclr),
+       .cin(wire_acc_cella_14cout[0:0]),
+       .clk(clock),
+       .cout(wire_acc_cella_15cout[0:0]),
+       .dataa(wire_acc_cella_dataa[15:15]),
+       .datab(wire_acc_cella_datab[15:15]),
+       .datac(wire_acc_cella_datac[15:15]),
+       .ena(clken),
+       .regout(wire_acc_cella_regout[15:15]),
+       .sload(sload));
+       defparam
+               acc_cella_15.cin_used = "true",
+               acc_cella_15.lut_mask = "96e8",
+               acc_cella_15.operation_mode = "arithmetic",
+               acc_cella_15.sum_lutc_input = "cin",
+               acc_cella_15.synch_mode = "on",
+               acc_cella_15.lpm_type = "stratix_lcell";
+       stratix_lcell   acc_cella_16
+       ( 
+       .aclr(aclr),
+       .cin(wire_acc_cella_15cout[0:0]),
+       .clk(clock),
+       .cout(wire_acc_cella_16cout[0:0]),
+       .dataa(wire_acc_cella_dataa[16:16]),
+       .datab(wire_acc_cella_datab[16:16]),
+       .datac(wire_acc_cella_datac[16:16]),
+       .ena(clken),
+       .regout(wire_acc_cella_regout[16:16]),
+       .sload(sload));
+       defparam
+               acc_cella_16.cin_used = "true",
+               acc_cella_16.lut_mask = "96e8",
+               acc_cella_16.operation_mode = "arithmetic",
+               acc_cella_16.sum_lutc_input = "cin",
+               acc_cella_16.synch_mode = "on",
+               acc_cella_16.lpm_type = "stratix_lcell";
+       stratix_lcell   acc_cella_17
+       ( 
+       .aclr(aclr),
+       .cin(wire_acc_cella_16cout[0:0]),
+       .clk(clock),
+       .cout(wire_acc_cella_17cout[0:0]),
+       .dataa(wire_acc_cella_dataa[17:17]),
+       .datab(wire_acc_cella_datab[17:17]),
+       .datac(wire_acc_cella_datac[17:17]),
+       .ena(clken),
+       .regout(wire_acc_cella_regout[17:17]),
+       .sload(sload));
+       defparam
+               acc_cella_17.cin_used = "true",
+               acc_cella_17.lut_mask = "96e8",
+               acc_cella_17.operation_mode = "arithmetic",
+               acc_cella_17.sum_lutc_input = "cin",
+               acc_cella_17.synch_mode = "on",
+               acc_cella_17.lpm_type = "stratix_lcell";
+       stratix_lcell   acc_cella_18
+       ( 
+       .aclr(aclr),
+       .cin(wire_acc_cella_17cout[0:0]),
+       .clk(clock),
+       .cout(wire_acc_cella_18cout[0:0]),
+       .dataa(wire_acc_cella_dataa[18:18]),
+       .datab(wire_acc_cella_datab[18:18]),
+       .datac(wire_acc_cella_datac[18:18]),
+       .ena(clken),
+       .regout(wire_acc_cella_regout[18:18]),
+       .sload(sload));
+       defparam
+               acc_cella_18.cin_used = "true",
+               acc_cella_18.lut_mask = "96e8",
+               acc_cella_18.operation_mode = "arithmetic",
+               acc_cella_18.sum_lutc_input = "cin",
+               acc_cella_18.synch_mode = "on",
+               acc_cella_18.lpm_type = "stratix_lcell";
+       stratix_lcell   acc_cella_19
+       ( 
+       .aclr(aclr),
+       .cin(wire_acc_cella_18cout[0:0]),
+       .clk(clock),
+       .cout(wire_acc_cella_19cout[0:0]),
+       .dataa(wire_acc_cella_dataa[19:19]),
+       .datab(wire_acc_cella_datab[19:19]),
+       .datac(wire_acc_cella_datac[19:19]),
+       .ena(clken),
+       .regout(wire_acc_cella_regout[19:19]),
+       .sload(sload));
+       defparam
+               acc_cella_19.cin_used = "true",
+               acc_cella_19.lut_mask = "96e8",
+               acc_cella_19.operation_mode = "arithmetic",
+               acc_cella_19.sum_lutc_input = "cin",
+               acc_cella_19.synch_mode = "on",
+               acc_cella_19.lpm_type = "stratix_lcell";
+       stratix_lcell   acc_cella_20
+       ( 
+       .aclr(aclr),
+       .cin(wire_acc_cella_19cout[0:0]),
+       .clk(clock),
+       .cout(wire_acc_cella_20cout[0:0]),
+       .dataa(wire_acc_cella_dataa[20:20]),
+       .datab(wire_acc_cella_datab[20:20]),
+       .datac(wire_acc_cella_datac[20:20]),
+       .ena(clken),
+       .regout(wire_acc_cella_regout[20:20]),
+       .sload(sload));
+       defparam
+               acc_cella_20.cin_used = "true",
+               acc_cella_20.lut_mask = "96e8",
+               acc_cella_20.operation_mode = "arithmetic",
+               acc_cella_20.sum_lutc_input = "cin",
+               acc_cella_20.synch_mode = "on",
+               acc_cella_20.lpm_type = "stratix_lcell";
+       stratix_lcell   acc_cella_21
+       ( 
+       .aclr(aclr),
+       .cin(wire_acc_cella_20cout[0:0]),
+       .clk(clock),
+       .cout(wire_acc_cella_21cout[0:0]),
+       .dataa(wire_acc_cella_dataa[21:21]),
+       .datab(wire_acc_cella_datab[21:21]),
+       .datac(wire_acc_cella_datac[21:21]),
+       .ena(clken),
+       .regout(wire_acc_cella_regout[21:21]),
+       .sload(sload));
+       defparam
+               acc_cella_21.cin_used = "true",
+               acc_cella_21.lut_mask = "96e8",
+               acc_cella_21.operation_mode = "arithmetic",
+               acc_cella_21.sum_lutc_input = "cin",
+               acc_cella_21.synch_mode = "on",
+               acc_cella_21.lpm_type = "stratix_lcell";
+       stratix_lcell   acc_cella_22
+       ( 
+       .aclr(aclr),
+       .cin(wire_acc_cella_21cout[0:0]),
+       .clk(clock),
+       .cout(wire_acc_cella_22cout[0:0]),
+       .dataa(wire_acc_cella_dataa[22:22]),
+       .datab(wire_acc_cella_datab[22:22]),
+       .datac(wire_acc_cella_datac[22:22]),
+       .ena(clken),
+       .regout(wire_acc_cella_regout[22:22]),
+       .sload(sload));
+       defparam
+               acc_cella_22.cin_used = "true",
+               acc_cella_22.lut_mask = "96e8",
+               acc_cella_22.operation_mode = "arithmetic",
+               acc_cella_22.sum_lutc_input = "cin",
+               acc_cella_22.synch_mode = "on",
+               acc_cella_22.lpm_type = "stratix_lcell";
+       stratix_lcell   acc_cella_23
+       ( 
+       .aclr(aclr),
+       .cin(wire_acc_cella_22cout[0:0]),
+       .clk(clock),
+       .cout(wire_acc_cella_23cout[0:0]),
+       .dataa(wire_acc_cella_dataa[23:23]),
+       .datab(wire_acc_cella_datab[23:23]),
+       .datac(wire_acc_cella_datac[23:23]),
+       .ena(clken),
+       .regout(wire_acc_cella_regout[23:23]),
+       .sload(sload));
+       defparam
+               acc_cella_23.cin_used = "true",
+               acc_cella_23.lut_mask = "96e8",
+               acc_cella_23.operation_mode = "arithmetic",
+               acc_cella_23.sum_lutc_input = "cin",
+               acc_cella_23.synch_mode = "on",
+               acc_cella_23.lpm_type = "stratix_lcell";
+       stratix_lcell   acc_cella_24
+       ( 
+       .aclr(aclr),
+       .cin(wire_acc_cella_23cout[0:0]),
+       .clk(clock),
+       .cout(wire_acc_cella_24cout[0:0]),
+       .dataa(wire_acc_cella_dataa[24:24]),
+       .datab(wire_acc_cella_datab[24:24]),
+       .datac(wire_acc_cella_datac[24:24]),
+       .ena(clken),
+       .regout(wire_acc_cella_regout[24:24]),
+       .sload(sload));
+       defparam
+               acc_cella_24.cin_used = "true",
+               acc_cella_24.lut_mask = "96e8",
+               acc_cella_24.operation_mode = "arithmetic",
+               acc_cella_24.sum_lutc_input = "cin",
+               acc_cella_24.synch_mode = "on",
+               acc_cella_24.lpm_type = "stratix_lcell";
+       stratix_lcell   acc_cella_25
+       ( 
+       .aclr(aclr),
+       .cin(wire_acc_cella_24cout[0:0]),
+       .clk(clock),
+       .cout(wire_acc_cella_25cout[0:0]),
+       .dataa(wire_acc_cella_dataa[25:25]),
+       .datab(wire_acc_cella_datab[25:25]),
+       .datac(wire_acc_cella_datac[25:25]),
+       .ena(clken),
+       .regout(wire_acc_cella_regout[25:25]),
+       .sload(sload));
+       defparam
+               acc_cella_25.cin_used = "true",
+               acc_cella_25.lut_mask = "96e8",
+               acc_cella_25.operation_mode = "arithmetic",
+               acc_cella_25.sum_lutc_input = "cin",
+               acc_cella_25.synch_mode = "on",
+               acc_cella_25.lpm_type = "stratix_lcell";
+       stratix_lcell   acc_cella_26
+       ( 
+       .aclr(aclr),
+       .cin(wire_acc_cella_25cout[0:0]),
+       .clk(clock),
+       .cout(wire_acc_cella_26cout[0:0]),
+       .dataa(wire_acc_cella_dataa[26:26]),
+       .datab(wire_acc_cella_datab[26:26]),
+       .datac(wire_acc_cella_datac[26:26]),
+       .ena(clken),
+       .regout(wire_acc_cella_regout[26:26]),
+       .sload(sload));
+       defparam
+               acc_cella_26.cin_used = "true",
+               acc_cella_26.lut_mask = "96e8",
+               acc_cella_26.operation_mode = "arithmetic",
+               acc_cella_26.sum_lutc_input = "cin",
+               acc_cella_26.synch_mode = "on",
+               acc_cella_26.lpm_type = "stratix_lcell";
+       stratix_lcell   acc_cella_27
+       ( 
+       .aclr(aclr),
+       .cin(wire_acc_cella_26cout[0:0]),
+       .clk(clock),
+       .cout(wire_acc_cella_27cout[0:0]),
+       .dataa(wire_acc_cella_dataa[27:27]),
+       .datab(wire_acc_cella_datab[27:27]),
+       .datac(wire_acc_cella_datac[27:27]),
+       .ena(clken),
+       .regout(wire_acc_cella_regout[27:27]),
+       .sload(sload));
+       defparam
+               acc_cella_27.cin_used = "true",
+               acc_cella_27.lut_mask = "96e8",
+               acc_cella_27.operation_mode = "arithmetic",
+               acc_cella_27.sum_lutc_input = "cin",
+               acc_cella_27.synch_mode = "on",
+               acc_cella_27.lpm_type = "stratix_lcell";
+       stratix_lcell   acc_cella_28
+       ( 
+       .aclr(aclr),
+       .cin(wire_acc_cella_27cout[0:0]),
+       .clk(clock),
+       .cout(wire_acc_cella_28cout[0:0]),
+       .dataa(wire_acc_cella_dataa[28:28]),
+       .datab(wire_acc_cella_datab[28:28]),
+       .datac(wire_acc_cella_datac[28:28]),
+       .ena(clken),
+       .regout(wire_acc_cella_regout[28:28]),
+       .sload(sload));
+       defparam
+               acc_cella_28.cin_used = "true",
+               acc_cella_28.lut_mask = "96e8",
+               acc_cella_28.operation_mode = "arithmetic",
+               acc_cella_28.sum_lutc_input = "cin",
+               acc_cella_28.synch_mode = "on",
+               acc_cella_28.lpm_type = "stratix_lcell";
+       stratix_lcell   acc_cella_29
+       ( 
+       .aclr(aclr),
+       .cin(wire_acc_cella_28cout[0:0]),
+       .clk(clock),
+       .cout(wire_acc_cella_29cout[0:0]),
+       .dataa(wire_acc_cella_dataa[29:29]),
+       .datab(wire_acc_cella_datab[29:29]),
+       .datac(wire_acc_cella_datac[29:29]),
+       .ena(clken),
+       .regout(wire_acc_cella_regout[29:29]),
+       .sload(sload));
+       defparam
+               acc_cella_29.cin_used = "true",
+               acc_cella_29.lut_mask = "96e8",
+               acc_cella_29.operation_mode = "arithmetic",
+               acc_cella_29.sum_lutc_input = "cin",
+               acc_cella_29.synch_mode = "on",
+               acc_cella_29.lpm_type = "stratix_lcell";
+       stratix_lcell   acc_cella_30
+       ( 
+       .aclr(aclr),
+       .cin(wire_acc_cella_29cout[0:0]),
+       .clk(clock),
+       .cout(wire_acc_cella_30cout[0:0]),
+       .dataa(wire_acc_cella_dataa[30:30]),
+       .datab(wire_acc_cella_datab[30:30]),
+       .datac(wire_acc_cella_datac[30:30]),
+       .ena(clken),
+       .regout(wire_acc_cella_regout[30:30]),
+       .sload(sload));
+       defparam
+               acc_cella_30.cin_used = "true",
+               acc_cella_30.lut_mask = "96e8",
+               acc_cella_30.operation_mode = "arithmetic",
+               acc_cella_30.sum_lutc_input = "cin",
+               acc_cella_30.synch_mode = "on",
+               acc_cella_30.lpm_type = "stratix_lcell";
+       stratix_lcell   acc_cella_31
+       ( 
+       .aclr(aclr),
+       .cin(wire_acc_cella_30cout[0:0]),
+       .clk(clock),
+       .dataa(wire_acc_cella_dataa[31:31]),
+       .datab(wire_acc_cella_datab[31:31]),
+       .datac(wire_acc_cella_datac[31:31]),
+       .ena(clken),
+       .regout(wire_acc_cella_regout[31:31]),
+       .sload(sload));
+       defparam
+               acc_cella_31.cin_used = "true",
+               acc_cella_31.lut_mask = "9696",
+               acc_cella_31.operation_mode = "normal",
+               acc_cella_31.sum_lutc_input = "cin",
+               acc_cella_31.synch_mode = "on",
+               acc_cella_31.lpm_type = "stratix_lcell";
+       assign
+               wire_acc_cella_dataa = data,
+               wire_acc_cella_datab = wire_acc_cella_regout,
+               wire_acc_cella_datac = data;
+       assign
+               result = wire_acc_cella_regout,
+               sload = 1'b0;
+endmodule //accum32_accum_nta
+//VALID FILE
+
+
+module accum32 (
+       data,
+       clock,
+       clken,
+       aclr,
+       result)/* synthesis synthesis_clearbox = 1 */;
+
+       input   [31:0]  data;
+       input     clock;
+       input     clken;
+       input     aclr;
+       output  [31:0]  result;
+
+       wire [31:0] sub_wire0;
+       wire [31:0] result = sub_wire0[31:0];
+
+       accum32_accum_nta       accum32_accum_nta_component (
+                               .clken (clken),
+                               .aclr (aclr),
+                               .clock (clock),
+                               .data (data),
+                               .result (sub_wire0));
+
+endmodule
+
+// ============================================================
+// CNX file retrieval info
+// ============================================================
+// Retrieval info: PRIVATE: WIDTH_IN NUMERIC "32"
+// Retrieval info: PRIVATE: WIDTH_OUT NUMERIC "32"
+// Retrieval info: PRIVATE: LPM_REPRESENTATION NUMERIC "0"
+// Retrieval info: PRIVATE: SLOAD NUMERIC "0"
+// Retrieval info: PRIVATE: ADD_SUB NUMERIC "0"
+// Retrieval info: PRIVATE: CIN NUMERIC "0"
+// Retrieval info: PRIVATE: CLKEN NUMERIC "1"
+// Retrieval info: PRIVATE: ACLR NUMERIC "1"
+// Retrieval info: PRIVATE: COUT NUMERIC "0"
+// Retrieval info: PRIVATE: OVERFLOW NUMERIC "0"
+// Retrieval info: PRIVATE: LATENCY NUMERIC "0"
+// Retrieval info: PRIVATE: EXTRA_LATENCY NUMERIC "0"
+// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone"
+// Retrieval info: CONSTANT: WIDTH_IN NUMERIC "32"
+// Retrieval info: CONSTANT: WIDTH_OUT NUMERIC "32"
+// Retrieval info: CONSTANT: LPM_REPRESENTATION STRING "SIGNED"
+// Retrieval info: CONSTANT: LPM_TYPE STRING "altaccumulate"
+// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone"
+// Retrieval info: USED_PORT: data 0 0 32 0 INPUT NODEFVAL data[31..0]
+// Retrieval info: USED_PORT: result 0 0 32 0 OUTPUT NODEFVAL result[31..0]
+// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT GND clock
+// Retrieval info: USED_PORT: clken 0 0 0 0 INPUT VCC clken
+// Retrieval info: USED_PORT: aclr 0 0 0 0 INPUT GND aclr
+// Retrieval info: CONNECT: @data 0 0 32 0 data 0 0 32 0
+// Retrieval info: CONNECT: result 0 0 32 0 @result 0 0 32 0
+// Retrieval info: CONNECT: @clock 0 0 0 0 clock 0 0 0 0
+// Retrieval info: CONNECT: @clken 0 0 0 0 clken 0 0 0 0
+// Retrieval info: CONNECT: @aclr 0 0 0 0 aclr 0 0 0 0
+// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
diff --git a/usrp/fpga/megacells/accum32_bb.v b/usrp/fpga/megacells/accum32_bb.v
new file mode 100755 (executable)
index 0000000..142bde8
--- /dev/null
@@ -0,0 +1,35 @@
+//Copyright (C) 1991-2003 Altera Corporation
+//Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
+//support information,  device programming or simulation file,  and any other
+//associated  documentation or information  provided by  Altera  or a partner
+//under  Altera's   Megafunction   Partnership   Program  may  be  used  only
+//to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
+//other  use  of such  megafunction  design,  netlist,  support  information,
+//device programming or simulation file,  or any other  related documentation
+//or information  is prohibited  for  any  other purpose,  including, but not
+//limited to  modification,  reverse engineering,  de-compiling, or use  with
+//any other  silicon devices,  unless such use is  explicitly  licensed under
+//a separate agreement with  Altera  or a megafunction partner.  Title to the
+//intellectual property,  including patents,  copyrights,  trademarks,  trade
+//secrets,  or maskworks,  embodied in any such megafunction design, netlist,
+//support  information,  device programming or simulation file,  or any other
+//related documentation or information provided by  Altera  or a megafunction
+//partner, remains with Altera, the megafunction partner, or their respective
+//licensors. No other licenses, including any licenses needed under any third
+//party's intellectual property, are provided herein.
+
+module accum32 (
+       data,
+       clock,
+       clken,
+       aclr,
+       result)/* synthesis synthesis_clearbox = 1 */;
+
+       input   [31:0]  data;
+       input     clock;
+       input     clken;
+       input     aclr;
+       output  [31:0]  result;
+
+endmodule
+
diff --git a/usrp/fpga/megacells/accum32_inst.v b/usrp/fpga/megacells/accum32_inst.v
new file mode 100755 (executable)
index 0000000..c354acc
--- /dev/null
@@ -0,0 +1,7 @@
+accum32        accum32_inst (
+       .data ( data_sig ),
+       .clock ( clock_sig ),
+       .clken ( clken_sig ),
+       .aclr ( aclr_sig ),
+       .result ( result_sig )
+       );
diff --git a/usrp/fpga/megacells/add32.bsf b/usrp/fpga/megacells/add32.bsf
new file mode 100755 (executable)
index 0000000..b2da9fc
--- /dev/null
@@ -0,0 +1,62 @@
+/*
+WARNING: Do NOT edit the input and output ports in this file in a text
+editor if you plan to continue editing the block that represents it in
+the Block Editor! File corruption is VERY likely to occur.
+*/
+/*
+Copyright (C) 1991-2003 Altera Corporation
+Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
+support information,  device programming or simulation file,  and any other
+associated  documentation or information  provided by  Altera  or a partner
+under  Altera's   Megafunction   Partnership   Program  may  be  used  only
+to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
+other  use  of such  megafunction  design,  netlist,  support  information,
+device programming or simulation file,  or any other  related documentation
+or information  is prohibited  for  any  other purpose,  including, but not
+limited to  modification,  reverse engineering,  de-compiling, or use  with
+any other  silicon devices,  unless such use is  explicitly  licensed under
+a separate agreement with  Altera  or a megafunction partner.  Title to the
+intellectual property,  including patents,  copyrights,  trademarks,  trade
+secrets,  or maskworks,  embodied in any such megafunction design, netlist,
+support  information,  device programming or simulation file,  or any other
+related documentation or information provided by  Altera  or a megafunction
+partner, remains with Altera, the megafunction partner, or their respective
+licensors. No other licenses, including any licenses needed under any third
+party's intellectual property, are provided herein.
+*/
+(header "symbol" (version "1.1"))
+(symbol
+       (rect 0 0 160 96)
+       (text "add32" (rect 58 2 111 21)(font "Arial" (font_size 10)))
+       (text "inst" (rect 8 77 31 92)(font "Arial" ))
+       (port
+               (pt 0 40)
+               (input)
+               (text "dataa[7..0]" (rect 0 0 73 16)(font "Arial" (font_size 8)))
+               (text "dataa[7..0]" (rect 4 24 66 40)(font "Arial" (font_size 8)))
+               (line (pt 0 40)(pt 64 40)(line_width 3))
+       )
+       (port
+               (pt 0 72)
+               (input)
+               (text "datab[7..0]" (rect 0 0 73 16)(font "Arial" (font_size 8)))
+               (text "datab[7..0]" (rect 4 56 66 72)(font "Arial" (font_size 8)))
+               (line (pt 0 72)(pt 64 72)(line_width 3))
+       )
+       (port
+               (pt 160 56)
+               (output)
+               (text "result[7..0]" (rect 0 0 73 16)(font "Arial" (font_size 8)))
+               (text "result[7..0]" (rect 95 40 157 56)(font "Arial" (font_size 8)))
+               (line (pt 160 56)(pt 96 56)(line_width 3))
+       )
+       (drawing
+               (text "A" (rect 66 32 75 48)(font "Arial" (font_size 8)))
+               (text "B" (rect 66 64 75 80)(font "Arial" (font_size 8)))
+               (text "A+B" (rect 68 48 94 64)(font "Arial" (font_size 8)))
+               (line (pt 64 32)(pt 96 40)(line_width 1))
+               (line (pt 96 40)(pt 96 72)(line_width 1))
+               (line (pt 96 72)(pt 64 80)(line_width 1))
+               (line (pt 64 80)(pt 64 32)(line_width 1))
+       )
+)
diff --git a/usrp/fpga/megacells/add32.cmp b/usrp/fpga/megacells/add32.cmp
new file mode 100755 (executable)
index 0000000..3b12017
--- /dev/null
@@ -0,0 +1,29 @@
+--Copyright (C) 1991-2003 Altera Corporation
+--Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
+--support information,  device programming or simulation file,  and any other
+--associated  documentation or information  provided by  Altera  or a partner
+--under  Altera's   Megafunction   Partnership   Program  may  be  used  only
+--to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
+--other  use  of such  megafunction  design,  netlist,  support  information,
+--device programming or simulation file,  or any other  related documentation
+--or information  is prohibited  for  any  other purpose,  including, but not
+--limited to  modification,  reverse engineering,  de-compiling, or use  with
+--any other  silicon devices,  unless such use is  explicitly  licensed under
+--a separate agreement with  Altera  or a megafunction partner.  Title to the
+--intellectual property,  including patents,  copyrights,  trademarks,  trade
+--secrets,  or maskworks,  embodied in any such megafunction design, netlist,
+--support  information,  device programming or simulation file,  or any other
+--related documentation or information provided by  Altera  or a megafunction
+--partner, remains with Altera, the megafunction partner, or their respective
+--licensors. No other licenses, including any licenses needed under any third
+--party's intellectual property, are provided herein.
+
+
+component add32
+       PORT
+       (
+               dataa           : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
+               datab           : IN STD_LOGIC_VECTOR (7 DOWNTO 0);
+               result          : OUT STD_LOGIC_VECTOR (7 DOWNTO 0)
+       );
+end component;
diff --git a/usrp/fpga/megacells/add32.inc b/usrp/fpga/megacells/add32.inc
new file mode 100755 (executable)
index 0000000..6755257
--- /dev/null
@@ -0,0 +1,30 @@
+--Copyright (C) 1991-2003 Altera Corporation
+--Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
+--support information,  device programming or simulation file,  and any other
+--associated  documentation or information  provided by  Altera  or a partner
+--under  Altera's   Megafunction   Partnership   Program  may  be  used  only
+--to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
+--other  use  of such  megafunction  design,  netlist,  support  information,
+--device programming or simulation file,  or any other  related documentation
+--or information  is prohibited  for  any  other purpose,  including, but not
+--limited to  modification,  reverse engineering,  de-compiling, or use  with
+--any other  silicon devices,  unless such use is  explicitly  licensed under
+--a separate agreement with  Altera  or a megafunction partner.  Title to the
+--intellectual property,  including patents,  copyrights,  trademarks,  trade
+--secrets,  or maskworks,  embodied in any such megafunction design, netlist,
+--support  information,  device programming or simulation file,  or any other
+--related documentation or information provided by  Altera  or a megafunction
+--partner, remains with Altera, the megafunction partner, or their respective
+--licensors. No other licenses, including any licenses needed under any third
+--party's intellectual property, are provided herein.
+
+
+FUNCTION add32 
+(
+       dataa[7..0],
+       datab[7..0]
+)
+
+RETURNS (
+       result[7..0]
+);
diff --git a/usrp/fpga/megacells/add32.v b/usrp/fpga/megacells/add32.v
new file mode 100755 (executable)
index 0000000..d809061
--- /dev/null
@@ -0,0 +1,221 @@
+// megafunction wizard: %LPM_ADD_SUB%CBX%
+// GENERATION: STANDARD
+// VERSION: WM1.0
+// MODULE: lpm_add_sub 
+
+// ============================================================
+// File Name: add32.v
+// Megafunction Name(s):
+//                     lpm_add_sub
+// ============================================================
+// ************************************************************
+// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
+// ************************************************************
+
+
+//Copyright (C) 1991-2003 Altera Corporation
+//Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
+//support information,  device programming or simulation file,  and any other
+//associated  documentation or information  provided by  Altera  or a partner
+//under  Altera's   Megafunction   Partnership   Program  may  be  used  only
+//to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
+//other  use  of such  megafunction  design,  netlist,  support  information,
+//device programming or simulation file,  or any other  related documentation
+//or information  is prohibited  for  any  other purpose,  including, but not
+//limited to  modification,  reverse engineering,  de-compiling, or use  with
+//any other  silicon devices,  unless such use is  explicitly  licensed under
+//a separate agreement with  Altera  or a megafunction partner.  Title to the
+//intellectual property,  including patents,  copyrights,  trademarks,  trade
+//secrets,  or maskworks,  embodied in any such megafunction design, netlist,
+//support  information,  device programming or simulation file,  or any other
+//related documentation or information provided by  Altera  or a megafunction
+//partner, remains with Altera, the megafunction partner, or their respective
+//licensors. No other licenses, including any licenses needed under any third
+//party's intellectual property, are provided herein.
+
+
+//lpm_add_sub DEVICE_FAMILY=Cyclone LPM_DIRECTION=ADD LPM_WIDTH=8 dataa datab result
+//VERSION_BEGIN 3.0 cbx_lpm_add_sub 2003:04:10:18:28:42:SJ cbx_mgl 2003:06:11:11:00:44:SJ cbx_stratix 2003:05:16:10:26:50:SJ  VERSION_END
+
+//synthesis_resources = lut 8 
+module  add32_add_sub_nq7
+       ( 
+       dataa,
+       datab,
+       result) /* synthesis synthesis_clearbox=1 */;
+       input   [7:0]  dataa;
+       input   [7:0]  datab;
+       output   [7:0]  result;
+
+       wire  [7:0]   wire_add_sub_cella_combout;
+       wire  [0:0]   wire_add_sub_cella_0cout;
+       wire  [0:0]   wire_add_sub_cella_1cout;
+       wire  [0:0]   wire_add_sub_cella_2cout;
+       wire  [0:0]   wire_add_sub_cella_3cout;
+       wire  [0:0]   wire_add_sub_cella_4cout;
+       wire  [0:0]   wire_add_sub_cella_5cout;
+       wire  [0:0]   wire_add_sub_cella_6cout;
+       wire  [7:0]   wire_add_sub_cella_dataa;
+       wire  [7:0]   wire_add_sub_cella_datab;
+
+       stratix_lcell   add_sub_cella_0
+       ( 
+       .cin(1'b0),
+       .combout(wire_add_sub_cella_combout[0:0]),
+       .cout(wire_add_sub_cella_0cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[0:0]),
+       .datab(wire_add_sub_cella_datab[0:0]));
+       defparam
+               add_sub_cella_0.cin_used = "true",
+               add_sub_cella_0.lut_mask = "96e8",
+               add_sub_cella_0.operation_mode = "arithmetic",
+               add_sub_cella_0.sum_lutc_input = "cin",
+               add_sub_cella_0.lpm_type = "stratix_lcell";
+       stratix_lcell   add_sub_cella_1
+       ( 
+       .cin(wire_add_sub_cella_0cout[0:0]),
+       .combout(wire_add_sub_cella_combout[1:1]),
+       .cout(wire_add_sub_cella_1cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[1:1]),
+       .datab(wire_add_sub_cella_datab[1:1]));
+       defparam
+               add_sub_cella_1.cin_used = "true",
+               add_sub_cella_1.lut_mask = "96e8",
+               add_sub_cella_1.operation_mode = "arithmetic",
+               add_sub_cella_1.sum_lutc_input = "cin",
+               add_sub_cella_1.lpm_type = "stratix_lcell";
+       stratix_lcell   add_sub_cella_2
+       ( 
+       .cin(wire_add_sub_cella_1cout[0:0]),
+       .combout(wire_add_sub_cella_combout[2:2]),
+       .cout(wire_add_sub_cella_2cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[2:2]),
+       .datab(wire_add_sub_cella_datab[2:2]));
+       defparam
+               add_sub_cella_2.cin_used = "true",
+               add_sub_cella_2.lut_mask = "96e8",
+               add_sub_cella_2.operation_mode = "arithmetic",
+               add_sub_cella_2.sum_lutc_input = "cin",
+               add_sub_cella_2.lpm_type = "stratix_lcell";
+       stratix_lcell   add_sub_cella_3
+       ( 
+       .cin(wire_add_sub_cella_2cout[0:0]),
+       .combout(wire_add_sub_cella_combout[3:3]),
+       .cout(wire_add_sub_cella_3cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[3:3]),
+       .datab(wire_add_sub_cella_datab[3:3]));
+       defparam
+               add_sub_cella_3.cin_used = "true",
+               add_sub_cella_3.lut_mask = "96e8",
+               add_sub_cella_3.operation_mode = "arithmetic",
+               add_sub_cella_3.sum_lutc_input = "cin",
+               add_sub_cella_3.lpm_type = "stratix_lcell";
+       stratix_lcell   add_sub_cella_4
+       ( 
+       .cin(wire_add_sub_cella_3cout[0:0]),
+       .combout(wire_add_sub_cella_combout[4:4]),
+       .cout(wire_add_sub_cella_4cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[4:4]),
+       .datab(wire_add_sub_cella_datab[4:4]));
+       defparam
+               add_sub_cella_4.cin_used = "true",
+               add_sub_cella_4.lut_mask = "96e8",
+               add_sub_cella_4.operation_mode = "arithmetic",
+               add_sub_cella_4.sum_lutc_input = "cin",
+               add_sub_cella_4.lpm_type = "stratix_lcell";
+       stratix_lcell   add_sub_cella_5
+       ( 
+       .cin(wire_add_sub_cella_4cout[0:0]),
+       .combout(wire_add_sub_cella_combout[5:5]),
+       .cout(wire_add_sub_cella_5cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[5:5]),
+       .datab(wire_add_sub_cella_datab[5:5]));
+       defparam
+               add_sub_cella_5.cin_used = "true",
+               add_sub_cella_5.lut_mask = "96e8",
+               add_sub_cella_5.operation_mode = "arithmetic",
+               add_sub_cella_5.sum_lutc_input = "cin",
+               add_sub_cella_5.lpm_type = "stratix_lcell";
+       stratix_lcell   add_sub_cella_6
+       ( 
+       .cin(wire_add_sub_cella_5cout[0:0]),
+       .combout(wire_add_sub_cella_combout[6:6]),
+       .cout(wire_add_sub_cella_6cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[6:6]),
+       .datab(wire_add_sub_cella_datab[6:6]));
+       defparam
+               add_sub_cella_6.cin_used = "true",
+               add_sub_cella_6.lut_mask = "96e8",
+               add_sub_cella_6.operation_mode = "arithmetic",
+               add_sub_cella_6.sum_lutc_input = "cin",
+               add_sub_cella_6.lpm_type = "stratix_lcell";
+       stratix_lcell   add_sub_cella_7
+       ( 
+       .cin(wire_add_sub_cella_6cout[0:0]),
+       .combout(wire_add_sub_cella_combout[7:7]),
+       .dataa(wire_add_sub_cella_dataa[7:7]),
+       .datab(wire_add_sub_cella_datab[7:7]));
+       defparam
+               add_sub_cella_7.cin_used = "true",
+               add_sub_cella_7.lut_mask = "9696",
+               add_sub_cella_7.operation_mode = "normal",
+               add_sub_cella_7.sum_lutc_input = "cin",
+               add_sub_cella_7.lpm_type = "stratix_lcell";
+       assign
+               wire_add_sub_cella_dataa = dataa,
+               wire_add_sub_cella_datab = datab;
+       assign
+               result = wire_add_sub_cella_combout;
+endmodule //add32_add_sub_nq7
+//VALID FILE
+
+
+module add32 (
+       dataa,
+       datab,
+       result)/* synthesis synthesis_clearbox = 1 */;
+
+       input   [7:0]  dataa;
+       input   [7:0]  datab;
+       output  [7:0]  result;
+
+       wire [7:0] sub_wire0;
+       wire [7:0] result = sub_wire0[7:0];
+
+       add32_add_sub_nq7       add32_add_sub_nq7_component (
+                               .dataa (dataa),
+                               .datab (datab),
+                               .result (sub_wire0));
+
+endmodule
+
+// ============================================================
+// CNX file retrieval info
+// ============================================================
+// Retrieval info: PRIVATE: nBit NUMERIC "8"
+// Retrieval info: PRIVATE: Function NUMERIC "0"
+// Retrieval info: PRIVATE: WhichConstant NUMERIC "0"
+// Retrieval info: PRIVATE: ConstantA NUMERIC "0"
+// Retrieval info: PRIVATE: ConstantB NUMERIC "0"
+// Retrieval info: PRIVATE: ValidCtA NUMERIC "0"
+// Retrieval info: PRIVATE: ValidCtB NUMERIC "0"
+// Retrieval info: PRIVATE: CarryIn NUMERIC "0"
+// Retrieval info: PRIVATE: CarryOut NUMERIC "0"
+// Retrieval info: PRIVATE: Overflow NUMERIC "0"
+// Retrieval info: PRIVATE: Latency NUMERIC "0"
+// Retrieval info: PRIVATE: aclr NUMERIC "0"
+// Retrieval info: PRIVATE: clken NUMERIC "0"
+// Retrieval info: PRIVATE: LPM_PIPELINE NUMERIC "0"
+// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone"
+// Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "8"
+// Retrieval info: CONSTANT: LPM_DIRECTION STRING "ADD"
+// Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_ADD_SUB"
+// Retrieval info: CONSTANT: LPM_HINT STRING "ONE_INPUT_IS_CONSTANT=NO"
+// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone"
+// Retrieval info: USED_PORT: result 0 0 8 0 OUTPUT NODEFVAL result[7..0]
+// Retrieval info: USED_PORT: dataa 0 0 8 0 INPUT NODEFVAL dataa[7..0]
+// Retrieval info: USED_PORT: datab 0 0 8 0 INPUT NODEFVAL datab[7..0]
+// Retrieval info: CONNECT: result 0 0 8 0 @result 0 0 8 0
+// Retrieval info: CONNECT: @dataa 0 0 8 0 dataa 0 0 8 0
+// Retrieval info: CONNECT: @datab 0 0 8 0 datab 0 0 8 0
+// Retrieval info: LIBRARY: lpm lpm.lpm_components.all
diff --git a/usrp/fpga/megacells/add32_bb.v b/usrp/fpga/megacells/add32_bb.v
new file mode 100755 (executable)
index 0000000..8d1588c
--- /dev/null
@@ -0,0 +1,31 @@
+//Copyright (C) 1991-2003 Altera Corporation
+//Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
+//support information,  device programming or simulation file,  and any other
+//associated  documentation or information  provided by  Altera  or a partner
+//under  Altera's   Megafunction   Partnership   Program  may  be  used  only
+//to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
+//other  use  of such  megafunction  design,  netlist,  support  information,
+//device programming or simulation file,  or any other  related documentation
+//or information  is prohibited  for  any  other purpose,  including, but not
+//limited to  modification,  reverse engineering,  de-compiling, or use  with
+//any other  silicon devices,  unless such use is  explicitly  licensed under
+//a separate agreement with  Altera  or a megafunction partner.  Title to the
+//intellectual property,  including patents,  copyrights,  trademarks,  trade
+//secrets,  or maskworks,  embodied in any such megafunction design, netlist,
+//support  information,  device programming or simulation file,  or any other
+//related documentation or information provided by  Altera  or a megafunction
+//partner, remains with Altera, the megafunction partner, or their respective
+//licensors. No other licenses, including any licenses needed under any third
+//party's intellectual property, are provided herein.
+
+module add32 (
+       dataa,
+       datab,
+       result)/* synthesis synthesis_clearbox = 1 */;
+
+       input   [7:0]  dataa;
+       input   [7:0]  datab;
+       output  [7:0]  result;
+
+endmodule
+
diff --git a/usrp/fpga/megacells/add32_inst.v b/usrp/fpga/megacells/add32_inst.v
new file mode 100755 (executable)
index 0000000..bc7e6d4
--- /dev/null
@@ -0,0 +1,5 @@
+add32  add32_inst (
+       .dataa ( dataa_sig ),
+       .datab ( datab_sig ),
+       .result ( result_sig )
+       );
diff --git a/usrp/fpga/megacells/addsub16.bsf b/usrp/fpga/megacells/addsub16.bsf
new file mode 100755 (executable)
index 0000000..9ed6b72
--- /dev/null
@@ -0,0 +1,96 @@
+/*
+WARNING: Do NOT edit the input and output ports in this file in a text
+editor if you plan to continue editing the block that represents it in
+the Block Editor! File corruption is VERY likely to occur.
+*/
+/*
+Copyright (C) 1991-2003 Altera Corporation
+Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
+support information,  device programming or simulation file,  and any other
+associated  documentation or information  provided by  Altera  or a partner
+under  Altera's   Megafunction   Partnership   Program  may  be  used  only
+to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
+other  use  of such  megafunction  design,  netlist,  support  information,
+device programming or simulation file,  or any other  related documentation
+or information  is prohibited  for  any  other purpose,  including, but not
+limited to  modification,  reverse engineering,  de-compiling, or use  with
+any other  silicon devices,  unless such use is  explicitly  licensed under
+a separate agreement with  Altera  or a megafunction partner.  Title to the
+intellectual property,  including patents,  copyrights,  trademarks,  trade
+secrets,  or maskworks,  embodied in any such megafunction design, netlist,
+support  information,  device programming or simulation file,  or any other
+related documentation or information provided by  Altera  or a megafunction
+partner, remains with Altera, the megafunction partner, or their respective
+licensors. No other licenses, including any licenses needed under any third
+party's intellectual property, are provided herein.
+*/
+(header "symbol" (version "1.1"))
+(symbol
+       (rect 0 0 160 144)
+       (text "addsub16" (rect 45 2 128 21)(font "Arial" (font_size 10)))
+       (text "inst" (rect 8 125 31 140)(font "Arial" ))
+       (port
+               (pt 0 56)
+               (input)
+               (text "dataa[15..0]" (rect 0 0 81 16)(font "Arial" (font_size 8)))
+               (text "dataa[15..0]" (rect 4 40 73 56)(font "Arial" (font_size 8)))
+               (line (pt 0 56)(pt 64 56)(line_width 3))
+       )
+       (port
+               (pt 0 88)
+               (input)
+               (text "datab[15..0]" (rect 0 0 81 16)(font "Arial" (font_size 8)))
+               (text "datab[15..0]" (rect 4 72 73 88)(font "Arial" (font_size 8)))
+               (line (pt 0 88)(pt 64 88)(line_width 3))
+       )
+       (port
+               (pt 0 72)
+               (input)
+               (text "clock" (rect 0 0 36 16)(font "Arial" (font_size 8)))
+               (text "clock" (rect 4 56 35 72)(font "Arial" (font_size 8)))
+               (line (pt 0 72)(pt 64 72)(line_width 1))
+       )
+       (port
+               (pt 0 32)
+               (input)
+               (text "add_sub" (rect 0 0 57 16)(font "Arial" (font_size 8)))
+               (text "add_sub" (rect 4 16 53 32)(font "Arial" (font_size 8)))
+               (line (pt 0 32)(pt 80 32)(line_width 1))
+       )
+       (port
+               (pt 0 112)
+               (input)
+               (text "clken" (rect 0 0 36 16)(font "Arial" (font_size 8)))
+               (text "clken" (rect 4 96 35 112)(font "Arial" (font_size 8)))
+               (line (pt 0 112)(pt 74 112)(line_width 1))
+       )
+       (port
+               (pt 0 128)
+               (input)
+               (text "aclr" (rect 0 0 24 16)(font "Arial" (font_size 8)))
+               (text "aclr" (rect 4 112 25 128)(font "Arial" (font_size 8)))
+               (line (pt 0 128)(pt 85 128)(line_width 1))
+       )
+       (port
+               (pt 160 72)
+               (output)
+               (text "result[15..0]" (rect 0 0 81 16)(font "Arial" (font_size 8)))
+               (text "result[15..0]" (rect 88 56 157 72)(font "Arial" (font_size 8)))
+               (line (pt 160 72)(pt 96 72)(line_width 3))
+       )
+       (drawing
+               (text "A" (rect 66 48 75 64)(font "Arial" (font_size 8)))
+               (text "B" (rect 66 80 75 96)(font "Arial" (font_size 8)))
+               (text "A+B/A-B" (rect 82 37 134 53)(font "Arial" (font_size 8)))
+               (line (pt 64 48)(pt 96 56)(line_width 1))
+               (line (pt 96 56)(pt 96 88)(line_width 1))
+               (line (pt 96 88)(pt 64 96)(line_width 1))
+               (line (pt 64 96)(pt 64 48)(line_width 1))
+               (line (pt 80 32)(pt 80 52)(line_width 1))
+               (line (pt 106 40)(pt 125 40)(line_width 1))
+               (line (pt 74 112)(pt 74 93)(line_width 1))
+               (line (pt 85 128)(pt 85 90)(line_width 1))
+               (line (pt 64 66)(pt 70 72)(line_width 1))
+               (line (pt 70 72)(pt 64 78)(line_width 1))
+       )
+)
diff --git a/usrp/fpga/megacells/addsub16.cmp b/usrp/fpga/megacells/addsub16.cmp
new file mode 100755 (executable)
index 0000000..e32e01b
--- /dev/null
@@ -0,0 +1,33 @@
+--Copyright (C) 1991-2003 Altera Corporation
+--Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
+--support information,  device programming or simulation file,  and any other
+--associated  documentation or information  provided by  Altera  or a partner
+--under  Altera's   Megafunction   Partnership   Program  may  be  used  only
+--to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
+--other  use  of such  megafunction  design,  netlist,  support  information,
+--device programming or simulation file,  or any other  related documentation
+--or information  is prohibited  for  any  other purpose,  including, but not
+--limited to  modification,  reverse engineering,  de-compiling, or use  with
+--any other  silicon devices,  unless such use is  explicitly  licensed under
+--a separate agreement with  Altera  or a megafunction partner.  Title to the
+--intellectual property,  including patents,  copyrights,  trademarks,  trade
+--secrets,  or maskworks,  embodied in any such megafunction design, netlist,
+--support  information,  device programming or simulation file,  or any other
+--related documentation or information provided by  Altera  or a megafunction
+--partner, remains with Altera, the megafunction partner, or their respective
+--licensors. No other licenses, including any licenses needed under any third
+--party's intellectual property, are provided herein.
+
+
+component addsub16
+       PORT
+       (
+               add_sub         : IN STD_LOGIC ;
+               dataa           : IN STD_LOGIC_VECTOR (15 DOWNTO 0);
+               datab           : IN STD_LOGIC_VECTOR (15 DOWNTO 0);
+               clock           : IN STD_LOGIC ;
+               aclr            : IN STD_LOGIC ;
+               clken           : IN STD_LOGIC ;
+               result          : OUT STD_LOGIC_VECTOR (15 DOWNTO 0)
+       );
+end component;
diff --git a/usrp/fpga/megacells/addsub16.inc b/usrp/fpga/megacells/addsub16.inc
new file mode 100755 (executable)
index 0000000..846f301
--- /dev/null
@@ -0,0 +1,34 @@
+--Copyright (C) 1991-2003 Altera Corporation
+--Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
+--support information,  device programming or simulation file,  and any other
+--associated  documentation or information  provided by  Altera  or a partner
+--under  Altera's   Megafunction   Partnership   Program  may  be  used  only
+--to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
+--other  use  of such  megafunction  design,  netlist,  support  information,
+--device programming or simulation file,  or any other  related documentation
+--or information  is prohibited  for  any  other purpose,  including, but not
+--limited to  modification,  reverse engineering,  de-compiling, or use  with
+--any other  silicon devices,  unless such use is  explicitly  licensed under
+--a separate agreement with  Altera  or a megafunction partner.  Title to the
+--intellectual property,  including patents,  copyrights,  trademarks,  trade
+--secrets,  or maskworks,  embodied in any such megafunction design, netlist,
+--support  information,  device programming or simulation file,  or any other
+--related documentation or information provided by  Altera  or a megafunction
+--partner, remains with Altera, the megafunction partner, or their respective
+--licensors. No other licenses, including any licenses needed under any third
+--party's intellectual property, are provided herein.
+
+
+FUNCTION addsub16 
+(
+       add_sub,
+       dataa[15..0],
+       datab[15..0],
+       clock,
+       aclr,
+       clken
+)
+
+RETURNS (
+       result[15..0]
+);
diff --git a/usrp/fpga/megacells/addsub16.v b/usrp/fpga/megacells/addsub16.v
new file mode 100755 (executable)
index 0000000..431af3e
--- /dev/null
@@ -0,0 +1,438 @@
+// megafunction wizard: %LPM_ADD_SUB%CBX%
+// GENERATION: STANDARD
+// VERSION: WM1.0
+// MODULE: lpm_add_sub 
+
+// ============================================================
+// File Name: addsub16.v
+// Megafunction Name(s):
+//                     lpm_add_sub
+// ============================================================
+// ************************************************************
+// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
+// ************************************************************
+
+
+//Copyright (C) 1991-2003 Altera Corporation
+//Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
+//support information,  device programming or simulation file,  and any other
+//associated  documentation or information  provided by  Altera  or a partner
+//under  Altera's   Megafunction   Partnership   Program  may  be  used  only
+//to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
+//other  use  of such  megafunction  design,  netlist,  support  information,
+//device programming or simulation file,  or any other  related documentation
+//or information  is prohibited  for  any  other purpose,  including, but not
+//limited to  modification,  reverse engineering,  de-compiling, or use  with
+//any other  silicon devices,  unless such use is  explicitly  licensed under
+//a separate agreement with  Altera  or a megafunction partner.  Title to the
+//intellectual property,  including patents,  copyrights,  trademarks,  trade
+//secrets,  or maskworks,  embodied in any such megafunction design, netlist,
+//support  information,  device programming or simulation file,  or any other
+//related documentation or information provided by  Altera  or a megafunction
+//partner, remains with Altera, the megafunction partner, or their respective
+//licensors. No other licenses, including any licenses needed under any third
+//party's intellectual property, are provided herein.
+
+
+//lpm_add_sub DEVICE_FAMILY=Cyclone LPM_PIPELINE=1 LPM_WIDTH=16 aclr add_sub clken clock dataa datab result
+//VERSION_BEGIN 3.0 cbx_lpm_add_sub 2003:04:10:18:28:42:SJ cbx_mgl 2003:06:11:11:00:44:SJ cbx_stratix 2003:05:16:10:26:50:SJ  VERSION_END
+
+//synthesis_resources = lut 17 
+module  addsub16_add_sub_gp9
+       ( 
+       aclr,
+       add_sub,
+       clken,
+       clock,
+       dataa,
+       datab,
+       result) /* synthesis synthesis_clearbox=1 */;
+       input   aclr;
+       input   add_sub;
+       input   clken;
+       input   clock;
+       input   [15:0]  dataa;
+       input   [15:0]  datab;
+       output   [15:0]  result;
+
+       wire  [0:0]   wire_add_sub_cella_0cout;
+       wire  [0:0]   wire_add_sub_cella_1cout;
+       wire  [0:0]   wire_add_sub_cella_2cout;
+       wire  [0:0]   wire_add_sub_cella_3cout;
+       wire  [0:0]   wire_add_sub_cella_4cout;
+       wire  [0:0]   wire_add_sub_cella_5cout;
+       wire  [0:0]   wire_add_sub_cella_6cout;
+       wire  [0:0]   wire_add_sub_cella_7cout;
+       wire  [0:0]   wire_add_sub_cella_8cout;
+       wire  [0:0]   wire_add_sub_cella_9cout;
+       wire  [0:0]   wire_add_sub_cella_10cout;
+       wire  [0:0]   wire_add_sub_cella_11cout;
+       wire  [0:0]   wire_add_sub_cella_12cout;
+       wire  [0:0]   wire_add_sub_cella_13cout;
+       wire  [0:0]   wire_add_sub_cella_14cout;
+       wire  [15:0]   wire_add_sub_cella_dataa;
+       wire  [15:0]   wire_add_sub_cella_datab;
+       wire  [15:0]   wire_add_sub_cella_regout;
+       wire  wire_strx_lcell1_cout;
+
+       stratix_lcell   add_sub_cella_0
+       ( 
+       .aclr(aclr),
+       .cin(wire_strx_lcell1_cout),
+       .clk(clock),
+       .cout(wire_add_sub_cella_0cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[0:0]),
+       .datab(wire_add_sub_cella_datab[0:0]),
+       .ena(clken),
+       .inverta((~ add_sub)),
+       .regout(wire_add_sub_cella_regout[0:0]));
+       defparam
+               add_sub_cella_0.cin_used = "true",
+               add_sub_cella_0.lut_mask = "96e8",
+               add_sub_cella_0.operation_mode = "arithmetic",
+               add_sub_cella_0.sum_lutc_input = "cin",
+               add_sub_cella_0.lpm_type = "stratix_lcell";
+       stratix_lcell   add_sub_cella_1
+       ( 
+       .aclr(aclr),
+       .cin(wire_add_sub_cella_0cout[0:0]),
+       .clk(clock),
+       .cout(wire_add_sub_cella_1cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[1:1]),
+       .datab(wire_add_sub_cella_datab[1:1]),
+       .ena(clken),
+       .inverta((~ add_sub)),
+       .regout(wire_add_sub_cella_regout[1:1]));
+       defparam
+               add_sub_cella_1.cin_used = "true",
+               add_sub_cella_1.lut_mask = "96e8",
+               add_sub_cella_1.operation_mode = "arithmetic",
+               add_sub_cella_1.sum_lutc_input = "cin",
+               add_sub_cella_1.lpm_type = "stratix_lcell";
+       stratix_lcell   add_sub_cella_2
+       ( 
+       .aclr(aclr),
+       .cin(wire_add_sub_cella_1cout[0:0]),
+       .clk(clock),
+       .cout(wire_add_sub_cella_2cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[2:2]),
+       .datab(wire_add_sub_cella_datab[2:2]),
+       .ena(clken),
+       .inverta((~ add_sub)),
+       .regout(wire_add_sub_cella_regout[2:2]));
+       defparam
+               add_sub_cella_2.cin_used = "true",
+               add_sub_cella_2.lut_mask = "96e8",
+               add_sub_cella_2.operation_mode = "arithmetic",
+               add_sub_cella_2.sum_lutc_input = "cin",
+               add_sub_cella_2.lpm_type = "stratix_lcell";
+       stratix_lcell   add_sub_cella_3
+       ( 
+       .aclr(aclr),
+       .cin(wire_add_sub_cella_2cout[0:0]),
+       .clk(clock),
+       .cout(wire_add_sub_cella_3cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[3:3]),
+       .datab(wire_add_sub_cella_datab[3:3]),
+       .ena(clken),
+       .inverta((~ add_sub)),
+       .regout(wire_add_sub_cella_regout[3:3]));
+       defparam
+               add_sub_cella_3.cin_used = "true",
+               add_sub_cella_3.lut_mask = "96e8",
+               add_sub_cella_3.operation_mode = "arithmetic",
+               add_sub_cella_3.sum_lutc_input = "cin",
+               add_sub_cella_3.lpm_type = "stratix_lcell";
+       stratix_lcell   add_sub_cella_4
+       ( 
+       .aclr(aclr),
+       .cin(wire_add_sub_cella_3cout[0:0]),
+       .clk(clock),
+       .cout(wire_add_sub_cella_4cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[4:4]),
+       .datab(wire_add_sub_cella_datab[4:4]),
+       .ena(clken),
+       .inverta((~ add_sub)),
+       .regout(wire_add_sub_cella_regout[4:4]));
+       defparam
+               add_sub_cella_4.cin_used = "true",
+               add_sub_cella_4.lut_mask = "96e8",
+               add_sub_cella_4.operation_mode = "arithmetic",
+               add_sub_cella_4.sum_lutc_input = "cin",
+               add_sub_cella_4.lpm_type = "stratix_lcell";
+       stratix_lcell   add_sub_cella_5
+       ( 
+       .aclr(aclr),
+       .cin(wire_add_sub_cella_4cout[0:0]),
+       .clk(clock),
+       .cout(wire_add_sub_cella_5cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[5:5]),
+       .datab(wire_add_sub_cella_datab[5:5]),
+       .ena(clken),
+       .inverta((~ add_sub)),
+       .regout(wire_add_sub_cella_regout[5:5]));
+       defparam
+               add_sub_cella_5.cin_used = "true",
+               add_sub_cella_5.lut_mask = "96e8",
+               add_sub_cella_5.operation_mode = "arithmetic",
+               add_sub_cella_5.sum_lutc_input = "cin",
+               add_sub_cella_5.lpm_type = "stratix_lcell";
+       stratix_lcell   add_sub_cella_6
+       ( 
+       .aclr(aclr),
+       .cin(wire_add_sub_cella_5cout[0:0]),
+       .clk(clock),
+       .cout(wire_add_sub_cella_6cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[6:6]),
+       .datab(wire_add_sub_cella_datab[6:6]),
+       .ena(clken),
+       .inverta((~ add_sub)),
+       .regout(wire_add_sub_cella_regout[6:6]));
+       defparam
+               add_sub_cella_6.cin_used = "true",
+               add_sub_cella_6.lut_mask = "96e8",
+               add_sub_cella_6.operation_mode = "arithmetic",
+               add_sub_cella_6.sum_lutc_input = "cin",
+               add_sub_cella_6.lpm_type = "stratix_lcell";
+       stratix_lcell   add_sub_cella_7
+       ( 
+       .aclr(aclr),
+       .cin(wire_add_sub_cella_6cout[0:0]),
+       .clk(clock),
+       .cout(wire_add_sub_cella_7cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[7:7]),
+       .datab(wire_add_sub_cella_datab[7:7]),
+       .ena(clken),
+       .inverta((~ add_sub)),
+       .regout(wire_add_sub_cella_regout[7:7]));
+       defparam
+               add_sub_cella_7.cin_used = "true",
+               add_sub_cella_7.lut_mask = "96e8",
+               add_sub_cella_7.operation_mode = "arithmetic",
+               add_sub_cella_7.sum_lutc_input = "cin",
+               add_sub_cella_7.lpm_type = "stratix_lcell";
+       stratix_lcell   add_sub_cella_8
+       ( 
+       .aclr(aclr),
+       .cin(wire_add_sub_cella_7cout[0:0]),
+       .clk(clock),
+       .cout(wire_add_sub_cella_8cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[8:8]),
+       .datab(wire_add_sub_cella_datab[8:8]),
+       .ena(clken),
+       .inverta((~ add_sub)),
+       .regout(wire_add_sub_cella_regout[8:8]));
+       defparam
+               add_sub_cella_8.cin_used = "true",
+               add_sub_cella_8.lut_mask = "96e8",
+               add_sub_cella_8.operation_mode = "arithmetic",
+               add_sub_cella_8.sum_lutc_input = "cin",
+               add_sub_cella_8.lpm_type = "stratix_lcell";
+       stratix_lcell   add_sub_cella_9
+       ( 
+       .aclr(aclr),
+       .cin(wire_add_sub_cella_8cout[0:0]),
+       .clk(clock),
+       .cout(wire_add_sub_cella_9cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[9:9]),
+       .datab(wire_add_sub_cella_datab[9:9]),
+       .ena(clken),
+       .inverta((~ add_sub)),
+       .regout(wire_add_sub_cella_regout[9:9]));
+       defparam
+               add_sub_cella_9.cin_used = "true",
+               add_sub_cella_9.lut_mask = "96e8",
+               add_sub_cella_9.operation_mode = "arithmetic",
+               add_sub_cella_9.sum_lutc_input = "cin",
+               add_sub_cella_9.lpm_type = "stratix_lcell";
+       stratix_lcell   add_sub_cella_10
+       ( 
+       .aclr(aclr),
+       .cin(wire_add_sub_cella_9cout[0:0]),
+       .clk(clock),
+       .cout(wire_add_sub_cella_10cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[10:10]),
+       .datab(wire_add_sub_cella_datab[10:10]),
+       .ena(clken),
+       .inverta((~ add_sub)),
+       .regout(wire_add_sub_cella_regout[10:10]));
+       defparam
+               add_sub_cella_10.cin_used = "true",
+               add_sub_cella_10.lut_mask = "96e8",
+               add_sub_cella_10.operation_mode = "arithmetic",
+               add_sub_cella_10.sum_lutc_input = "cin",
+               add_sub_cella_10.lpm_type = "stratix_lcell";
+       stratix_lcell   add_sub_cella_11
+       ( 
+       .aclr(aclr),
+       .cin(wire_add_sub_cella_10cout[0:0]),
+       .clk(clock),
+       .cout(wire_add_sub_cella_11cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[11:11]),
+       .datab(wire_add_sub_cella_datab[11:11]),
+       .ena(clken),
+       .inverta((~ add_sub)),
+       .regout(wire_add_sub_cella_regout[11:11]));
+       defparam
+               add_sub_cella_11.cin_used = "true",
+               add_sub_cella_11.lut_mask = "96e8",
+               add_sub_cella_11.operation_mode = "arithmetic",
+               add_sub_cella_11.sum_lutc_input = "cin",
+               add_sub_cella_11.lpm_type = "stratix_lcell";
+       stratix_lcell   add_sub_cella_12
+       ( 
+       .aclr(aclr),
+       .cin(wire_add_sub_cella_11cout[0:0]),
+       .clk(clock),
+       .cout(wire_add_sub_cella_12cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[12:12]),
+       .datab(wire_add_sub_cella_datab[12:12]),
+       .ena(clken),
+       .inverta((~ add_sub)),
+       .regout(wire_add_sub_cella_regout[12:12]));
+       defparam
+               add_sub_cella_12.cin_used = "true",
+               add_sub_cella_12.lut_mask = "96e8",
+               add_sub_cella_12.operation_mode = "arithmetic",
+               add_sub_cella_12.sum_lutc_input = "cin",
+               add_sub_cella_12.lpm_type = "stratix_lcell";
+       stratix_lcell   add_sub_cella_13
+       ( 
+       .aclr(aclr),
+       .cin(wire_add_sub_cella_12cout[0:0]),
+       .clk(clock),
+       .cout(wire_add_sub_cella_13cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[13:13]),
+       .datab(wire_add_sub_cella_datab[13:13]),
+       .ena(clken),
+       .inverta((~ add_sub)),
+       .regout(wire_add_sub_cella_regout[13:13]));
+       defparam
+               add_sub_cella_13.cin_used = "true",
+               add_sub_cella_13.lut_mask = "96e8",
+               add_sub_cella_13.operation_mode = "arithmetic",
+               add_sub_cella_13.sum_lutc_input = "cin",
+               add_sub_cella_13.lpm_type = "stratix_lcell";
+       stratix_lcell   add_sub_cella_14
+       ( 
+       .aclr(aclr),
+       .cin(wire_add_sub_cella_13cout[0:0]),
+       .clk(clock),
+       .cout(wire_add_sub_cella_14cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[14:14]),
+       .datab(wire_add_sub_cella_datab[14:14]),
+       .ena(clken),
+       .inverta((~ add_sub)),
+       .regout(wire_add_sub_cella_regout[14:14]));
+       defparam
+               add_sub_cella_14.cin_used = "true",
+               add_sub_cella_14.lut_mask = "96e8",
+               add_sub_cella_14.operation_mode = "arithmetic",
+               add_sub_cella_14.sum_lutc_input = "cin",
+               add_sub_cella_14.lpm_type = "stratix_lcell";
+       stratix_lcell   add_sub_cella_15
+       ( 
+       .aclr(aclr),
+       .cin(wire_add_sub_cella_14cout[0:0]),
+       .clk(clock),
+       .dataa(wire_add_sub_cella_dataa[15:15]),
+       .datab(wire_add_sub_cella_datab[15:15]),
+       .ena(clken),
+       .inverta((~ add_sub)),
+       .regout(wire_add_sub_cella_regout[15:15]));
+       defparam
+               add_sub_cella_15.cin_used = "true",
+               add_sub_cella_15.lut_mask = "9696",
+               add_sub_cella_15.operation_mode = "normal",
+               add_sub_cella_15.sum_lutc_input = "cin",
+               add_sub_cella_15.lpm_type = "stratix_lcell";
+       assign
+               wire_add_sub_cella_dataa = datab,
+               wire_add_sub_cella_datab = dataa;
+       stratix_lcell   strx_lcell1
+       ( 
+       .cout(wire_strx_lcell1_cout),
+       .dataa(1'b0),
+       .datab((~ add_sub)),
+       .inverta((~ add_sub)));
+       defparam
+               strx_lcell1.cin_used = "false",
+               strx_lcell1.lut_mask = "00cc",
+               strx_lcell1.operation_mode = "arithmetic",
+               strx_lcell1.lpm_type = "stratix_lcell";
+       assign
+               result = wire_add_sub_cella_regout;
+endmodule //addsub16_add_sub_gp9
+//VALID FILE
+
+
+module addsub16 (
+       add_sub,
+       dataa,
+       datab,
+       clock,
+       aclr,
+       clken,
+       result)/* synthesis synthesis_clearbox = 1 */;
+
+       input     add_sub;
+       input   [15:0]  dataa;
+       input   [15:0]  datab;
+       input     clock;
+       input     aclr;
+       input     clken;
+       output  [15:0]  result;
+
+       wire [15:0] sub_wire0;
+       wire [15:0] result = sub_wire0[15:0];
+
+       addsub16_add_sub_gp9    addsub16_add_sub_gp9_component (
+                               .dataa (dataa),
+                               .add_sub (add_sub),
+                               .datab (datab),
+                               .clken (clken),
+                               .aclr (aclr),
+                               .clock (clock),
+                               .result (sub_wire0));
+
+endmodule
+
+// ============================================================
+// CNX file retrieval info
+// ============================================================
+// Retrieval info: PRIVATE: nBit NUMERIC "16"
+// Retrieval info: PRIVATE: Function NUMERIC "2"
+// Retrieval info: PRIVATE: WhichConstant NUMERIC "0"
+// Retrieval info: PRIVATE: ConstantA NUMERIC "0"
+// Retrieval info: PRIVATE: ConstantB NUMERIC "0"
+// Retrieval info: PRIVATE: ValidCtA NUMERIC "0"
+// Retrieval info: PRIVATE: ValidCtB NUMERIC "0"
+// Retrieval info: PRIVATE: CarryIn NUMERIC "0"
+// Retrieval info: PRIVATE: CarryOut NUMERIC "0"
+// Retrieval info: PRIVATE: Overflow NUMERIC "0"
+// Retrieval info: PRIVATE: Latency NUMERIC "1"
+// Retrieval info: PRIVATE: aclr NUMERIC "1"
+// Retrieval info: PRIVATE: clken NUMERIC "1"
+// Retrieval info: PRIVATE: LPM_PIPELINE NUMERIC "1"
+// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone"
+// Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "16"
+// Retrieval info: CONSTANT: LPM_DIRECTION STRING "UNUSED"
+// Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_ADD_SUB"
+// Retrieval info: CONSTANT: LPM_HINT STRING "ONE_INPUT_IS_CONSTANT=NO"
+// Retrieval info: CONSTANT: LPM_PIPELINE NUMERIC "1"
+// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone"
+// Retrieval info: USED_PORT: add_sub 0 0 0 0 INPUT NODEFVAL add_sub
+// Retrieval info: USED_PORT: result 0 0 16 0 OUTPUT NODEFVAL result[15..0]
+// Retrieval info: USED_PORT: dataa 0 0 16 0 INPUT NODEFVAL dataa[15..0]
+// Retrieval info: USED_PORT: datab 0 0 16 0 INPUT NODEFVAL datab[15..0]
+// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL clock
+// Retrieval info: USED_PORT: aclr 0 0 0 0 INPUT NODEFVAL aclr
+// Retrieval info: USED_PORT: clken 0 0 0 0 INPUT NODEFVAL clken
+// Retrieval info: CONNECT: @add_sub 0 0 0 0 add_sub 0 0 0 0
+// Retrieval info: CONNECT: result 0 0 16 0 @result 0 0 16 0
+// Retrieval info: CONNECT: @dataa 0 0 16 0 dataa 0 0 16 0
+// Retrieval info: CONNECT: @datab 0 0 16 0 datab 0 0 16 0
+// Retrieval info: CONNECT: @clock 0 0 0 0 clock 0 0 0 0
+// Retrieval info: CONNECT: @aclr 0 0 0 0 aclr 0 0 0 0
+// Retrieval info: CONNECT: @clken 0 0 0 0 clken 0 0 0 0
+// Retrieval info: LIBRARY: lpm lpm.lpm_components.all
diff --git a/usrp/fpga/megacells/addsub16_bb.v b/usrp/fpga/megacells/addsub16_bb.v
new file mode 100755 (executable)
index 0000000..8e1e7c6
--- /dev/null
@@ -0,0 +1,39 @@
+//Copyright (C) 1991-2003 Altera Corporation
+//Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
+//support information,  device programming or simulation file,  and any other
+//associated  documentation or information  provided by  Altera  or a partner
+//under  Altera's   Megafunction   Partnership   Program  may  be  used  only
+//to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
+//other  use  of such  megafunction  design,  netlist,  support  information,
+//device programming or simulation file,  or any other  related documentation
+//or information  is prohibited  for  any  other purpose,  including, but not
+//limited to  modification,  reverse engineering,  de-compiling, or use  with
+//any other  silicon devices,  unless such use is  explicitly  licensed under
+//a separate agreement with  Altera  or a megafunction partner.  Title to the
+//intellectual property,  including patents,  copyrights,  trademarks,  trade
+//secrets,  or maskworks,  embodied in any such megafunction design, netlist,
+//support  information,  device programming or simulation file,  or any other
+//related documentation or information provided by  Altera  or a megafunction
+//partner, remains with Altera, the megafunction partner, or their respective
+//licensors. No other licenses, including any licenses needed under any third
+//party's intellectual property, are provided herein.
+
+module addsub16 (
+       add_sub,
+       dataa,
+       datab,
+       clock,
+       aclr,
+       clken,
+       result)/* synthesis synthesis_clearbox = 1 */;
+
+       input     add_sub;
+       input   [15:0]  dataa;
+       input   [15:0]  datab;
+       input     clock;
+       input     aclr;
+       input     clken;
+       output  [15:0]  result;
+
+endmodule
+
diff --git a/usrp/fpga/megacells/addsub16_inst.v b/usrp/fpga/megacells/addsub16_inst.v
new file mode 100755 (executable)
index 0000000..4a81ff2
--- /dev/null
@@ -0,0 +1,9 @@
+addsub16       addsub16_inst (
+       .add_sub ( add_sub_sig ),
+       .dataa ( dataa_sig ),
+       .datab ( datab_sig ),
+       .clock ( clock_sig ),
+       .aclr ( aclr_sig ),
+       .clken ( clken_sig ),
+       .result ( result_sig )
+       );
diff --git a/usrp/fpga/megacells/bustri.bsf b/usrp/fpga/megacells/bustri.bsf
new file mode 100755 (executable)
index 0000000..f1bc3ca
--- /dev/null
@@ -0,0 +1,62 @@
+/*
+WARNING: Do NOT edit the input and output ports in this file in a text
+editor if you plan to continue editing the block that represents it in
+the Block Editor! File corruption is VERY likely to occur.
+*/
+/*
+Copyright (C) 1991-2003 Altera Corporation
+Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
+support information,  device programming or simulation file,  and any other
+associated  documentation or information  provided by  Altera  or a partner
+under  Altera's   Megafunction   Partnership   Program  may  be  used  only
+to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
+other  use  of such  megafunction  design,  netlist,  support  information,
+device programming or simulation file,  or any other  related documentation
+or information  is prohibited  for  any  other purpose,  including, but not
+limited to  modification,  reverse engineering,  de-compiling, or use  with
+any other  silicon devices,  unless such use is  explicitly  licensed under
+a separate agreement with  Altera  or a megafunction partner.  Title to the
+intellectual property,  including patents,  copyrights,  trademarks,  trade
+secrets,  or maskworks,  embodied in any such megafunction design, netlist,
+support  information,  device programming or simulation file,  or any other
+related documentation or information provided by  Altera  or a megafunction
+partner, remains with Altera, the megafunction partner, or their respective
+licensors. No other licenses, including any licenses needed under any third
+party's intellectual property, are provided herein.
+*/
+(header "symbol" (version "1.1"))
+(symbol
+       (rect 0 0 80 40)
+       (text "bustri" (rect 24 1 61 17)(font "Arial" (font_size 10)))
+       (text "inst" (rect 8 24 25 36)(font "Arial" ))
+       (port
+               (pt 40 40)
+               (input)
+               (text "enabledt" (rect 0 0 48 14)(font "Arial" (font_size 8)))
+               (text "enabledt" (rect 40 -6 53 36)(font "Arial" (font_size 8))(invisible))
+               (line (pt 40 40)(pt 40 28)(line_width 1))
+       )
+       (port
+               (pt 0 24)
+               (input)
+               (text "data[15..0]" (rect 0 0 60 14)(font "Arial" (font_size 8)))
+               (text "data[15..0]" (rect -3 -27 10 24)(font "Arial" (font_size 8))(invisible))
+               (line (pt 0 24)(pt 32 24)(line_width 3))
+       )
+       (port
+               (pt 80 24)
+               (bidir)
+               (text "tridata[15..0]" (rect 0 0 70 14)(font "Arial" (font_size 8)))
+               (text "tridata[15..0]" (rect 84 -36 97 24)(font "Arial" (font_size 8))(invisible))
+               (line (pt 80 24)(pt 48 24)(line_width 3))
+       )
+       (drawing
+               (text "16" (rect 61 25 71 37)(font "Arial" ))
+               (text "16" (rect 13 25 23 37)(font "Arial" ))
+               (line (pt 32 16)(pt 48 24)(line_width 1))
+               (line (pt 48 24)(pt 32 32)(line_width 1))
+               (line (pt 32 32)(pt 32 16)(line_width 1))
+               (line (pt 56 28)(pt 64 20)(line_width 1))
+               (line (pt 8 28)(pt 16 20)(line_width 1))
+       )
+)
diff --git a/usrp/fpga/megacells/bustri.cmp b/usrp/fpga/megacells/bustri.cmp
new file mode 100755 (executable)
index 0000000..87599ca
--- /dev/null
@@ -0,0 +1,29 @@
+--Copyright (C) 1991-2003 Altera Corporation
+--Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
+--support information,  device programming or simulation file,  and any other
+--associated  documentation or information  provided by  Altera  or a partner
+--under  Altera's   Megafunction   Partnership   Program  may  be  used  only
+--to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
+--other  use  of such  megafunction  design,  netlist,  support  information,
+--device programming or simulation file,  or any other  related documentation
+--or information  is prohibited  for  any  other purpose,  including, but not
+--limited to  modification,  reverse engineering,  de-compiling, or use  with
+--any other  silicon devices,  unless such use is  explicitly  licensed under
+--a separate agreement with  Altera  or a megafunction partner.  Title to the
+--intellectual property,  including patents,  copyrights,  trademarks,  trade
+--secrets,  or maskworks,  embodied in any such megafunction design, netlist,
+--support  information,  device programming or simulation file,  or any other
+--related documentation or information provided by  Altera  or a megafunction
+--partner, remains with Altera, the megafunction partner, or their respective
+--licensors. No other licenses, including any licenses needed under any third
+--party's intellectual property, are provided herein.
+
+
+component bustri
+       PORT
+       (
+               data            : IN STD_LOGIC_VECTOR (15 DOWNTO 0);
+               enabledt                : IN STD_LOGIC ;
+               tridata         : INOUT STD_LOGIC_VECTOR (15 DOWNTO 0)
+       );
+end component;
diff --git a/usrp/fpga/megacells/bustri.inc b/usrp/fpga/megacells/bustri.inc
new file mode 100755 (executable)
index 0000000..3999503
--- /dev/null
@@ -0,0 +1,30 @@
+--Copyright (C) 1991-2003 Altera Corporation
+--Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
+--support information,  device programming or simulation file,  and any other
+--associated  documentation or information  provided by  Altera  or a partner
+--under  Altera's   Megafunction   Partnership   Program  may  be  used  only
+--to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
+--other  use  of such  megafunction  design,  netlist,  support  information,
+--device programming or simulation file,  or any other  related documentation
+--or information  is prohibited  for  any  other purpose,  including, but not
+--limited to  modification,  reverse engineering,  de-compiling, or use  with
+--any other  silicon devices,  unless such use is  explicitly  licensed under
+--a separate agreement with  Altera  or a megafunction partner.  Title to the
+--intellectual property,  including patents,  copyrights,  trademarks,  trade
+--secrets,  or maskworks,  embodied in any such megafunction design, netlist,
+--support  information,  device programming or simulation file,  or any other
+--related documentation or information provided by  Altera  or a megafunction
+--partner, remains with Altera, the megafunction partner, or their respective
+--licensors. No other licenses, including any licenses needed under any third
+--party's intellectual property, are provided herein.
+
+
+FUNCTION bustri 
+(
+       data[15..0],
+       enabledt
+)
+
+RETURNS (
+       tridata[15..0]
+);
diff --git a/usrp/fpga/megacells/bustri.v b/usrp/fpga/megacells/bustri.v
new file mode 100755 (executable)
index 0000000..e40c694
--- /dev/null
@@ -0,0 +1,71 @@
+// megafunction wizard: %LPM_BUSTRI%
+// GENERATION: STANDARD
+// VERSION: WM1.0
+// MODULE: lpm_bustri 
+
+// ============================================================
+// File Name: bustri.v
+// Megafunction Name(s):
+//                     lpm_bustri
+// ============================================================
+// ************************************************************
+// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
+// ************************************************************
+
+
+//Copyright (C) 1991-2003 Altera Corporation
+//Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
+//support information,  device programming or simulation file,  and any other
+//associated  documentation or information  provided by  Altera  or a partner
+//under  Altera's   Megafunction   Partnership   Program  may  be  used  only
+//to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
+//other  use  of such  megafunction  design,  netlist,  support  information,
+//device programming or simulation file,  or any other  related documentation
+//or information  is prohibited  for  any  other purpose,  including, but not
+//limited to  modification,  reverse engineering,  de-compiling, or use  with
+//any other  silicon devices,  unless such use is  explicitly  licensed under
+//a separate agreement with  Altera  or a megafunction partner.  Title to the
+//intellectual property,  including patents,  copyrights,  trademarks,  trade
+//secrets,  or maskworks,  embodied in any such megafunction design, netlist,
+//support  information,  device programming or simulation file,  or any other
+//related documentation or information provided by  Altera  or a megafunction
+//partner, remains with Altera, the megafunction partner, or their respective
+//licensors. No other licenses, including any licenses needed under any third
+//party's intellectual property, are provided herein.
+
+
+module bustri (
+       data,
+       enabledt,
+       tridata);
+
+       input   [15:0]  data;
+       input     enabledt;
+       inout   [15:0]  tridata;
+
+
+       lpm_bustri      lpm_bustri_component (
+                               .tridata (tridata),
+                               .enabledt (enabledt),
+                               .data (data));
+       defparam
+               lpm_bustri_component.lpm_width = 16,
+               lpm_bustri_component.lpm_type = "LPM_BUSTRI";
+
+
+endmodule
+
+// ============================================================
+// CNX file retrieval info
+// ============================================================
+// Retrieval info: PRIVATE: nBit NUMERIC "16"
+// Retrieval info: PRIVATE: BiDir NUMERIC "0"
+// Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "16"
+// Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_BUSTRI"
+// Retrieval info: USED_PORT: tridata 0 0 16 0 BIDIR NODEFVAL tridata[15..0]
+// Retrieval info: USED_PORT: data 0 0 16 0 INPUT NODEFVAL data[15..0]
+// Retrieval info: USED_PORT: enabledt 0 0 0 0 INPUT NODEFVAL enabledt
+// Retrieval info: CONNECT: tridata 0 0 16 0 @tridata 0 0 16 0
+// Retrieval info: CONNECT: @data 0 0 16 0 data 0 0 16 0
+// Retrieval info: CONNECT: @enabledt 0 0 0 0 enabledt 0 0 0 0
+// Retrieval info: LIBRARY: lpm lpm.lpm_components.all
diff --git a/usrp/fpga/megacells/bustri_bb.v b/usrp/fpga/megacells/bustri_bb.v
new file mode 100755 (executable)
index 0000000..4cbc160
--- /dev/null
@@ -0,0 +1,31 @@
+//Copyright (C) 1991-2003 Altera Corporation
+//Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
+//support information,  device programming or simulation file,  and any other
+//associated  documentation or information  provided by  Altera  or a partner
+//under  Altera's   Megafunction   Partnership   Program  may  be  used  only
+//to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
+//other  use  of such  megafunction  design,  netlist,  support  information,
+//device programming or simulation file,  or any other  related documentation
+//or information  is prohibited  for  any  other purpose,  including, but not
+//limited to  modification,  reverse engineering,  de-compiling, or use  with
+//any other  silicon devices,  unless such use is  explicitly  licensed under
+//a separate agreement with  Altera  or a megafunction partner.  Title to the
+//intellectual property,  including patents,  copyrights,  trademarks,  trade
+//secrets,  or maskworks,  embodied in any such megafunction design, netlist,
+//support  information,  device programming or simulation file,  or any other
+//related documentation or information provided by  Altera  or a megafunction
+//partner, remains with Altera, the megafunction partner, or their respective
+//licensors. No other licenses, including any licenses needed under any third
+//party's intellectual property, are provided herein.
+
+module bustri (
+       data,
+       enabledt,
+       tridata);
+
+       input   [15:0]  data;
+       input     enabledt;
+       inout   [15:0]  tridata;
+
+endmodule
+
diff --git a/usrp/fpga/megacells/bustri_inst.v b/usrp/fpga/megacells/bustri_inst.v
new file mode 100755 (executable)
index 0000000..2b4e496
--- /dev/null
@@ -0,0 +1,5 @@
+bustri bustri_inst (
+       .data ( data_sig ),
+       .enabledt ( enabledt_sig ),
+       .tridata ( tridata_sig )
+       );
diff --git a/usrp/fpga/megacells/clk_doubler.v b/usrp/fpga/megacells/clk_doubler.v
new file mode 100644 (file)
index 0000000..b3762a9
--- /dev/null
@@ -0,0 +1,198 @@
+// megafunction wizard: %ALTPLL%
+// GENERATION: STANDARD
+// VERSION: WM1.0
+// MODULE: altpll 
+
+// ============================================================
+// File Name: clk_doubler.v
+// Megafunction Name(s):
+//                     altpll
+// ============================================================
+// ************************************************************
+// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
+//
+// 4.2 Build 156 11/29/2004 SJ Web Edition
+// ************************************************************
+
+
+//Copyright (C) 1991-2004 Altera Corporation
+//Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
+//support information,  device programming or simulation file,  and any other
+//associated  documentation or information  provided by  Altera  or a partner
+//under  Altera's   Megafunction   Partnership   Program  may  be  used  only
+//to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
+//other  use  of such  megafunction  design,  netlist,  support  information,
+//device programming or simulation file,  or any other  related documentation
+//or information  is prohibited  for  any  other purpose,  including, but not
+//limited to  modification,  reverse engineering,  de-compiling, or use  with
+//any other  silicon devices,  unless such use is  explicitly  licensed under
+//a separate agreement with  Altera  or a megafunction partner.  Title to the
+//intellectual property,  including patents,  copyrights,  trademarks,  trade
+//secrets,  or maskworks,  embodied in any such megafunction design, netlist,
+//support  information,  device programming or simulation file,  or any other
+//related documentation or information provided by  Altera  or a megafunction
+//partner, remains with Altera, the megafunction partner, or their respective
+//licensors. No other licenses, including any licenses needed under any third
+//party's intellectual property, are provided herein.
+
+
+// synopsys translate_off
+`timescale 1 ps / 1 ps
+// synopsys translate_on
+module clk_doubler (
+       inclk0,
+       c0);
+
+       input     inclk0;
+       output    c0;
+
+       wire [5:0] sub_wire0;
+       wire [0:0] sub_wire4 = 1'h0;
+       wire [0:0] sub_wire1 = sub_wire0[0:0];
+       wire  c0 = sub_wire1;
+       wire  sub_wire2 = inclk0;
+       wire [1:0] sub_wire3 = {sub_wire4, sub_wire2};
+
+       altpll  altpll_component (
+                               .inclk (sub_wire3),
+                               .clk (sub_wire0)
+                               // synopsys translate_off
+                               ,
+                               .activeclock (),
+                               .areset (),
+                               .clkbad (),
+                               .clkena (),
+                               .clkloss (),
+                               .clkswitch (),
+                               .enable0 (),
+                               .enable1 (),
+                               .extclk (),
+                               .extclkena (),
+                               .fbin (),
+                               .locked (),
+                               .pfdena (),
+                               .pllena (),
+                               .scanaclr (),
+                               .scanclk (),
+                               .scandata (),
+                               .scandataout (),
+                               .scandone (),
+                               .scanread (),
+                               .scanwrite (),
+                               .sclkout0 (),
+                               .sclkout1 ()
+                               // synopsys translate_on
+                               );
+       defparam
+               altpll_component.clk0_duty_cycle = 50,
+               altpll_component.lpm_type = "altpll",
+               altpll_component.clk0_multiply_by = 2,
+               altpll_component.inclk0_input_frequency = 15625,
+               altpll_component.clk0_divide_by = 1,
+               altpll_component.pll_type = "AUTO",
+               altpll_component.intended_device_family = "Cyclone",
+               altpll_component.operation_mode = "NORMAL",
+               altpll_component.compensate_clock = "CLK0",
+               altpll_component.clk0_phase_shift = "0";
+
+
+endmodule
+
+// ============================================================
+// CNX file retrieval info
+// ============================================================
+// Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0"
+// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg"
+// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz"
+// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz"
+// Retrieval info: PRIVATE: SPREAD_USE STRING "0"
+// Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0"
+// Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1"
+// Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575"
+// Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0"
+// Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000"
+// Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000"
+// Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "2"
+// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "0"
+// Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500"
+// Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "0"
+// Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "0"
+// Retrieval info: PRIVATE: STICKY_CLK0 STRING "1"
+// Retrieval info: PRIVATE: BANDWIDTH STRING "1.000"
+// Retrieval info: PRIVATE: BANDWIDTH_USE_CUSTOM STRING "0"
+// Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "8"
+// Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000"
+// Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "0"
+// Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1"
+// Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0"
+// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0"
+// Retrieval info: PRIVATE: USE_CLK0 STRING "1"
+// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1"
+// Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "0"
+// Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0"
+// Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0"
+// Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0"
+// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000"
+// Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0"
+// Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0"
+// Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0"
+// Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz"
+// Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz"
+// Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0"
+// Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1"
+// Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "e0"
+// Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1"
+// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1"
+// Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1"
+// Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0"
+// Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1"
+// Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0"
+// Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1"
+// Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0"
+// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "512.000"
+// Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "0"
+// Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz"
+// Retrieval info: PRIVATE: PLL_ENA_CHECK STRING "0"
+// Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "64.000"
+// Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0"
+// Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1"
+// Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "100.000"
+// Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0"
+// Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0"
+// Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0"
+// Retrieval info: PRIVATE: DEV_FAMILY STRING "Cyclone"
+// Retrieval info: PRIVATE: LOCK_LOSS_SWITCHOVER_CHECK STRING "0"
+// Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1"
+// Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "0"
+// Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low"
+// Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "0"
+// Retrieval info: PRIVATE: USE_CLKENA0 STRING "0"
+// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg"
+// Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0"
+// Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0"
+// Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0"
+// Retrieval info: PRIVATE: DEVICE_FAMILY NUMERIC "11"
+// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
+// Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50"
+// Retrieval info: CONSTANT: LPM_TYPE STRING "altpll"
+// Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "2"
+// Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "15625"
+// Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "1"
+// Retrieval info: CONSTANT: PLL_TYPE STRING "AUTO"
+// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone"
+// Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL"
+// Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0"
+// Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0"
+// Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT VCC "c0"
+// Retrieval info: USED_PORT: @clk 0 0 6 0 OUTPUT VCC "@clk[5..0]"
+// Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT GND "inclk0"
+// Retrieval info: USED_PORT: @extclk 0 0 4 0 OUTPUT VCC "@extclk[3..0]"
+// Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0
+// Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0
+// Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0
+// Retrieval info: GEN_FILE: TYPE_NORMAL clk_doubler.v TRUE FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL clk_doubler.inc FALSE FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL clk_doubler.cmp FALSE FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL clk_doubler.bsf FALSE FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL clk_doubler_inst.v FALSE FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL clk_doubler_bb.v TRUE FALSE
diff --git a/usrp/fpga/megacells/clk_doubler_bb.v b/usrp/fpga/megacells/clk_doubler_bb.v
new file mode 100644 (file)
index 0000000..48c52e7
--- /dev/null
@@ -0,0 +1,143 @@
+// megafunction wizard: %ALTPLL%VBB%
+// GENERATION: STANDARD
+// VERSION: WM1.0
+// MODULE: altpll 
+
+// ============================================================
+// File Name: clk_doubler.v
+// Megafunction Name(s):
+//                     altpll
+// ============================================================
+// ************************************************************
+// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
+//
+// 4.2 Build 156 11/29/2004 SJ Web Edition
+// ************************************************************
+
+//Copyright (C) 1991-2004 Altera Corporation
+//Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
+//support information,  device programming or simulation file,  and any other
+//associated  documentation or information  provided by  Altera  or a partner
+//under  Altera's   Megafunction   Partnership   Program  may  be  used  only
+//to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
+//other  use  of such  megafunction  design,  netlist,  support  information,
+//device programming or simulation file,  or any other  related documentation
+//or information  is prohibited  for  any  other purpose,  including, but not
+//limited to  modification,  reverse engineering,  de-compiling, or use  with
+//any other  silicon devices,  unless such use is  explicitly  licensed under
+//a separate agreement with  Altera  or a megafunction partner.  Title to the
+//intellectual property,  including patents,  copyrights,  trademarks,  trade
+//secrets,  or maskworks,  embodied in any such megafunction design, netlist,
+//support  information,  device programming or simulation file,  or any other
+//related documentation or information provided by  Altera  or a megafunction
+//partner, remains with Altera, the megafunction partner, or their respective
+//licensors. No other licenses, including any licenses needed under any third
+//party's intellectual property, are provided herein.
+
+module clk_doubler (
+       inclk0,
+       c0);
+
+       input     inclk0;
+       output    c0;
+
+endmodule
+
+// ============================================================
+// CNX file retrieval info
+// ============================================================
+// Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0"
+// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg"
+// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz"
+// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz"
+// Retrieval info: PRIVATE: SPREAD_USE STRING "0"
+// Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0"
+// Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1"
+// Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575"
+// Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0"
+// Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000"
+// Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000"
+// Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "2"
+// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "0"
+// Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500"
+// Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "0"
+// Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "0"
+// Retrieval info: PRIVATE: STICKY_CLK0 STRING "1"
+// Retrieval info: PRIVATE: BANDWIDTH STRING "1.000"
+// Retrieval info: PRIVATE: BANDWIDTH_USE_CUSTOM STRING "0"
+// Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "8"
+// Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000"
+// Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "0"
+// Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1"
+// Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0"
+// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0"
+// Retrieval info: PRIVATE: USE_CLK0 STRING "1"
+// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1"
+// Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "0"
+// Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0"
+// Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0"
+// Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0"
+// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000"
+// Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0"
+// Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0"
+// Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0"
+// Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz"
+// Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz"
+// Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0"
+// Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1"
+// Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "e0"
+// Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1"
+// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1"
+// Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1"
+// Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0"
+// Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1"
+// Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0"
+// Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1"
+// Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0"
+// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "512.000"
+// Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "0"
+// Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz"
+// Retrieval info: PRIVATE: PLL_ENA_CHECK STRING "0"
+// Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "64.000"
+// Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0"
+// Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1"
+// Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "100.000"
+// Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0"
+// Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0"
+// Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0"
+// Retrieval info: PRIVATE: DEV_FAMILY STRING "Cyclone"
+// Retrieval info: PRIVATE: LOCK_LOSS_SWITCHOVER_CHECK STRING "0"
+// Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1"
+// Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "0"
+// Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low"
+// Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "0"
+// Retrieval info: PRIVATE: USE_CLKENA0 STRING "0"
+// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg"
+// Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0"
+// Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0"
+// Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0"
+// Retrieval info: PRIVATE: DEVICE_FAMILY NUMERIC "11"
+// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
+// Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50"
+// Retrieval info: CONSTANT: LPM_TYPE STRING "altpll"
+// Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "2"
+// Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "15625"
+// Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "1"
+// Retrieval info: CONSTANT: PLL_TYPE STRING "AUTO"
+// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone"
+// Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL"
+// Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0"
+// Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0"
+// Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT VCC "c0"
+// Retrieval info: USED_PORT: @clk 0 0 6 0 OUTPUT VCC "@clk[5..0]"
+// Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT GND "inclk0"
+// Retrieval info: USED_PORT: @extclk 0 0 4 0 OUTPUT VCC "@extclk[3..0]"
+// Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0
+// Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0
+// Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0
+// Retrieval info: GEN_FILE: TYPE_NORMAL clk_doubler.v TRUE FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL clk_doubler.inc FALSE FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL clk_doubler.cmp FALSE FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL clk_doubler.bsf FALSE FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL clk_doubler_inst.v FALSE FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL clk_doubler_bb.v TRUE FALSE
diff --git a/usrp/fpga/megacells/dspclkpll.v b/usrp/fpga/megacells/dspclkpll.v
new file mode 100644 (file)
index 0000000..81e6221
--- /dev/null
@@ -0,0 +1,237 @@
+// megafunction wizard: %ALTPLL%
+// GENERATION: STANDARD
+// VERSION: WM1.0
+// MODULE: altpll 
+
+// ============================================================
+// File Name: dspclkpll.v
+// Megafunction Name(s):
+//                     altpll
+// ============================================================
+// ************************************************************
+// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
+//
+// 4.0 Build 214 3/25/2004 SP 1 SJ Web Edition
+// ************************************************************
+
+
+//Copyright (C) 1991-2004 Altera Corporation
+//Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
+//support information,  device programming or simulation file,  and any other
+//associated  documentation or information  provided by  Altera  or a partner
+//under  Altera's   Megafunction   Partnership   Program  may  be  used  only
+//to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
+//other  use  of such  megafunction  design,  netlist,  support  information,
+//device programming or simulation file,  or any other  related documentation
+//or information  is prohibited  for  any  other purpose,  including, but not
+//limited to  modification,  reverse engineering,  de-compiling, or use  with
+//any other  silicon devices,  unless such use is  explicitly  licensed under
+//a separate agreement with  Altera  or a megafunction partner.  Title to the
+//intellectual property,  including patents,  copyrights,  trademarks,  trade
+//secrets,  or maskworks,  embodied in any such megafunction design, netlist,
+//support  information,  device programming or simulation file,  or any other
+//related documentation or information provided by  Altera  or a megafunction
+//partner, remains with Altera, the megafunction partner, or their respective
+//licensors. No other licenses, including any licenses needed under any third
+//party's intellectual property, are provided herein.
+
+
+// synopsys translate_off
+`timescale 1 ps / 1 ps
+// synopsys translate_on
+module dspclkpll (
+       inclk0,
+       c0,
+       c1);
+
+       input     inclk0;
+       output    c0;
+       output    c1;
+
+       wire [5:0] sub_wire0;
+       wire [0:0] sub_wire5 = 1'h0;
+       wire [1:1] sub_wire2 = sub_wire0[1:1];
+       wire [0:0] sub_wire1 = sub_wire0[0:0];
+       wire  c0 = sub_wire1;
+       wire  c1 = sub_wire2;
+       wire  sub_wire3 = inclk0;
+       wire [1:0] sub_wire4 = {sub_wire5, sub_wire3};
+
+       altpll  altpll_component (
+                               .inclk (sub_wire4),
+                               .clk (sub_wire0)
+                               // synopsys translate_off
+,
+                               .fbin (),
+                               .pllena (),
+                               .clkswitch (),
+                               .areset (),
+                               .pfdena (),
+                               .clkena (),
+                               .extclkena (),
+                               .scanclk (),
+                               .scanaclr (),
+                               .scandata (),
+                               .scanread (),
+                               .scanwrite (),
+                               .extclk (),
+                               .clkbad (),
+                               .activeclock (),
+                               .locked (),
+                               .clkloss (),
+                               .scandataout (),
+                               .scandone (),
+                               .sclkout1 (),
+                               .sclkout0 (),
+                               .enable0 (),
+                               .enable1 ()
+                               // synopsys translate_on
+
+);
+       defparam
+               altpll_component.clk1_divide_by = 1,
+               altpll_component.clk1_phase_shift = "0",
+               altpll_component.clk0_duty_cycle = 50,
+               altpll_component.lpm_type = "altpll",
+               altpll_component.clk0_multiply_by = 1,
+               altpll_component.inclk0_input_frequency = 15625,
+               altpll_component.clk0_divide_by = 1,
+               altpll_component.clk1_duty_cycle = 50,
+               altpll_component.pll_type = "AUTO",
+               altpll_component.clk1_multiply_by = 2,
+               altpll_component.clk0_time_delay = "0",
+               altpll_component.intended_device_family = "Cyclone",
+               altpll_component.operation_mode = "NORMAL",
+               altpll_component.compensate_clock = "CLK0",
+               altpll_component.clk1_time_delay = "0",
+               altpll_component.clk0_phase_shift = "0";
+
+
+endmodule
+
+// ============================================================
+// CNX file retrieval info
+// ============================================================
+// Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0"
+// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg"
+// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz"
+// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz"
+// Retrieval info: PRIVATE: SPREAD_USE STRING "0"
+// Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0"
+// Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1"
+// Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575"
+// Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0"
+// Retrieval info: PRIVATE: MIRROR_CLK1 STRING "0"
+// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT1 STRING "deg"
+// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT1 STRING "MHz"
+// Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000"
+// Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000"
+// Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "1"
+// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "0"
+// Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500"
+// Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "0"
+// Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "0"
+// Retrieval info: PRIVATE: DUTY_CYCLE1 STRING "50.00000000"
+// Retrieval info: PRIVATE: PHASE_SHIFT1 STRING "0.00000000"
+// Retrieval info: PRIVATE: MULT_FACTOR1 NUMERIC "2"
+// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE1 STRING "0"
+// Retrieval info: PRIVATE: TIME_SHIFT0 STRING "0.00000000"
+// Retrieval info: PRIVATE: STICKY_CLK0 STRING "1"
+// Retrieval info: PRIVATE: BANDWIDTH STRING "1.000"
+// Retrieval info: PRIVATE: BANDWIDTH_USE_CUSTOM STRING "0"
+// Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "8"
+// Retrieval info: PRIVATE: TIME_SHIFT1 STRING "0.00000000"
+// Retrieval info: PRIVATE: STICKY_CLK1 STRING "1"
+// Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000"
+// Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "0"
+// Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1"
+// Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0"
+// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0"
+// Retrieval info: PRIVATE: USE_CLK0 STRING "1"
+// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1"
+// Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "0"
+// Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0"
+// Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0"
+// Retrieval info: PRIVATE: USE_CLK1 STRING "1"
+// Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0"
+// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000"
+// Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0"
+// Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0"
+// Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0"
+// Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz"
+// Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz"
+// Retrieval info: PRIVATE: MEGAFN_PORT_INFO_0 STRING "inclk;fbin;pllena;clkswitch;areset"
+// Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0"
+// Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1"
+// Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "e0"
+// Retrieval info: PRIVATE: MEGAFN_PORT_INFO_1 STRING "pfdena;clkena;extclkena;scanclk;scanaclr"
+// Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1"
+// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1"
+// Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1"
+// Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0"
+// Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1"
+// Retrieval info: PRIVATE: MEGAFN_PORT_INFO_2 STRING "scandata;scanread;scanwrite;clk;extclk"
+// Retrieval info: PRIVATE: DIV_FACTOR1 NUMERIC "1"
+// Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0"
+// Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1"
+// Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0"
+// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "512.000"
+// Retrieval info: PRIVATE: MEGAFN_PORT_INFO_3 STRING "clkbad;activeclock;locked;clkloss;scandataout"
+// Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "0"
+// Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz"
+// Retrieval info: PRIVATE: PLL_ENA_CHECK STRING "0"
+// Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "64.000"
+// Retrieval info: PRIVATE: MEGAFN_PORT_INFO_4 STRING "scandone;sclkout1;sclkout0;enable0;enable1"
+// Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0"
+// Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1"
+// Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "100.000"
+// Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0"
+// Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0"
+// Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0"
+// Retrieval info: PRIVATE: DEV_FAMILY STRING "Cyclone"
+// Retrieval info: PRIVATE: OUTPUT_FREQ1 STRING "100.000"
+// Retrieval info: PRIVATE: LOCK_LOSS_SWITCHOVER_CHECK STRING "0"
+// Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1"
+// Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "0"
+// Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low"
+// Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "0"
+// Retrieval info: PRIVATE: USE_CLKENA0 STRING "0"
+// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg"
+// Retrieval info: PRIVATE: USE_CLKENA1 STRING "0"
+// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT1 STRING "deg"
+// Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0"
+// Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0"
+// Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0"
+// Retrieval info: PRIVATE: DEVICE_FAMILY NUMERIC "11"
+// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
+// Retrieval info: CONSTANT: CLK1_DIVIDE_BY NUMERIC "1"
+// Retrieval info: CONSTANT: CLK1_PHASE_SHIFT STRING "0"
+// Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50"
+// Retrieval info: CONSTANT: LPM_TYPE STRING "altpll"
+// Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "1"
+// Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "15625"
+// Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "1"
+// Retrieval info: CONSTANT: CLK1_DUTY_CYCLE NUMERIC "50"
+// Retrieval info: CONSTANT: PLL_TYPE STRING "AUTO"
+// Retrieval info: CONSTANT: CLK1_MULTIPLY_BY NUMERIC "2"
+// Retrieval info: CONSTANT: CLK0_TIME_DELAY STRING "0"
+// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone"
+// Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL"
+// Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0"
+// Retrieval info: CONSTANT: CLK1_TIME_DELAY STRING "0"
+// Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0"
+// Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT VCC "c0"
+// Retrieval info: USED_PORT: @clk 0 0 6 0 OUTPUT VCC "@clk[5..0]"
+// Retrieval info: USED_PORT: c1 0 0 0 0 OUTPUT VCC "c1"
+// Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT GND "inclk0"
+// Retrieval info: USED_PORT: @extclk 0 0 4 0 OUTPUT VCC "@extclk[3..0]"
+// Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0
+// Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0
+// Retrieval info: CONNECT: c1 0 0 0 0 @clk 0 0 1 1
+// Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0
+// Retrieval info: GEN_FILE: TYPE_NORMAL dspclkpll.v TRUE FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL dspclkpll.inc FALSE FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL dspclkpll.cmp FALSE FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL dspclkpll.bsf FALSE FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL dspclkpll_inst.v FALSE FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL dspclkpll_bb.v TRUE FALSE
diff --git a/usrp/fpga/megacells/dspclkpll_bb.v b/usrp/fpga/megacells/dspclkpll_bb.v
new file mode 100644 (file)
index 0000000..489be7b
--- /dev/null
@@ -0,0 +1,31 @@
+//Copyright (C) 1991-2004 Altera Corporation
+//Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
+//support information,  device programming or simulation file,  and any other
+//associated  documentation or information  provided by  Altera  or a partner
+//under  Altera's   Megafunction   Partnership   Program  may  be  used  only
+//to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
+//other  use  of such  megafunction  design,  netlist,  support  information,
+//device programming or simulation file,  or any other  related documentation
+//or information  is prohibited  for  any  other purpose,  including, but not
+//limited to  modification,  reverse engineering,  de-compiling, or use  with
+//any other  silicon devices,  unless such use is  explicitly  licensed under
+//a separate agreement with  Altera  or a megafunction partner.  Title to the
+//intellectual property,  including patents,  copyrights,  trademarks,  trade
+//secrets,  or maskworks,  embodied in any such megafunction design, netlist,
+//support  information,  device programming or simulation file,  or any other
+//related documentation or information provided by  Altera  or a megafunction
+//partner, remains with Altera, the megafunction partner, or their respective
+//licensors. No other licenses, including any licenses needed under any third
+//party's intellectual property, are provided herein.
+
+module dspclkpll (
+       inclk0,
+       c0,
+       c1);
+
+       input     inclk0;
+       output    c0;
+       output    c1;
+
+endmodule
+
diff --git a/usrp/fpga/megacells/fifo_1kx16.bsf b/usrp/fpga/megacells/fifo_1kx16.bsf
new file mode 100755 (executable)
index 0000000..2de8081
--- /dev/null
@@ -0,0 +1,107 @@
+/*\r
+WARNING: Do NOT edit the input and output ports in this file in a text\r
+editor if you plan to continue editing the block that represents it in\r
+the Block Editor! File corruption is VERY likely to occur.\r
+*/\r
+/*\r
+Copyright (C) 1991-2006 Altera Corporation\r
+Your use of Altera Corporation's design tools, logic functions \r
+and other software and tools, and its AMPP partner logic \r
+functions, and any output files any of the foregoing \r
+(including device programming or simulation files), and any \r
+associated documentation or information are expressly subject \r
+to the terms and conditions of the Altera Program License \r
+Subscription Agreement, Altera MegaCore Function License \r
+Agreement, or other applicable license agreement, including, \r
+without limitation, that your use is for the sole purpose of \r
+programming logic devices manufactured by Altera and sold by \r
+Altera or its authorized distributors.  Please refer to the \r
+applicable agreement for further details.\r
+*/\r
+(header "symbol" (version "1.1"))\r
+(symbol\r
+       (rect 0 0 160 160)\r
+       (text "fifo_1kx16" (rect 51 1 119 17)(font "Arial" (font_size 10)))\r
+       (text "inst" (rect 8 144 25 156)(font "Arial" ))\r
+       (port\r
+               (pt 0 32)\r
+               (input)\r
+               (text "data[15..0]" (rect 0 0 60 14)(font "Arial" (font_size 8)))\r
+               (text "data[15..0]" (rect 20 26 71 39)(font "Arial" (font_size 8)))\r
+               (line (pt 0 32)(pt 16 32)(line_width 3))\r
+       )\r
+       (port\r
+               (pt 0 56)\r
+               (input)\r
+               (text "wrreq" (rect 0 0 35 14)(font "Arial" (font_size 8)))\r
+               (text "wrreq" (rect 20 50 45 63)(font "Arial" (font_size 8)))\r
+               (line (pt 0 56)(pt 16 56)(line_width 1))\r
+       )\r
+       (port\r
+               (pt 0 72)\r
+               (input)\r
+               (text "rdreq" (rect 0 0 30 14)(font "Arial" (font_size 8)))\r
+               (text "rdreq" (rect 20 66 44 79)(font "Arial" (font_size 8)))\r
+               (line (pt 0 72)(pt 16 72)(line_width 1))\r
+       )\r
+       (port\r
+               (pt 0 96)\r
+               (input)\r
+               (text "clock" (rect 0 0 29 14)(font "Arial" (font_size 8)))\r
+               (text "clock" (rect 26 90 49 103)(font "Arial" (font_size 8)))\r
+               (line (pt 0 96)(pt 16 96)(line_width 1))\r
+       )\r
+       (port\r
+               (pt 0 128)\r
+               (input)\r
+               (text "aclr" (rect 0 0 21 14)(font "Arial" (font_size 8)))\r
+               (text "aclr" (rect 20 122 37 135)(font "Arial" (font_size 8)))\r
+               (line (pt 0 128)(pt 16 128)(line_width 1))\r
+       )\r
+       (port\r
+               (pt 160 32)\r
+               (output)\r
+               (text "q[15..0]" (rect 0 0 42 14)(font "Arial" (font_size 8)))\r
+               (text "q[15..0]" (rect 105 26 141 39)(font "Arial" (font_size 8)))\r
+               (line (pt 160 32)(pt 144 32)(line_width 3))\r
+       )\r
+       (port\r
+               (pt 160 56)\r
+               (output)\r
+               (text "full" (rect 0 0 16 14)(font "Arial" (font_size 8)))\r
+               (text "full" (rect 127 50 142 63)(font "Arial" (font_size 8)))\r
+               (line (pt 160 56)(pt 144 56)(line_width 1))\r
+       )\r
+       (port\r
+               (pt 160 72)\r
+               (output)\r
+               (text "empty" (rect 0 0 34 14)(font "Arial" (font_size 8)))\r
+               (text "empty" (rect 112 66 141 79)(font "Arial" (font_size 8)))\r
+               (line (pt 160 72)(pt 144 72)(line_width 1))\r
+       )\r
+       (port\r
+               (pt 160 88)\r
+               (output)\r
+               (text "almost_empty" (rect 0 0 77 14)(font "Arial" (font_size 8)))\r
+               (text "almost_empty" (rect 75 82 141 95)(font "Arial" (font_size 8)))\r
+               (line (pt 160 88)(pt 144 88)(line_width 1))\r
+       )\r
+       (port\r
+               (pt 160 104)\r
+               (output)\r
+               (text "usedw[9..0]" (rect 0 0 68 14)(font "Arial" (font_size 8)))\r
+               (text "usedw[9..0]" (rect 83 98 136 111)(font "Arial" (font_size 8)))\r
+               (line (pt 160 104)(pt 144 104)(line_width 3))\r
+       )\r
+       (drawing\r
+               (text "16 bits x 1024 words" (rect 58 132 144 144)(font "Arial" ))\r
+               (text "almost_empty < 504" (rect 58 122 144 134)(font "Arial" ))\r
+               (line (pt 16 16)(pt 144 16)(line_width 1))\r
+               (line (pt 144 16)(pt 144 144)(line_width 1))\r
+               (line (pt 144 144)(pt 16 144)(line_width 1))\r
+               (line (pt 16 144)(pt 16 16)(line_width 1))\r
+               (line (pt 16 116)(pt 144 116)(line_width 1))\r
+               (line (pt 16 90)(pt 22 96)(line_width 1))\r
+               (line (pt 22 96)(pt 16 102)(line_width 1))\r
+       )\r
+)\r
diff --git a/usrp/fpga/megacells/fifo_1kx16.cmp b/usrp/fpga/megacells/fifo_1kx16.cmp
new file mode 100755 (executable)
index 0000000..9b2c2c0
--- /dev/null
@@ -0,0 +1,30 @@
+--Copyright (C) 1991-2006 Altera Corporation\r
+--Your use of Altera Corporation's design tools, logic functions \r
+--and other software and tools, and its AMPP partner logic \r
+--functions, and any output files any of the foregoing \r
+--(including device programming or simulation files), and any \r
+--associated documentation or information are expressly subject \r
+--to the terms and conditions of the Altera Program License \r
+--Subscription Agreement, Altera MegaCore Function License \r
+--Agreement, or other applicable license agreement, including, \r
+--without limitation, that your use is for the sole purpose of \r
+--programming logic devices manufactured by Altera and sold by \r
+--Altera or its authorized distributors.  Please refer to the \r
+--applicable agreement for further details.\r
+\r
+\r
+component fifo_1kx16\r
+       PORT\r
+       (\r
+               aclr            : IN STD_LOGIC ;\r
+               clock           : IN STD_LOGIC ;\r
+               data            : IN STD_LOGIC_VECTOR (15 DOWNTO 0);\r
+               rdreq           : IN STD_LOGIC ;\r
+               wrreq           : IN STD_LOGIC ;\r
+               almost_empty            : OUT STD_LOGIC ;\r
+               empty           : OUT STD_LOGIC ;\r
+               full            : OUT STD_LOGIC ;\r
+               q               : OUT STD_LOGIC_VECTOR (15 DOWNTO 0);\r
+               usedw           : OUT STD_LOGIC_VECTOR (9 DOWNTO 0)\r
+       );\r
+end component;\r
diff --git a/usrp/fpga/megacells/fifo_1kx16.inc b/usrp/fpga/megacells/fifo_1kx16.inc
new file mode 100755 (executable)
index 0000000..0b70afe
--- /dev/null
@@ -0,0 +1,31 @@
+--Copyright (C) 1991-2006 Altera Corporation\r
+--Your use of Altera Corporation's design tools, logic functions \r
+--and other software and tools, and its AMPP partner logic \r
+--functions, and any output files any of the foregoing \r
+--(including device programming or simulation files), and any \r
+--associated documentation or information are expressly subject \r
+--to the terms and conditions of the Altera Program License \r
+--Subscription Agreement, Altera MegaCore Function License \r
+--Agreement, or other applicable license agreement, including, \r
+--without limitation, that your use is for the sole purpose of \r
+--programming logic devices manufactured by Altera and sold by \r
+--Altera or its authorized distributors.  Please refer to the \r
+--applicable agreement for further details.\r
+\r
+\r
+FUNCTION fifo_1kx16 \r
+(\r
+       aclr,\r
+       clock,\r
+       data[15..0],\r
+       rdreq,\r
+       wrreq\r
+)\r
+\r
+RETURNS (\r
+       almost_empty,\r
+       empty,\r
+       full,\r
+       q[15..0],\r
+       usedw[9..0]\r
+);\r
diff --git a/usrp/fpga/megacells/fifo_1kx16.v b/usrp/fpga/megacells/fifo_1kx16.v
new file mode 100755 (executable)
index 0000000..4f7e94e
--- /dev/null
@@ -0,0 +1,175 @@
+// megafunction wizard: %FIFO%\r
+// GENERATION: STANDARD\r
+// VERSION: WM1.0\r
+// MODULE: scfifo \r
+\r
+// ============================================================\r
+// File Name: fifo_1kx16.v\r
+// Megafunction Name(s):\r
+//                     scfifo\r
+// ============================================================\r
+// ************************************************************\r
+// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!\r
+//\r
+// 5.1 Build 213 01/19/2006 SP 1 SJ Web Edition\r
+// ************************************************************\r
+\r
+\r
+//Copyright (C) 1991-2006 Altera Corporation\r
+//Your use of Altera Corporation's design tools, logic functions \r
+//and other software and tools, and its AMPP partner logic \r
+//functions, and any output files any of the foregoing \r
+//(including device programming or simulation files), and any \r
+//associated documentation or information are expressly subject \r
+//to the terms and conditions of the Altera Program License \r
+//Subscription Agreement, Altera MegaCore Function License \r
+//Agreement, or other applicable license agreement, including, \r
+//without limitation, that your use is for the sole purpose of \r
+//programming logic devices manufactured by Altera and sold by \r
+//Altera or its authorized distributors.  Please refer to the \r
+//applicable agreement for further details.\r
+\r
+\r
+// synopsys translate_off\r
+`timescale 1 ps / 1 ps\r
+// synopsys translate_on\r
+module fifo_1kx16 (\r
+       aclr,\r
+       clock,\r
+       data,\r
+       rdreq,\r
+       wrreq,\r
+       almost_empty,\r
+       empty,\r
+       full,\r
+       q,\r
+       usedw);\r
+\r
+       input     aclr;\r
+       input     clock;\r
+       input   [15:0]  data;\r
+       input     rdreq;\r
+       input     wrreq;\r
+       output    almost_empty;\r
+       output    empty;\r
+       output    full;\r
+       output  [15:0]  q;\r
+       output  [9:0]  usedw;\r
+\r
+       wire [9:0] sub_wire0;\r
+       wire  sub_wire1;\r
+       wire  sub_wire2;\r
+       wire [15:0] sub_wire3;\r
+       wire  sub_wire4;\r
+       wire [9:0] usedw = sub_wire0[9:0];\r
+       wire  empty = sub_wire1;\r
+       wire  almost_empty = sub_wire2;\r
+       wire [15:0] q = sub_wire3[15:0];\r
+       wire  full = sub_wire4;\r
+\r
+       scfifo  scfifo_component (\r
+                               .rdreq (rdreq),\r
+                               .aclr (aclr),\r
+                               .clock (clock),\r
+                               .wrreq (wrreq),\r
+                               .data (data),\r
+                               .usedw (sub_wire0),\r
+                               .empty (sub_wire1),\r
+                               .almost_empty (sub_wire2),\r
+                               .q (sub_wire3),\r
+                               .full (sub_wire4)\r
+                               // synopsys translate_off\r
+                               ,\r
+                               .sclr (),\r
+                               .almost_full ()\r
+                               // synopsys translate_on\r
+                               );\r
+       defparam\r
+               scfifo_component.add_ram_output_register = "OFF",\r
+               scfifo_component.almost_empty_value = 504,\r
+               scfifo_component.intended_device_family = "Cyclone",\r
+               scfifo_component.lpm_hint = "RAM_BLOCK_TYPE=M4K",\r
+               scfifo_component.lpm_numwords = 1024,\r
+               scfifo_component.lpm_showahead = "OFF",\r
+               scfifo_component.lpm_type = "scfifo",\r
+               scfifo_component.lpm_width = 16,\r
+               scfifo_component.lpm_widthu = 10,\r
+               scfifo_component.overflow_checking = "ON",\r
+               scfifo_component.underflow_checking = "ON",\r
+               scfifo_component.use_eab = "ON";\r
+\r
+\r
+endmodule\r
+\r
+// ============================================================\r
+// CNX file retrieval info\r
+// ============================================================\r
+// Retrieval info: PRIVATE: AlmostEmpty NUMERIC "1"\r
+// Retrieval info: PRIVATE: AlmostEmptyThr NUMERIC "504"\r
+// Retrieval info: PRIVATE: AlmostFull NUMERIC "0"\r
+// Retrieval info: PRIVATE: AlmostFullThr NUMERIC "-1"\r
+// Retrieval info: PRIVATE: CLOCKS_ARE_SYNCHRONIZED NUMERIC "0"\r
+// Retrieval info: PRIVATE: Clock NUMERIC "0"\r
+// Retrieval info: PRIVATE: Depth NUMERIC "1024"\r
+// Retrieval info: PRIVATE: Empty NUMERIC "1"\r
+// Retrieval info: PRIVATE: Full NUMERIC "1"\r
+// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone"\r
+// Retrieval info: PRIVATE: LE_BasedFIFO NUMERIC "0"\r
+// Retrieval info: PRIVATE: LegacyRREQ NUMERIC "1"\r
+// Retrieval info: PRIVATE: MAX_DEPTH_BY_9 NUMERIC "0"\r
+// Retrieval info: PRIVATE: OVERFLOW_CHECKING NUMERIC "0"\r
+// Retrieval info: PRIVATE: Optimize NUMERIC "2"\r
+// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "2"\r
+// Retrieval info: PRIVATE: UNDERFLOW_CHECKING NUMERIC "0"\r
+// Retrieval info: PRIVATE: UsedW NUMERIC "1"\r
+// Retrieval info: PRIVATE: Width NUMERIC "16"\r
+// Retrieval info: PRIVATE: dc_aclr NUMERIC "0"\r
+// Retrieval info: PRIVATE: rsEmpty NUMERIC "1"\r
+// Retrieval info: PRIVATE: rsFull NUMERIC "0"\r
+// Retrieval info: PRIVATE: rsUsedW NUMERIC "0"\r
+// Retrieval info: PRIVATE: sc_aclr NUMERIC "1"\r
+// Retrieval info: PRIVATE: sc_sclr NUMERIC "0"\r
+// Retrieval info: PRIVATE: wsEmpty NUMERIC "0"\r
+// Retrieval info: PRIVATE: wsFull NUMERIC "1"\r
+// Retrieval info: PRIVATE: wsUsedW NUMERIC "0"\r
+// Retrieval info: CONSTANT: ADD_RAM_OUTPUT_REGISTER STRING "OFF"\r
+// Retrieval info: CONSTANT: ALMOST_EMPTY_VALUE NUMERIC "504"\r
+// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone"\r
+// Retrieval info: CONSTANT: LPM_HINT STRING "RAM_BLOCK_TYPE=M4K"\r
+// Retrieval info: CONSTANT: LPM_NUMWORDS NUMERIC "1024"\r
+// Retrieval info: CONSTANT: LPM_SHOWAHEAD STRING "OFF"\r
+// Retrieval info: CONSTANT: LPM_TYPE STRING "scfifo"\r
+// Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "16"\r
+// Retrieval info: CONSTANT: LPM_WIDTHU NUMERIC "10"\r
+// Retrieval info: CONSTANT: OVERFLOW_CHECKING STRING "ON"\r
+// Retrieval info: CONSTANT: UNDERFLOW_CHECKING STRING "ON"\r
+// Retrieval info: CONSTANT: USE_EAB STRING "ON"\r
+// Retrieval info: USED_PORT: aclr 0 0 0 0 INPUT NODEFVAL aclr\r
+// Retrieval info: USED_PORT: almost_empty 0 0 0 0 OUTPUT NODEFVAL almost_empty\r
+// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL clock\r
+// Retrieval info: USED_PORT: data 0 0 16 0 INPUT NODEFVAL data[15..0]\r
+// Retrieval info: USED_PORT: empty 0 0 0 0 OUTPUT NODEFVAL empty\r
+// Retrieval info: USED_PORT: full 0 0 0 0 OUTPUT NODEFVAL full\r
+// Retrieval info: USED_PORT: q 0 0 16 0 OUTPUT NODEFVAL q[15..0]\r
+// Retrieval info: USED_PORT: rdreq 0 0 0 0 INPUT NODEFVAL rdreq\r
+// Retrieval info: USED_PORT: usedw 0 0 10 0 OUTPUT NODEFVAL usedw[9..0]\r
+// Retrieval info: USED_PORT: wrreq 0 0 0 0 INPUT NODEFVAL wrreq\r
+// Retrieval info: CONNECT: @data 0 0 16 0 data 0 0 16 0\r
+// Retrieval info: CONNECT: q 0 0 16 0 @q 0 0 16 0\r
+// Retrieval info: CONNECT: @wrreq 0 0 0 0 wrreq 0 0 0 0\r
+// Retrieval info: CONNECT: @rdreq 0 0 0 0 rdreq 0 0 0 0\r
+// Retrieval info: CONNECT: @clock 0 0 0 0 clock 0 0 0 0\r
+// Retrieval info: CONNECT: full 0 0 0 0 @full 0 0 0 0\r
+// Retrieval info: CONNECT: empty 0 0 0 0 @empty 0 0 0 0\r
+// Retrieval info: CONNECT: usedw 0 0 10 0 @usedw 0 0 10 0\r
+// Retrieval info: CONNECT: almost_empty 0 0 0 0 @almost_empty 0 0 0 0\r
+// Retrieval info: CONNECT: @aclr 0 0 0 0 aclr 0 0 0 0\r
+// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all\r
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_1kx16.v TRUE\r
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_1kx16.inc TRUE\r
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_1kx16.cmp TRUE\r
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_1kx16.bsf TRUE FALSE\r
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_1kx16_inst.v TRUE\r
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_1kx16_bb.v TRUE\r
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_1kx16_waveforms.html FALSE\r
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_1kx16_wave*.jpg FALSE\r
diff --git a/usrp/fpga/megacells/fifo_1kx16_bb.v b/usrp/fpga/megacells/fifo_1kx16_bb.v
new file mode 100755 (executable)
index 0000000..9d9912b
--- /dev/null
@@ -0,0 +1,127 @@
+// megafunction wizard: %FIFO%VBB%\r
+// GENERATION: STANDARD\r
+// VERSION: WM1.0\r
+// MODULE: scfifo \r
+\r
+// ============================================================\r
+// File Name: fifo_1kx16.v\r
+// Megafunction Name(s):\r
+//                     scfifo\r
+// ============================================================\r
+// ************************************************************\r
+// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!\r
+//\r
+// 5.1 Build 213 01/19/2006 SP 1 SJ Web Edition\r
+// ************************************************************\r
+\r
+//Copyright (C) 1991-2006 Altera Corporation\r
+//Your use of Altera Corporation's design tools, logic functions \r
+//and other software and tools, and its AMPP partner logic \r
+//functions, and any output files any of the foregoing \r
+//(including device programming or simulation files), and any \r
+//associated documentation or information are expressly subject \r
+//to the terms and conditions of the Altera Program License \r
+//Subscription Agreement, Altera MegaCore Function License \r
+//Agreement, or other applicable license agreement, including, \r
+//without limitation, that your use is for the sole purpose of \r
+//programming logic devices manufactured by Altera and sold by \r
+//Altera or its authorized distributors.  Please refer to the \r
+//applicable agreement for further details.\r
+\r
+module fifo_1kx16 (\r
+       aclr,\r
+       clock,\r
+       data,\r
+       rdreq,\r
+       wrreq,\r
+       almost_empty,\r
+       empty,\r
+       full,\r
+       q,\r
+       usedw);\r
+\r
+       input     aclr;\r
+       input     clock;\r
+       input   [15:0]  data;\r
+       input     rdreq;\r
+       input     wrreq;\r
+       output    almost_empty;\r
+       output    empty;\r
+       output    full;\r
+       output  [15:0]  q;\r
+       output  [9:0]  usedw;\r
+\r
+endmodule\r
+\r
+// ============================================================\r
+// CNX file retrieval info\r
+// ============================================================\r
+// Retrieval info: PRIVATE: AlmostEmpty NUMERIC "1"\r
+// Retrieval info: PRIVATE: AlmostEmptyThr NUMERIC "504"\r
+// Retrieval info: PRIVATE: AlmostFull NUMERIC "0"\r
+// Retrieval info: PRIVATE: AlmostFullThr NUMERIC "-1"\r
+// Retrieval info: PRIVATE: CLOCKS_ARE_SYNCHRONIZED NUMERIC "0"\r
+// Retrieval info: PRIVATE: Clock NUMERIC "0"\r
+// Retrieval info: PRIVATE: Depth NUMERIC "1024"\r
+// Retrieval info: PRIVATE: Empty NUMERIC "1"\r
+// Retrieval info: PRIVATE: Full NUMERIC "1"\r
+// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone"\r
+// Retrieval info: PRIVATE: LE_BasedFIFO NUMERIC "0"\r
+// Retrieval info: PRIVATE: LegacyRREQ NUMERIC "1"\r
+// Retrieval info: PRIVATE: MAX_DEPTH_BY_9 NUMERIC "0"\r
+// Retrieval info: PRIVATE: OVERFLOW_CHECKING NUMERIC "0"\r
+// Retrieval info: PRIVATE: Optimize NUMERIC "2"\r
+// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "2"\r
+// Retrieval info: PRIVATE: UNDERFLOW_CHECKING NUMERIC "0"\r
+// Retrieval info: PRIVATE: UsedW NUMERIC "1"\r
+// Retrieval info: PRIVATE: Width NUMERIC "16"\r
+// Retrieval info: PRIVATE: dc_aclr NUMERIC "0"\r
+// Retrieval info: PRIVATE: rsEmpty NUMERIC "1"\r
+// Retrieval info: PRIVATE: rsFull NUMERIC "0"\r
+// Retrieval info: PRIVATE: rsUsedW NUMERIC "0"\r
+// Retrieval info: PRIVATE: sc_aclr NUMERIC "1"\r
+// Retrieval info: PRIVATE: sc_sclr NUMERIC "0"\r
+// Retrieval info: PRIVATE: wsEmpty NUMERIC "0"\r
+// Retrieval info: PRIVATE: wsFull NUMERIC "1"\r
+// Retrieval info: PRIVATE: wsUsedW NUMERIC "0"\r
+// Retrieval info: CONSTANT: ADD_RAM_OUTPUT_REGISTER STRING "OFF"\r
+// Retrieval info: CONSTANT: ALMOST_EMPTY_VALUE NUMERIC "504"\r
+// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone"\r
+// Retrieval info: CONSTANT: LPM_HINT STRING "RAM_BLOCK_TYPE=M4K"\r
+// Retrieval info: CONSTANT: LPM_NUMWORDS NUMERIC "1024"\r
+// Retrieval info: CONSTANT: LPM_SHOWAHEAD STRING "OFF"\r
+// Retrieval info: CONSTANT: LPM_TYPE STRING "scfifo"\r
+// Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "16"\r
+// Retrieval info: CONSTANT: LPM_WIDTHU NUMERIC "10"\r
+// Retrieval info: CONSTANT: OVERFLOW_CHECKING STRING "ON"\r
+// Retrieval info: CONSTANT: UNDERFLOW_CHECKING STRING "ON"\r
+// Retrieval info: CONSTANT: USE_EAB STRING "ON"\r
+// Retrieval info: USED_PORT: aclr 0 0 0 0 INPUT NODEFVAL aclr\r
+// Retrieval info: USED_PORT: almost_empty 0 0 0 0 OUTPUT NODEFVAL almost_empty\r
+// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL clock\r
+// Retrieval info: USED_PORT: data 0 0 16 0 INPUT NODEFVAL data[15..0]\r
+// Retrieval info: USED_PORT: empty 0 0 0 0 OUTPUT NODEFVAL empty\r
+// Retrieval info: USED_PORT: full 0 0 0 0 OUTPUT NODEFVAL full\r
+// Retrieval info: USED_PORT: q 0 0 16 0 OUTPUT NODEFVAL q[15..0]\r
+// Retrieval info: USED_PORT: rdreq 0 0 0 0 INPUT NODEFVAL rdreq\r
+// Retrieval info: USED_PORT: usedw 0 0 10 0 OUTPUT NODEFVAL usedw[9..0]\r
+// Retrieval info: USED_PORT: wrreq 0 0 0 0 INPUT NODEFVAL wrreq\r
+// Retrieval info: CONNECT: @data 0 0 16 0 data 0 0 16 0\r
+// Retrieval info: CONNECT: q 0 0 16 0 @q 0 0 16 0\r
+// Retrieval info: CONNECT: @wrreq 0 0 0 0 wrreq 0 0 0 0\r
+// Retrieval info: CONNECT: @rdreq 0 0 0 0 rdreq 0 0 0 0\r
+// Retrieval info: CONNECT: @clock 0 0 0 0 clock 0 0 0 0\r
+// Retrieval info: CONNECT: full 0 0 0 0 @full 0 0 0 0\r
+// Retrieval info: CONNECT: empty 0 0 0 0 @empty 0 0 0 0\r
+// Retrieval info: CONNECT: usedw 0 0 10 0 @usedw 0 0 10 0\r
+// Retrieval info: CONNECT: almost_empty 0 0 0 0 @almost_empty 0 0 0 0\r
+// Retrieval info: CONNECT: @aclr 0 0 0 0 aclr 0 0 0 0\r
+// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all\r
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_1kx16.v TRUE\r
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_1kx16.inc TRUE\r
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_1kx16.cmp TRUE\r
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_1kx16.bsf TRUE FALSE\r
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_1kx16_inst.v TRUE\r
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_1kx16_bb.v TRUE\r
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_1kx16_waveforms.html FALSE\r
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_1kx16_wave*.jpg FALSE\r
diff --git a/usrp/fpga/megacells/fifo_1kx16_inst.v b/usrp/fpga/megacells/fifo_1kx16_inst.v
new file mode 100755 (executable)
index 0000000..73662de
--- /dev/null
@@ -0,0 +1,12 @@
+fifo_1kx16     fifo_1kx16_inst (\r
+       .aclr ( aclr_sig ),\r
+       .clock ( clock_sig ),\r
+       .data ( data_sig ),\r
+       .rdreq ( rdreq_sig ),\r
+       .wrreq ( wrreq_sig ),\r
+       .almost_empty ( almost_empty_sig ),\r
+       .empty ( empty_sig ),\r
+       .full ( full_sig ),\r
+       .q ( q_sig ),\r
+       .usedw ( usedw_sig )\r
+       );\r
diff --git a/usrp/fpga/megacells/fifo_2k.v b/usrp/fpga/megacells/fifo_2k.v
new file mode 100644 (file)
index 0000000..5e2a385
--- /dev/null
@@ -0,0 +1,3343 @@
+// megafunction wizard: %FIFO%CBX%
+// GENERATION: STANDARD
+// VERSION: WM1.0
+// MODULE: dcfifo 
+
+// ============================================================
+// File Name: fifo_2k.v
+// Megafunction Name(s):
+//                     dcfifo
+// ============================================================
+// ************************************************************
+// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
+//
+// 5.0 Build 168 06/22/2005 SP 1 SJ Web Edition
+// ************************************************************
+
+
+//Copyright (C) 1991-2005 Altera Corporation
+//Your use of Altera Corporation's design tools, logic functions 
+//and other software and tools, and its AMPP partner logic       
+//functions, and any output files any of the foregoing           
+//(including device programming or simulation files), and any    
+//associated documentation or information are expressly subject  
+//to the terms and conditions of the Altera Program License      
+//Subscription Agreement, Altera MegaCore Function License       
+//Agreement, or other applicable license agreement, including,   
+//without limitation, that your use is for the sole purpose of   
+//programming logic devices manufactured by Altera and sold by   
+//Altera or its authorized distributors.  Please refer to the    
+//applicable agreement for further details.
+
+
+//dcfifo ADD_RAM_OUTPUT_REGISTER="OFF" CLOCKS_ARE_SYNCHRONIZED="FALSE" DEVICE_FAMILY="Cyclone" LPM_NUMWORDS=2048 LPM_SHOWAHEAD="ON" LPM_WIDTH=16 LPM_WIDTHU=11 OVERFLOW_CHECKING="OFF" UNDERFLOW_CHECKING="OFF" USE_EAB="ON" aclr data q rdclk rdempty rdreq rdusedw wrclk wrfull wrreq wrusedw
+//VERSION_BEGIN 5.0 cbx_a_gray2bin 2004:03:06:00:52:20:SJ cbx_a_graycounter 2004:10:01:12:13:16:SJ cbx_altdpram 2004:11:30:11:29:56:SJ cbx_altsyncram 2005:03:24:13:58:56:SJ cbx_cycloneii 2004:12:20:14:28:52:SJ cbx_dcfifo 2005:03:07:17:11:14:SJ cbx_fifo_common 2004:12:13:14:26:24:SJ cbx_flex10ke 2002:10:18:16:54:38:SJ cbx_lpm_add_sub 2005:04:12:13:30:42:SJ cbx_lpm_compare 2004:11:30:11:30:40:SJ cbx_lpm_counter 2005:02:02:04:37:10:SJ cbx_lpm_decode 2004:12:13:14:19:12:SJ cbx_lpm_mux 2004:12:13:14:16:38:SJ cbx_mgl 2005:05:19:13:51:58:SJ cbx_scfifo 2005:03:10:10:52:20:SJ cbx_stratix 2005:06:02:09:53:04:SJ cbx_stratixii 2004:12:22:13:27:12:SJ cbx_util_mgl 2005:04:04:13:50:06:SJ  VERSION_END
+
+
+//a_gray2bin device_family="Cyclone" WIDTH=11 bin gray
+//VERSION_BEGIN 5.0 cbx_a_gray2bin 2004:03:06:00:52:20:SJ cbx_mgl 2005:05:19:13:51:58:SJ  VERSION_END
+
+//synthesis_resources = 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fifo_2k_a_gray2bin_8m4
+       ( 
+       bin,
+       gray) /* synthesis synthesis_clearbox=1 */;
+       output   [10:0]  bin;
+       input   [10:0]  gray;
+
+       wire  xor0;
+       wire  xor1;
+       wire  xor2;
+       wire  xor3;
+       wire  xor4;
+       wire  xor5;
+       wire  xor6;
+       wire  xor7;
+       wire  xor8;
+       wire  xor9;
+
+       assign
+               bin = {gray[10], xor9, xor8, xor7, xor6, xor5, xor4, xor3, xor2, xor1, xor0},
+               xor0 = (gray[0] ^ xor1),
+               xor1 = (gray[1] ^ xor2),
+               xor2 = (gray[2] ^ xor3),
+               xor3 = (gray[3] ^ xor4),
+               xor4 = (gray[4] ^ xor5),
+               xor5 = (gray[5] ^ xor6),
+               xor6 = (gray[6] ^ xor7),
+               xor7 = (gray[7] ^ xor8),
+               xor8 = (gray[8] ^ xor9),
+               xor9 = (gray[10] ^ gray[9]);
+endmodule //fifo_2k_a_gray2bin_8m4
+
+
+//a_graycounter DEVICE_FAMILY="Cyclone" WIDTH=11 aclr clock cnt_en q
+//VERSION_BEGIN 5.0 cbx_a_gray2bin 2004:03:06:00:52:20:SJ cbx_a_graycounter 2004:10:01:12:13:16:SJ cbx_cycloneii 2004:12:20:14:28:52:SJ cbx_flex10ke 2002:10:18:16:54:38:SJ cbx_mgl 2005:05:19:13:51:58:SJ cbx_stratix 2005:06:02:09:53:04:SJ cbx_stratixii 2004:12:22:13:27:12:SJ  VERSION_END
+
+//synthesis_resources = lut 12 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fifo_2k_a_graycounter_726
+       ( 
+       aclr,
+       clock,
+       cnt_en,
+       q) /* synthesis synthesis_clearbox=1 */;
+       input   aclr;
+       input   clock;
+       input   cnt_en;
+       output   [10:0]  q;
+
+       wire  [0:0]   wire_countera_0cout;
+       wire  [0:0]   wire_countera_1cout;
+       wire  [0:0]   wire_countera_2cout;
+       wire  [0:0]   wire_countera_3cout;
+       wire  [0:0]   wire_countera_4cout;
+       wire  [0:0]   wire_countera_5cout;
+       wire  [0:0]   wire_countera_6cout;
+       wire  [0:0]   wire_countera_7cout;
+       wire  [0:0]   wire_countera_8cout;
+       wire  [0:0]   wire_countera_9cout;
+       wire  [10:0]   wire_countera_regout;
+       wire  wire_parity_cout;
+       wire  wire_parity_regout;
+       wire  [10:0]  power_modified_counter_values;
+       wire sclr;
+       wire updown;
+
+       cyclone_lcell   countera_0
+       ( 
+       .aclr(aclr),
+       .cin(wire_parity_cout),
+       .clk(clock),
+       .combout(),
+       .cout(wire_countera_0cout[0:0]),
+       .dataa(cnt_en),
+       .datab(wire_countera_regout[0:0]),
+       .ena(1'b1),
+       .regout(wire_countera_regout[0:0]),
+       .sclr(sclr)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aload(1'b0),
+       .datac(1'b1),
+       .datad(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               countera_0.cin_used = "true",
+               countera_0.lut_mask = "c6a0",
+               countera_0.operation_mode = "arithmetic",
+               countera_0.sum_lutc_input = "cin",
+               countera_0.synch_mode = "on",
+               countera_0.lpm_type = "cyclone_lcell";
+       cyclone_lcell   countera_1
+       ( 
+       .aclr(aclr),
+       .cin(wire_countera_0cout[0:0]),
+       .clk(clock),
+       .combout(),
+       .cout(wire_countera_1cout[0:0]),
+       .dataa(power_modified_counter_values[0]),
+       .datab(power_modified_counter_values[1]),
+       .ena(1'b1),
+       .regout(wire_countera_regout[1:1]),
+       .sclr(sclr)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aload(1'b0),
+       .datac(1'b1),
+       .datad(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               countera_1.cin_used = "true",
+               countera_1.lut_mask = "6c50",
+               countera_1.operation_mode = "arithmetic",
+               countera_1.sum_lutc_input = "cin",
+               countera_1.synch_mode = "on",
+               countera_1.lpm_type = "cyclone_lcell";
+       cyclone_lcell   countera_2
+       ( 
+       .aclr(aclr),
+       .cin(wire_countera_1cout[0:0]),
+       .clk(clock),
+       .combout(),
+       .cout(wire_countera_2cout[0:0]),
+       .dataa(power_modified_counter_values[1]),
+       .datab(power_modified_counter_values[2]),
+       .ena(1'b1),
+       .regout(wire_countera_regout[2:2]),
+       .sclr(sclr)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aload(1'b0),
+       .datac(1'b1),
+       .datad(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               countera_2.cin_used = "true",
+               countera_2.lut_mask = "6c50",
+               countera_2.operation_mode = "arithmetic",
+               countera_2.sum_lutc_input = "cin",
+               countera_2.synch_mode = "on",
+               countera_2.lpm_type = "cyclone_lcell";
+       cyclone_lcell   countera_3
+       ( 
+       .aclr(aclr),
+       .cin(wire_countera_2cout[0:0]),
+       .clk(clock),
+       .combout(),
+       .cout(wire_countera_3cout[0:0]),
+       .dataa(power_modified_counter_values[2]),
+       .datab(power_modified_counter_values[3]),
+       .ena(1'b1),
+       .regout(wire_countera_regout[3:3]),
+       .sclr(sclr)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aload(1'b0),
+       .datac(1'b1),
+       .datad(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               countera_3.cin_used = "true",
+               countera_3.lut_mask = "6c50",
+               countera_3.operation_mode = "arithmetic",
+               countera_3.sum_lutc_input = "cin",
+               countera_3.synch_mode = "on",
+               countera_3.lpm_type = "cyclone_lcell";
+       cyclone_lcell   countera_4
+       ( 
+       .aclr(aclr),
+       .cin(wire_countera_3cout[0:0]),
+       .clk(clock),
+       .combout(),
+       .cout(wire_countera_4cout[0:0]),
+       .dataa(power_modified_counter_values[3]),
+       .datab(power_modified_counter_values[4]),
+       .ena(1'b1),
+       .regout(wire_countera_regout[4:4]),
+       .sclr(sclr)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aload(1'b0),
+       .datac(1'b1),
+       .datad(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               countera_4.cin_used = "true",
+               countera_4.lut_mask = "6c50",
+               countera_4.operation_mode = "arithmetic",
+               countera_4.sum_lutc_input = "cin",
+               countera_4.synch_mode = "on",
+               countera_4.lpm_type = "cyclone_lcell";
+       cyclone_lcell   countera_5
+       ( 
+       .aclr(aclr),
+       .cin(wire_countera_4cout[0:0]),
+       .clk(clock),
+       .combout(),
+       .cout(wire_countera_5cout[0:0]),
+       .dataa(power_modified_counter_values[4]),
+       .datab(power_modified_counter_values[5]),
+       .ena(1'b1),
+       .regout(wire_countera_regout[5:5]),
+       .sclr(sclr)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aload(1'b0),
+       .datac(1'b1),
+       .datad(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               countera_5.cin_used = "true",
+               countera_5.lut_mask = "6c50",
+               countera_5.operation_mode = "arithmetic",
+               countera_5.sum_lutc_input = "cin",
+               countera_5.synch_mode = "on",
+               countera_5.lpm_type = "cyclone_lcell";
+       cyclone_lcell   countera_6
+       ( 
+       .aclr(aclr),
+       .cin(wire_countera_5cout[0:0]),
+       .clk(clock),
+       .combout(),
+       .cout(wire_countera_6cout[0:0]),
+       .dataa(power_modified_counter_values[5]),
+       .datab(power_modified_counter_values[6]),
+       .ena(1'b1),
+       .regout(wire_countera_regout[6:6]),
+       .sclr(sclr)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aload(1'b0),
+       .datac(1'b1),
+       .datad(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               countera_6.cin_used = "true",
+               countera_6.lut_mask = "6c50",
+               countera_6.operation_mode = "arithmetic",
+               countera_6.sum_lutc_input = "cin",
+               countera_6.synch_mode = "on",
+               countera_6.lpm_type = "cyclone_lcell";
+       cyclone_lcell   countera_7
+       ( 
+       .aclr(aclr),
+       .cin(wire_countera_6cout[0:0]),
+       .clk(clock),
+       .combout(),
+       .cout(wire_countera_7cout[0:0]),
+       .dataa(power_modified_counter_values[6]),
+       .datab(power_modified_counter_values[7]),
+       .ena(1'b1),
+       .regout(wire_countera_regout[7:7]),
+       .sclr(sclr)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aload(1'b0),
+       .datac(1'b1),
+       .datad(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               countera_7.cin_used = "true",
+               countera_7.lut_mask = "6c50",
+               countera_7.operation_mode = "arithmetic",
+               countera_7.sum_lutc_input = "cin",
+               countera_7.synch_mode = "on",
+               countera_7.lpm_type = "cyclone_lcell";
+       cyclone_lcell   countera_8
+       ( 
+       .aclr(aclr),
+       .cin(wire_countera_7cout[0:0]),
+       .clk(clock),
+       .combout(),
+       .cout(wire_countera_8cout[0:0]),
+       .dataa(power_modified_counter_values[7]),
+       .datab(power_modified_counter_values[8]),
+       .ena(1'b1),
+       .regout(wire_countera_regout[8:8]),
+       .sclr(sclr)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aload(1'b0),
+       .datac(1'b1),
+       .datad(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               countera_8.cin_used = "true",
+               countera_8.lut_mask = "6c50",
+               countera_8.operation_mode = "arithmetic",
+               countera_8.sum_lutc_input = "cin",
+               countera_8.synch_mode = "on",
+               countera_8.lpm_type = "cyclone_lcell";
+       cyclone_lcell   countera_9
+       ( 
+       .aclr(aclr),
+       .cin(wire_countera_8cout[0:0]),
+       .clk(clock),
+       .combout(),
+       .cout(wire_countera_9cout[0:0]),
+       .dataa(power_modified_counter_values[8]),
+       .datab(power_modified_counter_values[9]),
+       .ena(1'b1),
+       .regout(wire_countera_regout[9:9]),
+       .sclr(sclr)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aload(1'b0),
+       .datac(1'b1),
+       .datad(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               countera_9.cin_used = "true",
+               countera_9.lut_mask = "6c50",
+               countera_9.operation_mode = "arithmetic",
+               countera_9.sum_lutc_input = "cin",
+               countera_9.synch_mode = "on",
+               countera_9.lpm_type = "cyclone_lcell";
+       cyclone_lcell   countera_10
+       ( 
+       .aclr(aclr),
+       .cin(wire_countera_9cout[0:0]),
+       .clk(clock),
+       .combout(),
+       .cout(),
+       .dataa(power_modified_counter_values[10]),
+       .ena(1'b1),
+       .regout(wire_countera_regout[10:10]),
+       .sclr(sclr)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aload(1'b0),
+       .datab(1'b1),
+       .datac(1'b1),
+       .datad(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               countera_10.cin_used = "true",
+               countera_10.lut_mask = "5a5a",
+               countera_10.operation_mode = "normal",
+               countera_10.sum_lutc_input = "cin",
+               countera_10.synch_mode = "on",
+               countera_10.lpm_type = "cyclone_lcell";
+       cyclone_lcell   parity
+       ( 
+       .aclr(aclr),
+       .cin(updown),
+       .clk(clock),
+       .combout(),
+       .cout(wire_parity_cout),
+       .dataa(cnt_en),
+       .datab(wire_parity_regout),
+       .ena(1'b1),
+       .regout(wire_parity_regout),
+       .sclr(sclr)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aload(1'b0),
+       .datac(1'b1),
+       .datad(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               parity.cin_used = "true",
+               parity.lut_mask = "6682",
+               parity.operation_mode = "arithmetic",
+               parity.synch_mode = "on",
+               parity.lpm_type = "cyclone_lcell";
+       assign
+               power_modified_counter_values = {wire_countera_regout[10:0]},
+               q = power_modified_counter_values,
+               sclr = 1'b0,
+               updown = 1'b1;
+endmodule //fifo_2k_a_graycounter_726
+
+
+//a_graycounter DEVICE_FAMILY="Cyclone" PVALUE=1 WIDTH=11 aclr clock cnt_en q
+//VERSION_BEGIN 5.0 cbx_a_gray2bin 2004:03:06:00:52:20:SJ cbx_a_graycounter 2004:10:01:12:13:16:SJ cbx_cycloneii 2004:12:20:14:28:52:SJ cbx_flex10ke 2002:10:18:16:54:38:SJ cbx_mgl 2005:05:19:13:51:58:SJ cbx_stratix 2005:06:02:09:53:04:SJ cbx_stratixii 2004:12:22:13:27:12:SJ  VERSION_END
+
+//synthesis_resources = lut 12 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fifo_2k_a_graycounter_2r6
+       ( 
+       aclr,
+       clock,
+       cnt_en,
+       q) /* synthesis synthesis_clearbox=1 */;
+       input   aclr;
+       input   clock;
+       input   cnt_en;
+       output   [10:0]  q;
+
+       wire  [0:0]   wire_countera_0cout;
+       wire  [0:0]   wire_countera_1cout;
+       wire  [0:0]   wire_countera_2cout;
+       wire  [0:0]   wire_countera_3cout;
+       wire  [0:0]   wire_countera_4cout;
+       wire  [0:0]   wire_countera_5cout;
+       wire  [0:0]   wire_countera_6cout;
+       wire  [0:0]   wire_countera_7cout;
+       wire  [0:0]   wire_countera_8cout;
+       wire  [0:0]   wire_countera_9cout;
+       wire  [10:0]   wire_countera_regout;
+       wire  wire_parity_cout;
+       wire  wire_parity_regout;
+       wire  [10:0]  power_modified_counter_values;
+       wire sclr;
+       wire updown;
+
+       cyclone_lcell   countera_0
+       ( 
+       .aclr(aclr),
+       .cin(wire_parity_cout),
+       .clk(clock),
+       .combout(),
+       .cout(wire_countera_0cout[0:0]),
+       .dataa(cnt_en),
+       .datab(wire_countera_regout[0:0]),
+       .ena(1'b1),
+       .regout(wire_countera_regout[0:0]),
+       .sclr(sclr)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aload(1'b0),
+       .datac(1'b1),
+       .datad(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               countera_0.cin_used = "true",
+               countera_0.lut_mask = "c6a0",
+               countera_0.operation_mode = "arithmetic",
+               countera_0.sum_lutc_input = "cin",
+               countera_0.synch_mode = "on",
+               countera_0.lpm_type = "cyclone_lcell";
+       cyclone_lcell   countera_1
+       ( 
+       .aclr(aclr),
+       .cin(wire_countera_0cout[0:0]),
+       .clk(clock),
+       .combout(),
+       .cout(wire_countera_1cout[0:0]),
+       .dataa(power_modified_counter_values[0]),
+       .datab(power_modified_counter_values[1]),
+       .ena(1'b1),
+       .regout(wire_countera_regout[1:1]),
+       .sclr(sclr)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aload(1'b0),
+       .datac(1'b1),
+       .datad(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               countera_1.cin_used = "true",
+               countera_1.lut_mask = "6c50",
+               countera_1.operation_mode = "arithmetic",
+               countera_1.sum_lutc_input = "cin",
+               countera_1.synch_mode = "on",
+               countera_1.lpm_type = "cyclone_lcell";
+       cyclone_lcell   countera_2
+       ( 
+       .aclr(aclr),
+       .cin(wire_countera_1cout[0:0]),
+       .clk(clock),
+       .combout(),
+       .cout(wire_countera_2cout[0:0]),
+       .dataa(power_modified_counter_values[1]),
+       .datab(power_modified_counter_values[2]),
+       .ena(1'b1),
+       .regout(wire_countera_regout[2:2]),
+       .sclr(sclr)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aload(1'b0),
+       .datac(1'b1),
+       .datad(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               countera_2.cin_used = "true",
+               countera_2.lut_mask = "6c50",
+               countera_2.operation_mode = "arithmetic",
+               countera_2.sum_lutc_input = "cin",
+               countera_2.synch_mode = "on",
+               countera_2.lpm_type = "cyclone_lcell";
+       cyclone_lcell   countera_3
+       ( 
+       .aclr(aclr),
+       .cin(wire_countera_2cout[0:0]),
+       .clk(clock),
+       .combout(),
+       .cout(wire_countera_3cout[0:0]),
+       .dataa(power_modified_counter_values[2]),
+       .datab(power_modified_counter_values[3]),
+       .ena(1'b1),
+       .regout(wire_countera_regout[3:3]),
+       .sclr(sclr)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aload(1'b0),
+       .datac(1'b1),
+       .datad(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               countera_3.cin_used = "true",
+               countera_3.lut_mask = "6c50",
+               countera_3.operation_mode = "arithmetic",
+               countera_3.sum_lutc_input = "cin",
+               countera_3.synch_mode = "on",
+               countera_3.lpm_type = "cyclone_lcell";
+       cyclone_lcell   countera_4
+       ( 
+       .aclr(aclr),
+       .cin(wire_countera_3cout[0:0]),
+       .clk(clock),
+       .combout(),
+       .cout(wire_countera_4cout[0:0]),
+       .dataa(power_modified_counter_values[3]),
+       .datab(power_modified_counter_values[4]),
+       .ena(1'b1),
+       .regout(wire_countera_regout[4:4]),
+       .sclr(sclr)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aload(1'b0),
+       .datac(1'b1),
+       .datad(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               countera_4.cin_used = "true",
+               countera_4.lut_mask = "6c50",
+               countera_4.operation_mode = "arithmetic",
+               countera_4.sum_lutc_input = "cin",
+               countera_4.synch_mode = "on",
+               countera_4.lpm_type = "cyclone_lcell";
+       cyclone_lcell   countera_5
+       ( 
+       .aclr(aclr),
+       .cin(wire_countera_4cout[0:0]),
+       .clk(clock),
+       .combout(),
+       .cout(wire_countera_5cout[0:0]),
+       .dataa(power_modified_counter_values[4]),
+       .datab(power_modified_counter_values[5]),
+       .ena(1'b1),
+       .regout(wire_countera_regout[5:5]),
+       .sclr(sclr)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aload(1'b0),
+       .datac(1'b1),
+       .datad(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               countera_5.cin_used = "true",
+               countera_5.lut_mask = "6c50",
+               countera_5.operation_mode = "arithmetic",
+               countera_5.sum_lutc_input = "cin",
+               countera_5.synch_mode = "on",
+               countera_5.lpm_type = "cyclone_lcell";
+       cyclone_lcell   countera_6
+       ( 
+       .aclr(aclr),
+       .cin(wire_countera_5cout[0:0]),
+       .clk(clock),
+       .combout(),
+       .cout(wire_countera_6cout[0:0]),
+       .dataa(power_modified_counter_values[5]),
+       .datab(power_modified_counter_values[6]),
+       .ena(1'b1),
+       .regout(wire_countera_regout[6:6]),
+       .sclr(sclr)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aload(1'b0),
+       .datac(1'b1),
+       .datad(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               countera_6.cin_used = "true",
+               countera_6.lut_mask = "6c50",
+               countera_6.operation_mode = "arithmetic",
+               countera_6.sum_lutc_input = "cin",
+               countera_6.synch_mode = "on",
+               countera_6.lpm_type = "cyclone_lcell";
+       cyclone_lcell   countera_7
+       ( 
+       .aclr(aclr),
+       .cin(wire_countera_6cout[0:0]),
+       .clk(clock),
+       .combout(),
+       .cout(wire_countera_7cout[0:0]),
+       .dataa(power_modified_counter_values[6]),
+       .datab(power_modified_counter_values[7]),
+       .ena(1'b1),
+       .regout(wire_countera_regout[7:7]),
+       .sclr(sclr)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aload(1'b0),
+       .datac(1'b1),
+       .datad(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               countera_7.cin_used = "true",
+               countera_7.lut_mask = "6c50",
+               countera_7.operation_mode = "arithmetic",
+               countera_7.sum_lutc_input = "cin",
+               countera_7.synch_mode = "on",
+               countera_7.lpm_type = "cyclone_lcell";
+       cyclone_lcell   countera_8
+       ( 
+       .aclr(aclr),
+       .cin(wire_countera_7cout[0:0]),
+       .clk(clock),
+       .combout(),
+       .cout(wire_countera_8cout[0:0]),
+       .dataa(power_modified_counter_values[7]),
+       .datab(power_modified_counter_values[8]),
+       .ena(1'b1),
+       .regout(wire_countera_regout[8:8]),
+       .sclr(sclr)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aload(1'b0),
+       .datac(1'b1),
+       .datad(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               countera_8.cin_used = "true",
+               countera_8.lut_mask = "6c50",
+               countera_8.operation_mode = "arithmetic",
+               countera_8.sum_lutc_input = "cin",
+               countera_8.synch_mode = "on",
+               countera_8.lpm_type = "cyclone_lcell";
+       cyclone_lcell   countera_9
+       ( 
+       .aclr(aclr),
+       .cin(wire_countera_8cout[0:0]),
+       .clk(clock),
+       .combout(),
+       .cout(wire_countera_9cout[0:0]),
+       .dataa(power_modified_counter_values[8]),
+       .datab(power_modified_counter_values[9]),
+       .ena(1'b1),
+       .regout(wire_countera_regout[9:9]),
+       .sclr(sclr)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aload(1'b0),
+       .datac(1'b1),
+       .datad(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               countera_9.cin_used = "true",
+               countera_9.lut_mask = "6c50",
+               countera_9.operation_mode = "arithmetic",
+               countera_9.sum_lutc_input = "cin",
+               countera_9.synch_mode = "on",
+               countera_9.lpm_type = "cyclone_lcell";
+       cyclone_lcell   countera_10
+       ( 
+       .aclr(aclr),
+       .cin(wire_countera_9cout[0:0]),
+       .clk(clock),
+       .combout(),
+       .cout(),
+       .dataa(power_modified_counter_values[10]),
+       .ena(1'b1),
+       .regout(wire_countera_regout[10:10]),
+       .sclr(sclr)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aload(1'b0),
+       .datab(1'b1),
+       .datac(1'b1),
+       .datad(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               countera_10.cin_used = "true",
+               countera_10.lut_mask = "5a5a",
+               countera_10.operation_mode = "normal",
+               countera_10.sum_lutc_input = "cin",
+               countera_10.synch_mode = "on",
+               countera_10.lpm_type = "cyclone_lcell";
+       cyclone_lcell   parity
+       ( 
+       .aclr(aclr),
+       .cin(updown),
+       .clk(clock),
+       .combout(),
+       .cout(wire_parity_cout),
+       .dataa(cnt_en),
+       .datab((~ wire_parity_regout)),
+       .ena(1'b1),
+       .regout(wire_parity_regout),
+       .sclr(sclr)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aload(1'b0),
+       .datac(1'b1),
+       .datad(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               parity.cin_used = "true",
+               parity.lut_mask = "9982",
+               parity.operation_mode = "arithmetic",
+               parity.synch_mode = "on",
+               parity.lpm_type = "cyclone_lcell";
+       assign
+               power_modified_counter_values = {wire_countera_regout[10:1], (~ wire_countera_regout[0])},
+               q = power_modified_counter_values,
+               sclr = 1'b0,
+               updown = 1'b1;
+endmodule //fifo_2k_a_graycounter_2r6
+
+
+//altsyncram ADDRESS_REG_B="CLOCK1" DEVICE_FAMILY="Cyclone" OPERATION_MODE="DUAL_PORT" OUTDATA_REG_B="UNREGISTERED" WIDTH_A=16 WIDTH_B=16 WIDTH_BYTEENA_A=1 WIDTHAD_A=11 WIDTHAD_B=11 address_a address_b clock0 clock1 clocken1 data_a q_b wren_a
+//VERSION_BEGIN 5.0 cbx_altsyncram 2005:03:24:13:58:56:SJ cbx_cycloneii 2004:12:20:14:28:52:SJ cbx_lpm_add_sub 2005:04:12:13:30:42:SJ cbx_lpm_compare 2004:11:30:11:30:40:SJ cbx_lpm_decode 2004:12:13:14:19:12:SJ cbx_lpm_mux 2004:12:13:14:16:38:SJ cbx_mgl 2005:05:19:13:51:58:SJ cbx_stratix 2005:06:02:09:53:04:SJ cbx_stratixii 2004:12:22:13:27:12:SJ cbx_util_mgl 2005:04:04:13:50:06:SJ  VERSION_END
+
+//synthesis_resources = M4K 8 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fifo_2k_altsyncram_6pl
+       ( 
+       address_a,
+       address_b,
+       clock0,
+       clock1,
+       clocken1,
+       data_a,
+       q_b,
+       wren_a) /* synthesis synthesis_clearbox=1 */;
+       input   [10:0]  address_a;
+       input   [10:0]  address_b;
+       input   clock0;
+       input   clock1;
+       input   clocken1;
+       input   [15:0]  data_a;
+       output   [15:0]  q_b;
+       input   wren_a;
+
+       wire  [0:0]   wire_ram_block3a_0portbdataout;
+       wire  [0:0]   wire_ram_block3a_1portbdataout;
+       wire  [0:0]   wire_ram_block3a_2portbdataout;
+       wire  [0:0]   wire_ram_block3a_3portbdataout;
+       wire  [0:0]   wire_ram_block3a_4portbdataout;
+       wire  [0:0]   wire_ram_block3a_5portbdataout;
+       wire  [0:0]   wire_ram_block3a_6portbdataout;
+       wire  [0:0]   wire_ram_block3a_7portbdataout;
+       wire  [0:0]   wire_ram_block3a_8portbdataout;
+       wire  [0:0]   wire_ram_block3a_9portbdataout;
+       wire  [0:0]   wire_ram_block3a_10portbdataout;
+       wire  [0:0]   wire_ram_block3a_11portbdataout;
+       wire  [0:0]   wire_ram_block3a_12portbdataout;
+       wire  [0:0]   wire_ram_block3a_13portbdataout;
+       wire  [0:0]   wire_ram_block3a_14portbdataout;
+       wire  [0:0]   wire_ram_block3a_15portbdataout;
+       wire  [10:0]  address_a_wire;
+       wire  [10:0]  address_b_wire;
+
+       cyclone_ram_block   ram_block3a_0
+       ( 
+       .clk0(clock0),
+       .clk1(clock1),
+       .ena0(wren_a),
+       .ena1(clocken1),
+       .portaaddr({address_a_wire[10:0]}),
+       .portadatain({data_a[0]}),
+       .portadataout(),
+       .portawe(1'b1),
+       .portbaddr({address_b_wire[10:0]}),
+       .portbdataout(wire_ram_block3a_0portbdataout[0:0]),
+       .portbrewe(1'b1)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .clr0(1'b0),
+       .clr1(1'b0),
+       .portabyteenamasks(1'b1),
+       .portbbyteenamasks(1'b1),
+       .portbdatain(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               ram_block3a_0.connectivity_checking = "OFF",
+               ram_block3a_0.logical_ram_name = "ALTSYNCRAM",
+               ram_block3a_0.mixed_port_feed_through_mode = "dont_care",
+               ram_block3a_0.operation_mode = "dual_port",
+               ram_block3a_0.port_a_address_width = 11,
+               ram_block3a_0.port_a_data_width = 1,
+               ram_block3a_0.port_a_first_address = 0,
+               ram_block3a_0.port_a_first_bit_number = 0,
+               ram_block3a_0.port_a_last_address = 2047,
+               ram_block3a_0.port_a_logical_ram_depth = 2048,
+               ram_block3a_0.port_a_logical_ram_width = 16,
+               ram_block3a_0.port_b_address_clear = "none",
+               ram_block3a_0.port_b_address_clock = "clock1",
+               ram_block3a_0.port_b_address_width = 11,
+               ram_block3a_0.port_b_data_out_clear = "none",
+               ram_block3a_0.port_b_data_out_clock = "none",
+               ram_block3a_0.port_b_data_width = 1,
+               ram_block3a_0.port_b_first_address = 0,
+               ram_block3a_0.port_b_first_bit_number = 0,
+               ram_block3a_0.port_b_last_address = 2047,
+               ram_block3a_0.port_b_logical_ram_depth = 2048,
+               ram_block3a_0.port_b_logical_ram_width = 16,
+               ram_block3a_0.port_b_read_enable_write_enable_clock = "clock1",
+               ram_block3a_0.ram_block_type = "auto",
+               ram_block3a_0.lpm_type = "cyclone_ram_block";
+       cyclone_ram_block   ram_block3a_1
+       ( 
+       .clk0(clock0),
+       .clk1(clock1),
+       .ena0(wren_a),
+       .ena1(clocken1),
+       .portaaddr({address_a_wire[10:0]}),
+       .portadatain({data_a[1]}),
+       .portadataout(),
+       .portawe(1'b1),
+       .portbaddr({address_b_wire[10:0]}),
+       .portbdataout(wire_ram_block3a_1portbdataout[0:0]),
+       .portbrewe(1'b1)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .clr0(1'b0),
+       .clr1(1'b0),
+       .portabyteenamasks(1'b1),
+       .portbbyteenamasks(1'b1),
+       .portbdatain(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               ram_block3a_1.connectivity_checking = "OFF",
+               ram_block3a_1.logical_ram_name = "ALTSYNCRAM",
+               ram_block3a_1.mixed_port_feed_through_mode = "dont_care",
+               ram_block3a_1.operation_mode = "dual_port",
+               ram_block3a_1.port_a_address_width = 11,
+               ram_block3a_1.port_a_data_width = 1,
+               ram_block3a_1.port_a_first_address = 0,
+               ram_block3a_1.port_a_first_bit_number = 1,
+               ram_block3a_1.port_a_last_address = 2047,
+               ram_block3a_1.port_a_logical_ram_depth = 2048,
+               ram_block3a_1.port_a_logical_ram_width = 16,
+               ram_block3a_1.port_b_address_clear = "none",
+               ram_block3a_1.port_b_address_clock = "clock1",
+               ram_block3a_1.port_b_address_width = 11,
+               ram_block3a_1.port_b_data_out_clear = "none",
+               ram_block3a_1.port_b_data_out_clock = "none",
+               ram_block3a_1.port_b_data_width = 1,
+               ram_block3a_1.port_b_first_address = 0,
+               ram_block3a_1.port_b_first_bit_number = 1,
+               ram_block3a_1.port_b_last_address = 2047,
+               ram_block3a_1.port_b_logical_ram_depth = 2048,
+               ram_block3a_1.port_b_logical_ram_width = 16,
+               ram_block3a_1.port_b_read_enable_write_enable_clock = "clock1",
+               ram_block3a_1.ram_block_type = "auto",
+               ram_block3a_1.lpm_type = "cyclone_ram_block";
+       cyclone_ram_block   ram_block3a_2
+       ( 
+       .clk0(clock0),
+       .clk1(clock1),
+       .ena0(wren_a),
+       .ena1(clocken1),
+       .portaaddr({address_a_wire[10:0]}),
+       .portadatain({data_a[2]}),
+       .portadataout(),
+       .portawe(1'b1),
+       .portbaddr({address_b_wire[10:0]}),
+       .portbdataout(wire_ram_block3a_2portbdataout[0:0]),
+       .portbrewe(1'b1)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .clr0(1'b0),
+       .clr1(1'b0),
+       .portabyteenamasks(1'b1),
+       .portbbyteenamasks(1'b1),
+       .portbdatain(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               ram_block3a_2.connectivity_checking = "OFF",
+               ram_block3a_2.logical_ram_name = "ALTSYNCRAM",
+               ram_block3a_2.mixed_port_feed_through_mode = "dont_care",
+               ram_block3a_2.operation_mode = "dual_port",
+               ram_block3a_2.port_a_address_width = 11,
+               ram_block3a_2.port_a_data_width = 1,
+               ram_block3a_2.port_a_first_address = 0,
+               ram_block3a_2.port_a_first_bit_number = 2,
+               ram_block3a_2.port_a_last_address = 2047,
+               ram_block3a_2.port_a_logical_ram_depth = 2048,
+               ram_block3a_2.port_a_logical_ram_width = 16,
+               ram_block3a_2.port_b_address_clear = "none",
+               ram_block3a_2.port_b_address_clock = "clock1",
+               ram_block3a_2.port_b_address_width = 11,
+               ram_block3a_2.port_b_data_out_clear = "none",
+               ram_block3a_2.port_b_data_out_clock = "none",
+               ram_block3a_2.port_b_data_width = 1,
+               ram_block3a_2.port_b_first_address = 0,
+               ram_block3a_2.port_b_first_bit_number = 2,
+               ram_block3a_2.port_b_last_address = 2047,
+               ram_block3a_2.port_b_logical_ram_depth = 2048,
+               ram_block3a_2.port_b_logical_ram_width = 16,
+               ram_block3a_2.port_b_read_enable_write_enable_clock = "clock1",
+               ram_block3a_2.ram_block_type = "auto",
+               ram_block3a_2.lpm_type = "cyclone_ram_block";
+       cyclone_ram_block   ram_block3a_3
+       ( 
+       .clk0(clock0),
+       .clk1(clock1),
+       .ena0(wren_a),
+       .ena1(clocken1),
+       .portaaddr({address_a_wire[10:0]}),
+       .portadatain({data_a[3]}),
+       .portadataout(),
+       .portawe(1'b1),
+       .portbaddr({address_b_wire[10:0]}),
+       .portbdataout(wire_ram_block3a_3portbdataout[0:0]),
+       .portbrewe(1'b1)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .clr0(1'b0),
+       .clr1(1'b0),
+       .portabyteenamasks(1'b1),
+       .portbbyteenamasks(1'b1),
+       .portbdatain(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               ram_block3a_3.connectivity_checking = "OFF",
+               ram_block3a_3.logical_ram_name = "ALTSYNCRAM",
+               ram_block3a_3.mixed_port_feed_through_mode = "dont_care",
+               ram_block3a_3.operation_mode = "dual_port",
+               ram_block3a_3.port_a_address_width = 11,
+               ram_block3a_3.port_a_data_width = 1,
+               ram_block3a_3.port_a_first_address = 0,
+               ram_block3a_3.port_a_first_bit_number = 3,
+               ram_block3a_3.port_a_last_address = 2047,
+               ram_block3a_3.port_a_logical_ram_depth = 2048,
+               ram_block3a_3.port_a_logical_ram_width = 16,
+               ram_block3a_3.port_b_address_clear = "none",
+               ram_block3a_3.port_b_address_clock = "clock1",
+               ram_block3a_3.port_b_address_width = 11,
+               ram_block3a_3.port_b_data_out_clear = "none",
+               ram_block3a_3.port_b_data_out_clock = "none",
+               ram_block3a_3.port_b_data_width = 1,
+               ram_block3a_3.port_b_first_address = 0,
+               ram_block3a_3.port_b_first_bit_number = 3,
+               ram_block3a_3.port_b_last_address = 2047,
+               ram_block3a_3.port_b_logical_ram_depth = 2048,
+               ram_block3a_3.port_b_logical_ram_width = 16,
+               ram_block3a_3.port_b_read_enable_write_enable_clock = "clock1",
+               ram_block3a_3.ram_block_type = "auto",
+               ram_block3a_3.lpm_type = "cyclone_ram_block";
+       cyclone_ram_block   ram_block3a_4
+       ( 
+       .clk0(clock0),
+       .clk1(clock1),
+       .ena0(wren_a),
+       .ena1(clocken1),
+       .portaaddr({address_a_wire[10:0]}),
+       .portadatain({data_a[4]}),
+       .portadataout(),
+       .portawe(1'b1),
+       .portbaddr({address_b_wire[10:0]}),
+       .portbdataout(wire_ram_block3a_4portbdataout[0:0]),
+       .portbrewe(1'b1)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .clr0(1'b0),
+       .clr1(1'b0),
+       .portabyteenamasks(1'b1),
+       .portbbyteenamasks(1'b1),
+       .portbdatain(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               ram_block3a_4.connectivity_checking = "OFF",
+               ram_block3a_4.logical_ram_name = "ALTSYNCRAM",
+               ram_block3a_4.mixed_port_feed_through_mode = "dont_care",
+               ram_block3a_4.operation_mode = "dual_port",
+               ram_block3a_4.port_a_address_width = 11,
+               ram_block3a_4.port_a_data_width = 1,
+               ram_block3a_4.port_a_first_address = 0,
+               ram_block3a_4.port_a_first_bit_number = 4,
+               ram_block3a_4.port_a_last_address = 2047,
+               ram_block3a_4.port_a_logical_ram_depth = 2048,
+               ram_block3a_4.port_a_logical_ram_width = 16,
+               ram_block3a_4.port_b_address_clear = "none",
+               ram_block3a_4.port_b_address_clock = "clock1",
+               ram_block3a_4.port_b_address_width = 11,
+               ram_block3a_4.port_b_data_out_clear = "none",
+               ram_block3a_4.port_b_data_out_clock = "none",
+               ram_block3a_4.port_b_data_width = 1,
+               ram_block3a_4.port_b_first_address = 0,
+               ram_block3a_4.port_b_first_bit_number = 4,
+               ram_block3a_4.port_b_last_address = 2047,
+               ram_block3a_4.port_b_logical_ram_depth = 2048,
+               ram_block3a_4.port_b_logical_ram_width = 16,
+               ram_block3a_4.port_b_read_enable_write_enable_clock = "clock1",
+               ram_block3a_4.ram_block_type = "auto",
+               ram_block3a_4.lpm_type = "cyclone_ram_block";
+       cyclone_ram_block   ram_block3a_5
+       ( 
+       .clk0(clock0),
+       .clk1(clock1),
+       .ena0(wren_a),
+       .ena1(clocken1),
+       .portaaddr({address_a_wire[10:0]}),
+       .portadatain({data_a[5]}),
+       .portadataout(),
+       .portawe(1'b1),
+       .portbaddr({address_b_wire[10:0]}),
+       .portbdataout(wire_ram_block3a_5portbdataout[0:0]),
+       .portbrewe(1'b1)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .clr0(1'b0),
+       .clr1(1'b0),
+       .portabyteenamasks(1'b1),
+       .portbbyteenamasks(1'b1),
+       .portbdatain(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               ram_block3a_5.connectivity_checking = "OFF",
+               ram_block3a_5.logical_ram_name = "ALTSYNCRAM",
+               ram_block3a_5.mixed_port_feed_through_mode = "dont_care",
+               ram_block3a_5.operation_mode = "dual_port",
+               ram_block3a_5.port_a_address_width = 11,
+               ram_block3a_5.port_a_data_width = 1,
+               ram_block3a_5.port_a_first_address = 0,
+               ram_block3a_5.port_a_first_bit_number = 5,
+               ram_block3a_5.port_a_last_address = 2047,
+               ram_block3a_5.port_a_logical_ram_depth = 2048,
+               ram_block3a_5.port_a_logical_ram_width = 16,
+               ram_block3a_5.port_b_address_clear = "none",
+               ram_block3a_5.port_b_address_clock = "clock1",
+               ram_block3a_5.port_b_address_width = 11,
+               ram_block3a_5.port_b_data_out_clear = "none",
+               ram_block3a_5.port_b_data_out_clock = "none",
+               ram_block3a_5.port_b_data_width = 1,
+               ram_block3a_5.port_b_first_address = 0,
+               ram_block3a_5.port_b_first_bit_number = 5,
+               ram_block3a_5.port_b_last_address = 2047,
+               ram_block3a_5.port_b_logical_ram_depth = 2048,
+               ram_block3a_5.port_b_logical_ram_width = 16,
+               ram_block3a_5.port_b_read_enable_write_enable_clock = "clock1",
+               ram_block3a_5.ram_block_type = "auto",
+               ram_block3a_5.lpm_type = "cyclone_ram_block";
+       cyclone_ram_block   ram_block3a_6
+       ( 
+       .clk0(clock0),
+       .clk1(clock1),
+       .ena0(wren_a),
+       .ena1(clocken1),
+       .portaaddr({address_a_wire[10:0]}),
+       .portadatain({data_a[6]}),
+       .portadataout(),
+       .portawe(1'b1),
+       .portbaddr({address_b_wire[10:0]}),
+       .portbdataout(wire_ram_block3a_6portbdataout[0:0]),
+       .portbrewe(1'b1)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .clr0(1'b0),
+       .clr1(1'b0),
+       .portabyteenamasks(1'b1),
+       .portbbyteenamasks(1'b1),
+       .portbdatain(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               ram_block3a_6.connectivity_checking = "OFF",
+               ram_block3a_6.logical_ram_name = "ALTSYNCRAM",
+               ram_block3a_6.mixed_port_feed_through_mode = "dont_care",
+               ram_block3a_6.operation_mode = "dual_port",
+               ram_block3a_6.port_a_address_width = 11,
+               ram_block3a_6.port_a_data_width = 1,
+               ram_block3a_6.port_a_first_address = 0,
+               ram_block3a_6.port_a_first_bit_number = 6,
+               ram_block3a_6.port_a_last_address = 2047,
+               ram_block3a_6.port_a_logical_ram_depth = 2048,
+               ram_block3a_6.port_a_logical_ram_width = 16,
+               ram_block3a_6.port_b_address_clear = "none",
+               ram_block3a_6.port_b_address_clock = "clock1",
+               ram_block3a_6.port_b_address_width = 11,
+               ram_block3a_6.port_b_data_out_clear = "none",
+               ram_block3a_6.port_b_data_out_clock = "none",
+               ram_block3a_6.port_b_data_width = 1,
+               ram_block3a_6.port_b_first_address = 0,
+               ram_block3a_6.port_b_first_bit_number = 6,
+               ram_block3a_6.port_b_last_address = 2047,
+               ram_block3a_6.port_b_logical_ram_depth = 2048,
+               ram_block3a_6.port_b_logical_ram_width = 16,
+               ram_block3a_6.port_b_read_enable_write_enable_clock = "clock1",
+               ram_block3a_6.ram_block_type = "auto",
+               ram_block3a_6.lpm_type = "cyclone_ram_block";
+       cyclone_ram_block   ram_block3a_7
+       ( 
+       .clk0(clock0),
+       .clk1(clock1),
+       .ena0(wren_a),
+       .ena1(clocken1),
+       .portaaddr({address_a_wire[10:0]}),
+       .portadatain({data_a[7]}),
+       .portadataout(),
+       .portawe(1'b1),
+       .portbaddr({address_b_wire[10:0]}),
+       .portbdataout(wire_ram_block3a_7portbdataout[0:0]),
+       .portbrewe(1'b1)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .clr0(1'b0),
+       .clr1(1'b0),
+       .portabyteenamasks(1'b1),
+       .portbbyteenamasks(1'b1),
+       .portbdatain(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               ram_block3a_7.connectivity_checking = "OFF",
+               ram_block3a_7.logical_ram_name = "ALTSYNCRAM",
+               ram_block3a_7.mixed_port_feed_through_mode = "dont_care",
+               ram_block3a_7.operation_mode = "dual_port",
+               ram_block3a_7.port_a_address_width = 11,
+               ram_block3a_7.port_a_data_width = 1,
+               ram_block3a_7.port_a_first_address = 0,
+               ram_block3a_7.port_a_first_bit_number = 7,
+               ram_block3a_7.port_a_last_address = 2047,
+               ram_block3a_7.port_a_logical_ram_depth = 2048,
+               ram_block3a_7.port_a_logical_ram_width = 16,
+               ram_block3a_7.port_b_address_clear = "none",
+               ram_block3a_7.port_b_address_clock = "clock1",
+               ram_block3a_7.port_b_address_width = 11,
+               ram_block3a_7.port_b_data_out_clear = "none",
+               ram_block3a_7.port_b_data_out_clock = "none",
+               ram_block3a_7.port_b_data_width = 1,
+               ram_block3a_7.port_b_first_address = 0,
+               ram_block3a_7.port_b_first_bit_number = 7,
+               ram_block3a_7.port_b_last_address = 2047,
+               ram_block3a_7.port_b_logical_ram_depth = 2048,
+               ram_block3a_7.port_b_logical_ram_width = 16,
+               ram_block3a_7.port_b_read_enable_write_enable_clock = "clock1",
+               ram_block3a_7.ram_block_type = "auto",
+               ram_block3a_7.lpm_type = "cyclone_ram_block";
+       cyclone_ram_block   ram_block3a_8
+       ( 
+       .clk0(clock0),
+       .clk1(clock1),
+       .ena0(wren_a),
+       .ena1(clocken1),
+       .portaaddr({address_a_wire[10:0]}),
+       .portadatain({data_a[8]}),
+       .portadataout(),
+       .portawe(1'b1),
+       .portbaddr({address_b_wire[10:0]}),
+       .portbdataout(wire_ram_block3a_8portbdataout[0:0]),
+       .portbrewe(1'b1)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .clr0(1'b0),
+       .clr1(1'b0),
+       .portabyteenamasks(1'b1),
+       .portbbyteenamasks(1'b1),
+       .portbdatain(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               ram_block3a_8.connectivity_checking = "OFF",
+               ram_block3a_8.logical_ram_name = "ALTSYNCRAM",
+               ram_block3a_8.mixed_port_feed_through_mode = "dont_care",
+               ram_block3a_8.operation_mode = "dual_port",
+               ram_block3a_8.port_a_address_width = 11,
+               ram_block3a_8.port_a_data_width = 1,
+               ram_block3a_8.port_a_first_address = 0,
+               ram_block3a_8.port_a_first_bit_number = 8,
+               ram_block3a_8.port_a_last_address = 2047,
+               ram_block3a_8.port_a_logical_ram_depth = 2048,
+               ram_block3a_8.port_a_logical_ram_width = 16,
+               ram_block3a_8.port_b_address_clear = "none",
+               ram_block3a_8.port_b_address_clock = "clock1",
+               ram_block3a_8.port_b_address_width = 11,
+               ram_block3a_8.port_b_data_out_clear = "none",
+               ram_block3a_8.port_b_data_out_clock = "none",
+               ram_block3a_8.port_b_data_width = 1,
+               ram_block3a_8.port_b_first_address = 0,
+               ram_block3a_8.port_b_first_bit_number = 8,
+               ram_block3a_8.port_b_last_address = 2047,
+               ram_block3a_8.port_b_logical_ram_depth = 2048,
+               ram_block3a_8.port_b_logical_ram_width = 16,
+               ram_block3a_8.port_b_read_enable_write_enable_clock = "clock1",
+               ram_block3a_8.ram_block_type = "auto",
+               ram_block3a_8.lpm_type = "cyclone_ram_block";
+       cyclone_ram_block   ram_block3a_9
+       ( 
+       .clk0(clock0),
+       .clk1(clock1),
+       .ena0(wren_a),
+       .ena1(clocken1),
+       .portaaddr({address_a_wire[10:0]}),
+       .portadatain({data_a[9]}),
+       .portadataout(),
+       .portawe(1'b1),
+       .portbaddr({address_b_wire[10:0]}),
+       .portbdataout(wire_ram_block3a_9portbdataout[0:0]),
+       .portbrewe(1'b1)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .clr0(1'b0),
+       .clr1(1'b0),
+       .portabyteenamasks(1'b1),
+       .portbbyteenamasks(1'b1),
+       .portbdatain(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               ram_block3a_9.connectivity_checking = "OFF",
+               ram_block3a_9.logical_ram_name = "ALTSYNCRAM",
+               ram_block3a_9.mixed_port_feed_through_mode = "dont_care",
+               ram_block3a_9.operation_mode = "dual_port",
+               ram_block3a_9.port_a_address_width = 11,
+               ram_block3a_9.port_a_data_width = 1,
+               ram_block3a_9.port_a_first_address = 0,
+               ram_block3a_9.port_a_first_bit_number = 9,
+               ram_block3a_9.port_a_last_address = 2047,
+               ram_block3a_9.port_a_logical_ram_depth = 2048,
+               ram_block3a_9.port_a_logical_ram_width = 16,
+               ram_block3a_9.port_b_address_clear = "none",
+               ram_block3a_9.port_b_address_clock = "clock1",
+               ram_block3a_9.port_b_address_width = 11,
+               ram_block3a_9.port_b_data_out_clear = "none",
+               ram_block3a_9.port_b_data_out_clock = "none",
+               ram_block3a_9.port_b_data_width = 1,
+               ram_block3a_9.port_b_first_address = 0,
+               ram_block3a_9.port_b_first_bit_number = 9,
+               ram_block3a_9.port_b_last_address = 2047,
+               ram_block3a_9.port_b_logical_ram_depth = 2048,
+               ram_block3a_9.port_b_logical_ram_width = 16,
+               ram_block3a_9.port_b_read_enable_write_enable_clock = "clock1",
+               ram_block3a_9.ram_block_type = "auto",
+               ram_block3a_9.lpm_type = "cyclone_ram_block";
+       cyclone_ram_block   ram_block3a_10
+       ( 
+       .clk0(clock0),
+       .clk1(clock1),
+       .ena0(wren_a),
+       .ena1(clocken1),
+       .portaaddr({address_a_wire[10:0]}),
+       .portadatain({data_a[10]}),
+       .portadataout(),
+       .portawe(1'b1),
+       .portbaddr({address_b_wire[10:0]}),
+       .portbdataout(wire_ram_block3a_10portbdataout[0:0]),
+       .portbrewe(1'b1)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .clr0(1'b0),
+       .clr1(1'b0),
+       .portabyteenamasks(1'b1),
+       .portbbyteenamasks(1'b1),
+       .portbdatain(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               ram_block3a_10.connectivity_checking = "OFF",
+               ram_block3a_10.logical_ram_name = "ALTSYNCRAM",
+               ram_block3a_10.mixed_port_feed_through_mode = "dont_care",
+               ram_block3a_10.operation_mode = "dual_port",
+               ram_block3a_10.port_a_address_width = 11,
+               ram_block3a_10.port_a_data_width = 1,
+               ram_block3a_10.port_a_first_address = 0,
+               ram_block3a_10.port_a_first_bit_number = 10,
+               ram_block3a_10.port_a_last_address = 2047,
+               ram_block3a_10.port_a_logical_ram_depth = 2048,
+               ram_block3a_10.port_a_logical_ram_width = 16,
+               ram_block3a_10.port_b_address_clear = "none",
+               ram_block3a_10.port_b_address_clock = "clock1",
+               ram_block3a_10.port_b_address_width = 11,
+               ram_block3a_10.port_b_data_out_clear = "none",
+               ram_block3a_10.port_b_data_out_clock = "none",
+               ram_block3a_10.port_b_data_width = 1,
+               ram_block3a_10.port_b_first_address = 0,
+               ram_block3a_10.port_b_first_bit_number = 10,
+               ram_block3a_10.port_b_last_address = 2047,
+               ram_block3a_10.port_b_logical_ram_depth = 2048,
+               ram_block3a_10.port_b_logical_ram_width = 16,
+               ram_block3a_10.port_b_read_enable_write_enable_clock = "clock1",
+               ram_block3a_10.ram_block_type = "auto",
+               ram_block3a_10.lpm_type = "cyclone_ram_block";
+       cyclone_ram_block   ram_block3a_11
+       ( 
+       .clk0(clock0),
+       .clk1(clock1),
+       .ena0(wren_a),
+       .ena1(clocken1),
+       .portaaddr({address_a_wire[10:0]}),
+       .portadatain({data_a[11]}),
+       .portadataout(),
+       .portawe(1'b1),
+       .portbaddr({address_b_wire[10:0]}),
+       .portbdataout(wire_ram_block3a_11portbdataout[0:0]),
+       .portbrewe(1'b1)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .clr0(1'b0),
+       .clr1(1'b0),
+       .portabyteenamasks(1'b1),
+       .portbbyteenamasks(1'b1),
+       .portbdatain(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               ram_block3a_11.connectivity_checking = "OFF",
+               ram_block3a_11.logical_ram_name = "ALTSYNCRAM",
+               ram_block3a_11.mixed_port_feed_through_mode = "dont_care",
+               ram_block3a_11.operation_mode = "dual_port",
+               ram_block3a_11.port_a_address_width = 11,
+               ram_block3a_11.port_a_data_width = 1,
+               ram_block3a_11.port_a_first_address = 0,
+               ram_block3a_11.port_a_first_bit_number = 11,
+               ram_block3a_11.port_a_last_address = 2047,
+               ram_block3a_11.port_a_logical_ram_depth = 2048,
+               ram_block3a_11.port_a_logical_ram_width = 16,
+               ram_block3a_11.port_b_address_clear = "none",
+               ram_block3a_11.port_b_address_clock = "clock1",
+               ram_block3a_11.port_b_address_width = 11,
+               ram_block3a_11.port_b_data_out_clear = "none",
+               ram_block3a_11.port_b_data_out_clock = "none",
+               ram_block3a_11.port_b_data_width = 1,
+               ram_block3a_11.port_b_first_address = 0,
+               ram_block3a_11.port_b_first_bit_number = 11,
+               ram_block3a_11.port_b_last_address = 2047,
+               ram_block3a_11.port_b_logical_ram_depth = 2048,
+               ram_block3a_11.port_b_logical_ram_width = 16,
+               ram_block3a_11.port_b_read_enable_write_enable_clock = "clock1",
+               ram_block3a_11.ram_block_type = "auto",
+               ram_block3a_11.lpm_type = "cyclone_ram_block";
+       cyclone_ram_block   ram_block3a_12
+       ( 
+       .clk0(clock0),
+       .clk1(clock1),
+       .ena0(wren_a),
+       .ena1(clocken1),
+       .portaaddr({address_a_wire[10:0]}),
+       .portadatain({data_a[12]}),
+       .portadataout(),
+       .portawe(1'b1),
+       .portbaddr({address_b_wire[10:0]}),
+       .portbdataout(wire_ram_block3a_12portbdataout[0:0]),
+       .portbrewe(1'b1)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .clr0(1'b0),
+       .clr1(1'b0),
+       .portabyteenamasks(1'b1),
+       .portbbyteenamasks(1'b1),
+       .portbdatain(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               ram_block3a_12.connectivity_checking = "OFF",
+               ram_block3a_12.logical_ram_name = "ALTSYNCRAM",
+               ram_block3a_12.mixed_port_feed_through_mode = "dont_care",
+               ram_block3a_12.operation_mode = "dual_port",
+               ram_block3a_12.port_a_address_width = 11,
+               ram_block3a_12.port_a_data_width = 1,
+               ram_block3a_12.port_a_first_address = 0,
+               ram_block3a_12.port_a_first_bit_number = 12,
+               ram_block3a_12.port_a_last_address = 2047,
+               ram_block3a_12.port_a_logical_ram_depth = 2048,
+               ram_block3a_12.port_a_logical_ram_width = 16,
+               ram_block3a_12.port_b_address_clear = "none",
+               ram_block3a_12.port_b_address_clock = "clock1",
+               ram_block3a_12.port_b_address_width = 11,
+               ram_block3a_12.port_b_data_out_clear = "none",
+               ram_block3a_12.port_b_data_out_clock = "none",
+               ram_block3a_12.port_b_data_width = 1,
+               ram_block3a_12.port_b_first_address = 0,
+               ram_block3a_12.port_b_first_bit_number = 12,
+               ram_block3a_12.port_b_last_address = 2047,
+               ram_block3a_12.port_b_logical_ram_depth = 2048,
+               ram_block3a_12.port_b_logical_ram_width = 16,
+               ram_block3a_12.port_b_read_enable_write_enable_clock = "clock1",
+               ram_block3a_12.ram_block_type = "auto",
+               ram_block3a_12.lpm_type = "cyclone_ram_block";
+       cyclone_ram_block   ram_block3a_13
+       ( 
+       .clk0(clock0),
+       .clk1(clock1),
+       .ena0(wren_a),
+       .ena1(clocken1),
+       .portaaddr({address_a_wire[10:0]}),
+       .portadatain({data_a[13]}),
+       .portadataout(),
+       .portawe(1'b1),
+       .portbaddr({address_b_wire[10:0]}),
+       .portbdataout(wire_ram_block3a_13portbdataout[0:0]),
+       .portbrewe(1'b1)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .clr0(1'b0),
+       .clr1(1'b0),
+       .portabyteenamasks(1'b1),
+       .portbbyteenamasks(1'b1),
+       .portbdatain(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               ram_block3a_13.connectivity_checking = "OFF",
+               ram_block3a_13.logical_ram_name = "ALTSYNCRAM",
+               ram_block3a_13.mixed_port_feed_through_mode = "dont_care",
+               ram_block3a_13.operation_mode = "dual_port",
+               ram_block3a_13.port_a_address_width = 11,
+               ram_block3a_13.port_a_data_width = 1,
+               ram_block3a_13.port_a_first_address = 0,
+               ram_block3a_13.port_a_first_bit_number = 13,
+               ram_block3a_13.port_a_last_address = 2047,
+               ram_block3a_13.port_a_logical_ram_depth = 2048,
+               ram_block3a_13.port_a_logical_ram_width = 16,
+               ram_block3a_13.port_b_address_clear = "none",
+               ram_block3a_13.port_b_address_clock = "clock1",
+               ram_block3a_13.port_b_address_width = 11,
+               ram_block3a_13.port_b_data_out_clear = "none",
+               ram_block3a_13.port_b_data_out_clock = "none",
+               ram_block3a_13.port_b_data_width = 1,
+               ram_block3a_13.port_b_first_address = 0,
+               ram_block3a_13.port_b_first_bit_number = 13,
+               ram_block3a_13.port_b_last_address = 2047,
+               ram_block3a_13.port_b_logical_ram_depth = 2048,
+               ram_block3a_13.port_b_logical_ram_width = 16,
+               ram_block3a_13.port_b_read_enable_write_enable_clock = "clock1",
+               ram_block3a_13.ram_block_type = "auto",
+               ram_block3a_13.lpm_type = "cyclone_ram_block";
+       cyclone_ram_block   ram_block3a_14
+       ( 
+       .clk0(clock0),
+       .clk1(clock1),
+       .ena0(wren_a),
+       .ena1(clocken1),
+       .portaaddr({address_a_wire[10:0]}),
+       .portadatain({data_a[14]}),
+       .portadataout(),
+       .portawe(1'b1),
+       .portbaddr({address_b_wire[10:0]}),
+       .portbdataout(wire_ram_block3a_14portbdataout[0:0]),
+       .portbrewe(1'b1)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .clr0(1'b0),
+       .clr1(1'b0),
+       .portabyteenamasks(1'b1),
+       .portbbyteenamasks(1'b1),
+       .portbdatain(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               ram_block3a_14.connectivity_checking = "OFF",
+               ram_block3a_14.logical_ram_name = "ALTSYNCRAM",
+               ram_block3a_14.mixed_port_feed_through_mode = "dont_care",
+               ram_block3a_14.operation_mode = "dual_port",
+               ram_block3a_14.port_a_address_width = 11,
+               ram_block3a_14.port_a_data_width = 1,
+               ram_block3a_14.port_a_first_address = 0,
+               ram_block3a_14.port_a_first_bit_number = 14,
+               ram_block3a_14.port_a_last_address = 2047,
+               ram_block3a_14.port_a_logical_ram_depth = 2048,
+               ram_block3a_14.port_a_logical_ram_width = 16,
+               ram_block3a_14.port_b_address_clear = "none",
+               ram_block3a_14.port_b_address_clock = "clock1",
+               ram_block3a_14.port_b_address_width = 11,
+               ram_block3a_14.port_b_data_out_clear = "none",
+               ram_block3a_14.port_b_data_out_clock = "none",
+               ram_block3a_14.port_b_data_width = 1,
+               ram_block3a_14.port_b_first_address = 0,
+               ram_block3a_14.port_b_first_bit_number = 14,
+               ram_block3a_14.port_b_last_address = 2047,
+               ram_block3a_14.port_b_logical_ram_depth = 2048,
+               ram_block3a_14.port_b_logical_ram_width = 16,
+               ram_block3a_14.port_b_read_enable_write_enable_clock = "clock1",
+               ram_block3a_14.ram_block_type = "auto",
+               ram_block3a_14.lpm_type = "cyclone_ram_block";
+       cyclone_ram_block   ram_block3a_15
+       ( 
+       .clk0(clock0),
+       .clk1(clock1),
+       .ena0(wren_a),
+       .ena1(clocken1),
+       .portaaddr({address_a_wire[10:0]}),
+       .portadatain({data_a[15]}),
+       .portadataout(),
+       .portawe(1'b1),
+       .portbaddr({address_b_wire[10:0]}),
+       .portbdataout(wire_ram_block3a_15portbdataout[0:0]),
+       .portbrewe(1'b1)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .clr0(1'b0),
+       .clr1(1'b0),
+       .portabyteenamasks(1'b1),
+       .portbbyteenamasks(1'b1),
+       .portbdatain(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               ram_block3a_15.connectivity_checking = "OFF",
+               ram_block3a_15.logical_ram_name = "ALTSYNCRAM",
+               ram_block3a_15.mixed_port_feed_through_mode = "dont_care",
+               ram_block3a_15.operation_mode = "dual_port",
+               ram_block3a_15.port_a_address_width = 11,
+               ram_block3a_15.port_a_data_width = 1,
+               ram_block3a_15.port_a_first_address = 0,
+               ram_block3a_15.port_a_first_bit_number = 15,
+               ram_block3a_15.port_a_last_address = 2047,
+               ram_block3a_15.port_a_logical_ram_depth = 2048,
+               ram_block3a_15.port_a_logical_ram_width = 16,
+               ram_block3a_15.port_b_address_clear = "none",
+               ram_block3a_15.port_b_address_clock = "clock1",
+               ram_block3a_15.port_b_address_width = 11,
+               ram_block3a_15.port_b_data_out_clear = "none",
+               ram_block3a_15.port_b_data_out_clock = "none",
+               ram_block3a_15.port_b_data_width = 1,
+               ram_block3a_15.port_b_first_address = 0,
+               ram_block3a_15.port_b_first_bit_number = 15,
+               ram_block3a_15.port_b_last_address = 2047,
+               ram_block3a_15.port_b_logical_ram_depth = 2048,
+               ram_block3a_15.port_b_logical_ram_width = 16,
+               ram_block3a_15.port_b_read_enable_write_enable_clock = "clock1",
+               ram_block3a_15.ram_block_type = "auto",
+               ram_block3a_15.lpm_type = "cyclone_ram_block";
+       assign
+               address_a_wire = address_a,
+               address_b_wire = address_b,
+               q_b = {wire_ram_block3a_15portbdataout[0], wire_ram_block3a_14portbdataout[0], wire_ram_block3a_13portbdataout[0], wire_ram_block3a_12portbdataout[0], wire_ram_block3a_11portbdataout[0], wire_ram_block3a_10portbdataout[0], wire_ram_block3a_9portbdataout[0], wire_ram_block3a_8portbdataout[0], wire_ram_block3a_7portbdataout[0], wire_ram_block3a_6portbdataout[0], wire_ram_block3a_5portbdataout[0], wire_ram_block3a_4portbdataout[0], wire_ram_block3a_3portbdataout[0], wire_ram_block3a_2portbdataout[0], wire_ram_block3a_1portbdataout[0], wire_ram_block3a_0portbdataout[0]};
+endmodule //fifo_2k_altsyncram_6pl
+
+
+//dffpipe DELAY=1 WIDTH=11 clock clrn d q
+//VERSION_BEGIN 5.0 cbx_mgl 2005:05:19:13:51:58:SJ cbx_stratixii 2004:12:22:13:27:12:SJ cbx_util_mgl 2005:04:04:13:50:06:SJ  VERSION_END
+
+//synthesis_resources = lut 11 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fifo_2k_dffpipe_ab3
+       ( 
+       clock,
+       clrn,
+       d,
+       q) /* synthesis synthesis_clearbox=1 */
+               /* synthesis ALTERA_ATTRIBUTE="AUTO_SHIFT_REGISTER_RECOGNITION=OFF" */;
+       input   clock;
+       input   clrn;
+       input   [10:0]  d;
+       output   [10:0]  q;
+
+       wire    [10:0]  wire_dffe4a_D;
+       reg     [10:0]  dffe4a;
+       wire ena;
+       wire prn;
+       wire sclr;
+
+       // synopsys translate_off
+       initial
+               dffe4a[0:0] = 0;
+       // synopsys translate_on
+       always @ ( posedge clock or  negedge prn or  negedge clrn)
+               if (prn == 1'b0) dffe4a[0:0] <= 1'b1;
+               else if (clrn == 1'b0) dffe4a[0:0] <= 1'b0;
+               else if  (ena == 1'b1)   dffe4a[0:0] <= wire_dffe4a_D[0:0];
+       // synopsys translate_off
+       initial
+               dffe4a[1:1] = 0;
+       // synopsys translate_on
+       always @ ( posedge clock or  negedge prn or  negedge clrn)
+               if (prn == 1'b0) dffe4a[1:1] <= 1'b1;
+               else if (clrn == 1'b0) dffe4a[1:1] <= 1'b0;
+               else if  (ena == 1'b1)   dffe4a[1:1] <= wire_dffe4a_D[1:1];
+       // synopsys translate_off
+       initial
+               dffe4a[2:2] = 0;
+       // synopsys translate_on
+       always @ ( posedge clock or  negedge prn or  negedge clrn)
+               if (prn == 1'b0) dffe4a[2:2] <= 1'b1;
+               else if (clrn == 1'b0) dffe4a[2:2] <= 1'b0;
+               else if  (ena == 1'b1)   dffe4a[2:2] <= wire_dffe4a_D[2:2];
+       // synopsys translate_off
+       initial
+               dffe4a[3:3] = 0;
+       // synopsys translate_on
+       always @ ( posedge clock or  negedge prn or  negedge clrn)
+               if (prn == 1'b0) dffe4a[3:3] <= 1'b1;
+               else if (clrn == 1'b0) dffe4a[3:3] <= 1'b0;
+               else if  (ena == 1'b1)   dffe4a[3:3] <= wire_dffe4a_D[3:3];
+       // synopsys translate_off
+       initial
+               dffe4a[4:4] = 0;
+       // synopsys translate_on
+       always @ ( posedge clock or  negedge prn or  negedge clrn)
+               if (prn == 1'b0) dffe4a[4:4] <= 1'b1;
+               else if (clrn == 1'b0) dffe4a[4:4] <= 1'b0;
+               else if  (ena == 1'b1)   dffe4a[4:4] <= wire_dffe4a_D[4:4];
+       // synopsys translate_off
+       initial
+               dffe4a[5:5] = 0;
+       // synopsys translate_on
+       always @ ( posedge clock or  negedge prn or  negedge clrn)
+               if (prn == 1'b0) dffe4a[5:5] <= 1'b1;
+               else if (clrn == 1'b0) dffe4a[5:5] <= 1'b0;
+               else if  (ena == 1'b1)   dffe4a[5:5] <= wire_dffe4a_D[5:5];
+       // synopsys translate_off
+       initial
+               dffe4a[6:6] = 0;
+       // synopsys translate_on
+       always @ ( posedge clock or  negedge prn or  negedge clrn)
+               if (prn == 1'b0) dffe4a[6:6] <= 1'b1;
+               else if (clrn == 1'b0) dffe4a[6:6] <= 1'b0;
+               else if  (ena == 1'b1)   dffe4a[6:6] <= wire_dffe4a_D[6:6];
+       // synopsys translate_off
+       initial
+               dffe4a[7:7] = 0;
+       // synopsys translate_on
+       always @ ( posedge clock or  negedge prn or  negedge clrn)
+               if (prn == 1'b0) dffe4a[7:7] <= 1'b1;
+               else if (clrn == 1'b0) dffe4a[7:7] <= 1'b0;
+               else if  (ena == 1'b1)   dffe4a[7:7] <= wire_dffe4a_D[7:7];
+       // synopsys translate_off
+       initial
+               dffe4a[8:8] = 0;
+       // synopsys translate_on
+       always @ ( posedge clock or  negedge prn or  negedge clrn)
+               if (prn == 1'b0) dffe4a[8:8] <= 1'b1;
+               else if (clrn == 1'b0) dffe4a[8:8] <= 1'b0;
+               else if  (ena == 1'b1)   dffe4a[8:8] <= wire_dffe4a_D[8:8];
+       // synopsys translate_off
+       initial
+               dffe4a[9:9] = 0;
+       // synopsys translate_on
+       always @ ( posedge clock or  negedge prn or  negedge clrn)
+               if (prn == 1'b0) dffe4a[9:9] <= 1'b1;
+               else if (clrn == 1'b0) dffe4a[9:9] <= 1'b0;
+               else if  (ena == 1'b1)   dffe4a[9:9] <= wire_dffe4a_D[9:9];
+       // synopsys translate_off
+       initial
+               dffe4a[10:10] = 0;
+       // synopsys translate_on
+       always @ ( posedge clock or  negedge prn or  negedge clrn)
+               if (prn == 1'b0) dffe4a[10:10] <= 1'b1;
+               else if (clrn == 1'b0) dffe4a[10:10] <= 1'b0;
+               else if  (ena == 1'b1)   dffe4a[10:10] <= wire_dffe4a_D[10:10];
+       assign
+               wire_dffe4a_D = (d & {11{(~ sclr)}});
+       assign
+               ena = 1'b1,
+               prn = 1'b1,
+               q = dffe4a,
+               sclr = 1'b0;
+endmodule //fifo_2k_dffpipe_ab3
+
+
+//dffpipe WIDTH=11 clock clrn d q
+//VERSION_BEGIN 5.0 cbx_a_gray2bin 2004:03:06:00:52:20:SJ cbx_a_graycounter 2004:10:01:12:13:16:SJ cbx_altdpram 2004:11:30:11:29:56:SJ cbx_altsyncram 2005:03:24:13:58:56:SJ cbx_cycloneii 2004:12:20:14:28:52:SJ cbx_dcfifo 2005:03:07:17:11:14:SJ cbx_fifo_common 2004:12:13:14:26:24:SJ cbx_flex10ke 2002:10:18:16:54:38:SJ cbx_lpm_add_sub 2005:04:12:13:30:42:SJ cbx_lpm_compare 2004:11:30:11:30:40:SJ cbx_lpm_counter 2005:02:02:04:37:10:SJ cbx_lpm_decode 2004:12:13:14:19:12:SJ cbx_lpm_mux 2004:12:13:14:16:38:SJ cbx_mgl 2005:05:19:13:51:58:SJ cbx_scfifo 2005:03:10:10:52:20:SJ cbx_stratix 2005:06:02:09:53:04:SJ cbx_stratixii 2004:12:22:13:27:12:SJ cbx_util_mgl 2005:04:04:13:50:06:SJ  VERSION_END
+
+
+//dffpipe WIDTH=11 clock clrn d q
+//VERSION_BEGIN 5.0 cbx_mgl 2005:05:19:13:51:58:SJ cbx_stratixii 2004:12:22:13:27:12:SJ cbx_util_mgl 2005:04:04:13:50:06:SJ  VERSION_END
+
+//synthesis_resources = lut 11 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fifo_2k_dffpipe_dm2
+       ( 
+       clock,
+       clrn,
+       d,
+       q) /* synthesis synthesis_clearbox=1 */
+               /* synthesis ALTERA_ATTRIBUTE="AUTO_SHIFT_REGISTER_RECOGNITION=OFF" */;
+       input   clock;
+       input   clrn;
+       input   [10:0]  d;
+       output   [10:0]  q;
+
+       wire    [10:0]  wire_dffe6a_D;
+       reg     [10:0]  dffe6a;
+       wire ena;
+       wire prn;
+       wire sclr;
+
+       // synopsys translate_off
+       initial
+               dffe6a[0:0] = 0;
+       // synopsys translate_on
+       always @ ( posedge clock or  negedge prn or  negedge clrn)
+               if (prn == 1'b0) dffe6a[0:0] <= 1'b1;
+               else if (clrn == 1'b0) dffe6a[0:0] <= 1'b0;
+               else if  (ena == 1'b1)   dffe6a[0:0] <= wire_dffe6a_D[0:0];
+       // synopsys translate_off
+       initial
+               dffe6a[1:1] = 0;
+       // synopsys translate_on
+       always @ ( posedge clock or  negedge prn or  negedge clrn)
+               if (prn == 1'b0) dffe6a[1:1] <= 1'b1;
+               else if (clrn == 1'b0) dffe6a[1:1] <= 1'b0;
+               else if  (ena == 1'b1)   dffe6a[1:1] <= wire_dffe6a_D[1:1];
+       // synopsys translate_off
+       initial
+               dffe6a[2:2] = 0;
+       // synopsys translate_on
+       always @ ( posedge clock or  negedge prn or  negedge clrn)
+               if (prn == 1'b0) dffe6a[2:2] <= 1'b1;
+               else if (clrn == 1'b0) dffe6a[2:2] <= 1'b0;
+               else if  (ena == 1'b1)   dffe6a[2:2] <= wire_dffe6a_D[2:2];
+       // synopsys translate_off
+       initial
+               dffe6a[3:3] = 0;
+       // synopsys translate_on
+       always @ ( posedge clock or  negedge prn or  negedge clrn)
+               if (prn == 1'b0) dffe6a[3:3] <= 1'b1;
+               else if (clrn == 1'b0) dffe6a[3:3] <= 1'b0;
+               else if  (ena == 1'b1)   dffe6a[3:3] <= wire_dffe6a_D[3:3];
+       // synopsys translate_off
+       initial
+               dffe6a[4:4] = 0;
+       // synopsys translate_on
+       always @ ( posedge clock or  negedge prn or  negedge clrn)
+               if (prn == 1'b0) dffe6a[4:4] <= 1'b1;
+               else if (clrn == 1'b0) dffe6a[4:4] <= 1'b0;
+               else if  (ena == 1'b1)   dffe6a[4:4] <= wire_dffe6a_D[4:4];
+       // synopsys translate_off
+       initial
+               dffe6a[5:5] = 0;
+       // synopsys translate_on
+       always @ ( posedge clock or  negedge prn or  negedge clrn)
+               if (prn == 1'b0) dffe6a[5:5] <= 1'b1;
+               else if (clrn == 1'b0) dffe6a[5:5] <= 1'b0;
+               else if  (ena == 1'b1)   dffe6a[5:5] <= wire_dffe6a_D[5:5];
+       // synopsys translate_off
+       initial
+               dffe6a[6:6] = 0;
+       // synopsys translate_on
+       always @ ( posedge clock or  negedge prn or  negedge clrn)
+               if (prn == 1'b0) dffe6a[6:6] <= 1'b1;
+               else if (clrn == 1'b0) dffe6a[6:6] <= 1'b0;
+               else if  (ena == 1'b1)   dffe6a[6:6] <= wire_dffe6a_D[6:6];
+       // synopsys translate_off
+       initial
+               dffe6a[7:7] = 0;
+       // synopsys translate_on
+       always @ ( posedge clock or  negedge prn or  negedge clrn)
+               if (prn == 1'b0) dffe6a[7:7] <= 1'b1;
+               else if (clrn == 1'b0) dffe6a[7:7] <= 1'b0;
+               else if  (ena == 1'b1)   dffe6a[7:7] <= wire_dffe6a_D[7:7];
+       // synopsys translate_off
+       initial
+               dffe6a[8:8] = 0;
+       // synopsys translate_on
+       always @ ( posedge clock or  negedge prn or  negedge clrn)
+               if (prn == 1'b0) dffe6a[8:8] <= 1'b1;
+               else if (clrn == 1'b0) dffe6a[8:8] <= 1'b0;
+               else if  (ena == 1'b1)   dffe6a[8:8] <= wire_dffe6a_D[8:8];
+       // synopsys translate_off
+       initial
+               dffe6a[9:9] = 0;
+       // synopsys translate_on
+       always @ ( posedge clock or  negedge prn or  negedge clrn)
+               if (prn == 1'b0) dffe6a[9:9] <= 1'b1;
+               else if (clrn == 1'b0) dffe6a[9:9] <= 1'b0;
+               else if  (ena == 1'b1)   dffe6a[9:9] <= wire_dffe6a_D[9:9];
+       // synopsys translate_off
+       initial
+               dffe6a[10:10] = 0;
+       // synopsys translate_on
+       always @ ( posedge clock or  negedge prn or  negedge clrn)
+               if (prn == 1'b0) dffe6a[10:10] <= 1'b1;
+               else if (clrn == 1'b0) dffe6a[10:10] <= 1'b0;
+               else if  (ena == 1'b1)   dffe6a[10:10] <= wire_dffe6a_D[10:10];
+       assign
+               wire_dffe6a_D = (d & {11{(~ sclr)}});
+       assign
+               ena = 1'b1,
+               prn = 1'b1,
+               q = dffe6a,
+               sclr = 1'b0;
+endmodule //fifo_2k_dffpipe_dm2
+
+//synthesis_resources = lut 11 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fifo_2k_alt_synch_pipe_dm2
+       ( 
+       clock,
+       clrn,
+       d,
+       q) /* synthesis synthesis_clearbox=1 */
+               /* synthesis ALTERA_ATTRIBUTE="X_ON_VIOLATION_OPTION=OFF" */;
+       input   clock;
+       input   clrn;
+       input   [10:0]  d;
+       output   [10:0]  q;
+
+       wire  [10:0]   wire_dffpipe5_q;
+
+       fifo_2k_dffpipe_dm2   dffpipe5
+       ( 
+       .clock(clock),
+       .clrn(clrn),
+       .d(d),
+       .q(wire_dffpipe5_q));
+       assign
+               q = wire_dffpipe5_q;
+endmodule //fifo_2k_alt_synch_pipe_dm2
+
+
+//lpm_add_sub DEVICE_FAMILY="Cyclone" LPM_DIRECTION="SUB" LPM_WIDTH=11 dataa datab result
+//VERSION_BEGIN 5.0 cbx_cycloneii 2004:12:20:14:28:52:SJ cbx_lpm_add_sub 2005:04:12:13:30:42:SJ cbx_mgl 2005:05:19:13:51:58:SJ cbx_stratix 2005:06:02:09:53:04:SJ cbx_stratixii 2004:12:22:13:27:12:SJ  VERSION_END
+
+//synthesis_resources = lut 11 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fifo_2k_add_sub_a18
+       ( 
+       dataa,
+       datab,
+       result) /* synthesis synthesis_clearbox=1 */;
+       input   [10:0]  dataa;
+       input   [10:0]  datab;
+       output   [10:0]  result;
+
+       wire  [10:0]   wire_add_sub_cella_combout;
+       wire  [0:0]   wire_add_sub_cella_0cout;
+       wire  [0:0]   wire_add_sub_cella_1cout;
+       wire  [0:0]   wire_add_sub_cella_2cout;
+       wire  [0:0]   wire_add_sub_cella_3cout;
+       wire  [0:0]   wire_add_sub_cella_4cout;
+       wire  [0:0]   wire_add_sub_cella_5cout;
+       wire  [0:0]   wire_add_sub_cella_6cout;
+       wire  [0:0]   wire_add_sub_cella_7cout;
+       wire  [0:0]   wire_add_sub_cella_8cout;
+       wire  [0:0]   wire_add_sub_cella_9cout;
+       wire  [10:0]   wire_add_sub_cella_dataa;
+       wire  [10:0]   wire_add_sub_cella_datab;
+
+       cyclone_lcell   add_sub_cella_0
+       ( 
+       .cin(1'b1),
+       .combout(wire_add_sub_cella_combout[0:0]),
+       .cout(wire_add_sub_cella_0cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[0:0]),
+       .datab(wire_add_sub_cella_datab[0:0]),
+       .regout()
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aclr(1'b0),
+       .aload(1'b0),
+       .clk(1'b1),
+       .datac(1'b1),
+       .datad(1'b1),
+       .ena(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sclr(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               add_sub_cella_0.cin_used = "true",
+               add_sub_cella_0.lut_mask = "69b2",
+               add_sub_cella_0.operation_mode = "arithmetic",
+               add_sub_cella_0.sum_lutc_input = "cin",
+               add_sub_cella_0.lpm_type = "cyclone_lcell";
+       cyclone_lcell   add_sub_cella_1
+       ( 
+       .cin(wire_add_sub_cella_0cout[0:0]),
+       .combout(wire_add_sub_cella_combout[1:1]),
+       .cout(wire_add_sub_cella_1cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[1:1]),
+       .datab(wire_add_sub_cella_datab[1:1]),
+       .regout()
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aclr(1'b0),
+       .aload(1'b0),
+       .clk(1'b1),
+       .datac(1'b1),
+       .datad(1'b1),
+       .ena(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sclr(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               add_sub_cella_1.cin_used = "true",
+               add_sub_cella_1.lut_mask = "69b2",
+               add_sub_cella_1.operation_mode = "arithmetic",
+               add_sub_cella_1.sum_lutc_input = "cin",
+               add_sub_cella_1.lpm_type = "cyclone_lcell";
+       cyclone_lcell   add_sub_cella_2
+       ( 
+       .cin(wire_add_sub_cella_1cout[0:0]),
+       .combout(wire_add_sub_cella_combout[2:2]),
+       .cout(wire_add_sub_cella_2cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[2:2]),
+       .datab(wire_add_sub_cella_datab[2:2]),
+       .regout()
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aclr(1'b0),
+       .aload(1'b0),
+       .clk(1'b1),
+       .datac(1'b1),
+       .datad(1'b1),
+       .ena(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sclr(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               add_sub_cella_2.cin_used = "true",
+               add_sub_cella_2.lut_mask = "69b2",
+               add_sub_cella_2.operation_mode = "arithmetic",
+               add_sub_cella_2.sum_lutc_input = "cin",
+               add_sub_cella_2.lpm_type = "cyclone_lcell";
+       cyclone_lcell   add_sub_cella_3
+       ( 
+       .cin(wire_add_sub_cella_2cout[0:0]),
+       .combout(wire_add_sub_cella_combout[3:3]),
+       .cout(wire_add_sub_cella_3cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[3:3]),
+       .datab(wire_add_sub_cella_datab[3:3]),
+       .regout()
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aclr(1'b0),
+       .aload(1'b0),
+       .clk(1'b1),
+       .datac(1'b1),
+       .datad(1'b1),
+       .ena(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sclr(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               add_sub_cella_3.cin_used = "true",
+               add_sub_cella_3.lut_mask = "69b2",
+               add_sub_cella_3.operation_mode = "arithmetic",
+               add_sub_cella_3.sum_lutc_input = "cin",
+               add_sub_cella_3.lpm_type = "cyclone_lcell";
+       cyclone_lcell   add_sub_cella_4
+       ( 
+       .cin(wire_add_sub_cella_3cout[0:0]),
+       .combout(wire_add_sub_cella_combout[4:4]),
+       .cout(wire_add_sub_cella_4cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[4:4]),
+       .datab(wire_add_sub_cella_datab[4:4]),
+       .regout()
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aclr(1'b0),
+       .aload(1'b0),
+       .clk(1'b1),
+       .datac(1'b1),
+       .datad(1'b1),
+       .ena(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sclr(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               add_sub_cella_4.cin_used = "true",
+               add_sub_cella_4.lut_mask = "69b2",
+               add_sub_cella_4.operation_mode = "arithmetic",
+               add_sub_cella_4.sum_lutc_input = "cin",
+               add_sub_cella_4.lpm_type = "cyclone_lcell";
+       cyclone_lcell   add_sub_cella_5
+       ( 
+       .cin(wire_add_sub_cella_4cout[0:0]),
+       .combout(wire_add_sub_cella_combout[5:5]),
+       .cout(wire_add_sub_cella_5cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[5:5]),
+       .datab(wire_add_sub_cella_datab[5:5]),
+       .regout()
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aclr(1'b0),
+       .aload(1'b0),
+       .clk(1'b1),
+       .datac(1'b1),
+       .datad(1'b1),
+       .ena(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sclr(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               add_sub_cella_5.cin_used = "true",
+               add_sub_cella_5.lut_mask = "69b2",
+               add_sub_cella_5.operation_mode = "arithmetic",
+               add_sub_cella_5.sum_lutc_input = "cin",
+               add_sub_cella_5.lpm_type = "cyclone_lcell";
+       cyclone_lcell   add_sub_cella_6
+       ( 
+       .cin(wire_add_sub_cella_5cout[0:0]),
+       .combout(wire_add_sub_cella_combout[6:6]),
+       .cout(wire_add_sub_cella_6cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[6:6]),
+       .datab(wire_add_sub_cella_datab[6:6]),
+       .regout()
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aclr(1'b0),
+       .aload(1'b0),
+       .clk(1'b1),
+       .datac(1'b1),
+       .datad(1'b1),
+       .ena(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sclr(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               add_sub_cella_6.cin_used = "true",
+               add_sub_cella_6.lut_mask = "69b2",
+               add_sub_cella_6.operation_mode = "arithmetic",
+               add_sub_cella_6.sum_lutc_input = "cin",
+               add_sub_cella_6.lpm_type = "cyclone_lcell";
+       cyclone_lcell   add_sub_cella_7
+       ( 
+       .cin(wire_add_sub_cella_6cout[0:0]),
+       .combout(wire_add_sub_cella_combout[7:7]),
+       .cout(wire_add_sub_cella_7cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[7:7]),
+       .datab(wire_add_sub_cella_datab[7:7]),
+       .regout()
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aclr(1'b0),
+       .aload(1'b0),
+       .clk(1'b1),
+       .datac(1'b1),
+       .datad(1'b1),
+       .ena(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sclr(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               add_sub_cella_7.cin_used = "true",
+               add_sub_cella_7.lut_mask = "69b2",
+               add_sub_cella_7.operation_mode = "arithmetic",
+               add_sub_cella_7.sum_lutc_input = "cin",
+               add_sub_cella_7.lpm_type = "cyclone_lcell";
+       cyclone_lcell   add_sub_cella_8
+       ( 
+       .cin(wire_add_sub_cella_7cout[0:0]),
+       .combout(wire_add_sub_cella_combout[8:8]),
+       .cout(wire_add_sub_cella_8cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[8:8]),
+       .datab(wire_add_sub_cella_datab[8:8]),
+       .regout()
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aclr(1'b0),
+       .aload(1'b0),
+       .clk(1'b1),
+       .datac(1'b1),
+       .datad(1'b1),
+       .ena(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sclr(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               add_sub_cella_8.cin_used = "true",
+               add_sub_cella_8.lut_mask = "69b2",
+               add_sub_cella_8.operation_mode = "arithmetic",
+               add_sub_cella_8.sum_lutc_input = "cin",
+               add_sub_cella_8.lpm_type = "cyclone_lcell";
+       cyclone_lcell   add_sub_cella_9
+       ( 
+       .cin(wire_add_sub_cella_8cout[0:0]),
+       .combout(wire_add_sub_cella_combout[9:9]),
+       .cout(wire_add_sub_cella_9cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[9:9]),
+       .datab(wire_add_sub_cella_datab[9:9]),
+       .regout()
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aclr(1'b0),
+       .aload(1'b0),
+       .clk(1'b1),
+       .datac(1'b1),
+       .datad(1'b1),
+       .ena(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sclr(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               add_sub_cella_9.cin_used = "true",
+               add_sub_cella_9.lut_mask = "69b2",
+               add_sub_cella_9.operation_mode = "arithmetic",
+               add_sub_cella_9.sum_lutc_input = "cin",
+               add_sub_cella_9.lpm_type = "cyclone_lcell";
+       cyclone_lcell   add_sub_cella_10
+       ( 
+       .cin(wire_add_sub_cella_9cout[0:0]),
+       .combout(wire_add_sub_cella_combout[10:10]),
+       .cout(),
+       .dataa(wire_add_sub_cella_dataa[10:10]),
+       .datab(wire_add_sub_cella_datab[10:10]),
+       .regout()
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aclr(1'b0),
+       .aload(1'b0),
+       .clk(1'b1),
+       .datac(1'b1),
+       .datad(1'b1),
+       .ena(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sclr(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               add_sub_cella_10.cin_used = "true",
+               add_sub_cella_10.lut_mask = "6969",
+               add_sub_cella_10.operation_mode = "normal",
+               add_sub_cella_10.sum_lutc_input = "cin",
+               add_sub_cella_10.lpm_type = "cyclone_lcell";
+       assign
+               wire_add_sub_cella_dataa = dataa,
+               wire_add_sub_cella_datab = datab;
+       assign
+               result = wire_add_sub_cella_combout;
+endmodule //fifo_2k_add_sub_a18
+
+
+//lpm_compare DEVICE_FAMILY="Cyclone" LPM_WIDTH=11 aeb dataa datab
+//VERSION_BEGIN 5.0 cbx_cycloneii 2004:12:20:14:28:52:SJ cbx_lpm_add_sub 2005:04:12:13:30:42:SJ cbx_lpm_compare 2004:11:30:11:30:40:SJ cbx_mgl 2005:05:19:13:51:58:SJ cbx_stratix 2005:06:02:09:53:04:SJ cbx_stratixii 2004:12:22:13:27:12:SJ  VERSION_END
+
+
+//lpm_compare DEVICE_FAMILY="Cyclone" LPM_WIDTH=11 aeb dataa datab
+//VERSION_BEGIN 5.0 cbx_cycloneii 2004:12:20:14:28:52:SJ cbx_lpm_add_sub 2005:04:12:13:30:42:SJ cbx_lpm_compare 2004:11:30:11:30:40:SJ cbx_mgl 2005:05:19:13:51:58:SJ cbx_stratix 2005:06:02:09:53:04:SJ cbx_stratixii 2004:12:22:13:27:12:SJ  VERSION_END
+
+//synthesis_resources = lut 97 M4K 8 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fifo_2k_dcfifo_0cq
+       ( 
+       aclr,
+       data,
+       q,
+       rdclk,
+       rdempty,
+       rdreq,
+       rdusedw,
+       wrclk,
+       wrfull,
+       wrreq,
+       wrusedw) /* synthesis synthesis_clearbox=1 */
+               /* synthesis ALTERA_ATTRIBUTE="AUTO_SHIFT_REGISTER_RECOGNITION=OFF;{ -from \"rdptr_g|power_modified_counter_values\" -to \"ws_dgrp|dffpipe5|dffe6a\" }CUT=ON;{ -from \"delayed_wrptr_g\" -to \"rs_dgwp|dffpipe5|dffe6a\" }CUT=ON" */;
+       input   aclr;
+       input   [15:0]  data;
+       output   [15:0]  q;
+       input   rdclk;
+       output   rdempty;
+       input   rdreq;
+       output   [10:0]  rdusedw;
+       input   wrclk;
+       output   wrfull;
+       input   wrreq;
+       output   [10:0]  wrusedw;
+
+       wire  [10:0]   wire_rdptr_g_gray2bin_bin;
+       wire  [10:0]   wire_rs_dgwp_gray2bin_bin;
+       wire  [10:0]   wire_wrptr_g_gray2bin_bin;
+       wire  [10:0]   wire_ws_dgrp_gray2bin_bin;
+       wire  [10:0]   wire_rdptr_g_q;
+       wire  [10:0]   wire_rdptr_g1p_q;
+       wire  [10:0]   wire_wrptr_g1p_q;
+       wire  [15:0]   wire_fifo_ram_q_b;
+       reg     [10:0]  delayed_wrptr_g;
+       reg     [10:0]  wrptr_g;
+       wire  [10:0]   wire_rs_brp_q;
+       wire  [10:0]   wire_rs_bwp_q;
+       wire  [10:0]   wire_rs_dgwp_q;
+       wire  [10:0]   wire_ws_brp_q;
+       wire  [10:0]   wire_ws_bwp_q;
+       wire  [10:0]   wire_ws_dgrp_q;
+       wire  [10:0]   wire_rdusedw_sub_result;
+       wire  [10:0]   wire_wrusedw_sub_result;
+       reg     wire_rdempty_eq_comp_aeb_int;
+       wire    wire_rdempty_eq_comp_aeb;
+       wire    [10:0]  wire_rdempty_eq_comp_dataa;
+       wire    [10:0]  wire_rdempty_eq_comp_datab;
+       reg     wire_wrfull_eq_comp_aeb_int;
+       wire    wire_wrfull_eq_comp_aeb;
+       wire    [10:0]  wire_wrfull_eq_comp_dataa;
+       wire    [10:0]  wire_wrfull_eq_comp_datab;
+       wire  int_rdempty;
+       wire  int_wrfull;
+       wire  valid_rdreq;
+       wire  valid_wrreq;
+
+       fifo_2k_a_gray2bin_8m4   rdptr_g_gray2bin
+       ( 
+       .bin(wire_rdptr_g_gray2bin_bin),
+       .gray(wire_rdptr_g_q));
+       fifo_2k_a_gray2bin_8m4   rs_dgwp_gray2bin
+       ( 
+       .bin(wire_rs_dgwp_gray2bin_bin),
+       .gray(wire_rs_dgwp_q));
+       fifo_2k_a_gray2bin_8m4   wrptr_g_gray2bin
+       ( 
+       .bin(wire_wrptr_g_gray2bin_bin),
+       .gray(wrptr_g));
+       fifo_2k_a_gray2bin_8m4   ws_dgrp_gray2bin
+       ( 
+       .bin(wire_ws_dgrp_gray2bin_bin),
+       .gray(wire_ws_dgrp_q));
+       fifo_2k_a_graycounter_726   rdptr_g
+       ( 
+       .aclr(aclr),
+       .clock(rdclk),
+       .cnt_en(valid_rdreq),
+       .q(wire_rdptr_g_q));
+       fifo_2k_a_graycounter_2r6   rdptr_g1p
+       ( 
+       .aclr(aclr),
+       .clock(rdclk),
+       .cnt_en(valid_rdreq),
+       .q(wire_rdptr_g1p_q));
+       fifo_2k_a_graycounter_2r6   wrptr_g1p
+       ( 
+       .aclr(aclr),
+       .clock(wrclk),
+       .cnt_en(valid_wrreq),
+       .q(wire_wrptr_g1p_q));
+       fifo_2k_altsyncram_6pl   fifo_ram
+       ( 
+       .address_a(wrptr_g),
+       .address_b(((wire_rdptr_g_q & {11{int_rdempty}}) | (wire_rdptr_g1p_q & {11{(~ int_rdempty)}}))),
+       .clock0(wrclk),
+       .clock1(rdclk),
+       .clocken1((valid_rdreq | int_rdempty)),
+       .data_a(data),
+       .q_b(wire_fifo_ram_q_b),
+       .wren_a(valid_wrreq));
+       // synopsys translate_off
+       initial
+               delayed_wrptr_g = 0;
+       // synopsys translate_on
+       always @ ( posedge wrclk or  posedge aclr)
+               if (aclr == 1'b1) delayed_wrptr_g <= 11'b0;
+               else  delayed_wrptr_g <= wrptr_g;
+       // synopsys translate_off
+       initial
+               wrptr_g = 0;
+       // synopsys translate_on
+       always @ ( posedge wrclk or  posedge aclr)
+               if (aclr == 1'b1) wrptr_g <= 11'b0;
+               else if  (valid_wrreq == 1'b1)   wrptr_g <= wire_wrptr_g1p_q;
+       fifo_2k_dffpipe_ab3   rs_brp
+       ( 
+       .clock(rdclk),
+       .clrn((~ aclr)),
+       .d(wire_rdptr_g_gray2bin_bin),
+       .q(wire_rs_brp_q));
+       fifo_2k_dffpipe_ab3   rs_bwp
+       ( 
+       .clock(rdclk),
+       .clrn((~ aclr)),
+       .d(wire_rs_dgwp_gray2bin_bin),
+       .q(wire_rs_bwp_q));
+       fifo_2k_alt_synch_pipe_dm2   rs_dgwp
+       ( 
+       .clock(rdclk),
+       .clrn((~ aclr)),
+       .d(delayed_wrptr_g),
+       .q(wire_rs_dgwp_q));
+       fifo_2k_dffpipe_ab3   ws_brp
+       ( 
+       .clock(wrclk),
+       .clrn((~ aclr)),
+       .d(wire_ws_dgrp_gray2bin_bin),
+       .q(wire_ws_brp_q));
+       fifo_2k_dffpipe_ab3   ws_bwp
+       ( 
+       .clock(wrclk),
+       .clrn((~ aclr)),
+       .d(wire_wrptr_g_gray2bin_bin),
+       .q(wire_ws_bwp_q));
+       fifo_2k_alt_synch_pipe_dm2   ws_dgrp
+       ( 
+       .clock(wrclk),
+       .clrn((~ aclr)),
+       .d(wire_rdptr_g_q),
+       .q(wire_ws_dgrp_q));
+       fifo_2k_add_sub_a18   rdusedw_sub
+       ( 
+       .dataa(wire_rs_bwp_q),
+       .datab(wire_rs_brp_q),
+       .result(wire_rdusedw_sub_result));
+       fifo_2k_add_sub_a18   wrusedw_sub
+       ( 
+       .dataa(wire_ws_bwp_q),
+       .datab(wire_ws_brp_q),
+       .result(wire_wrusedw_sub_result));
+       always @(wire_rdempty_eq_comp_dataa or wire_rdempty_eq_comp_datab)
+               if (wire_rdempty_eq_comp_dataa == wire_rdempty_eq_comp_datab) 
+                       begin
+                               wire_rdempty_eq_comp_aeb_int = 1'b1;
+                       end
+               else
+                       begin
+                               wire_rdempty_eq_comp_aeb_int = 1'b0;
+                       end
+       assign
+               wire_rdempty_eq_comp_aeb = wire_rdempty_eq_comp_aeb_int;
+       assign
+               wire_rdempty_eq_comp_dataa = wire_rs_dgwp_q,
+               wire_rdempty_eq_comp_datab = wire_rdptr_g_q;
+       always @(wire_wrfull_eq_comp_dataa or wire_wrfull_eq_comp_datab)
+               if (wire_wrfull_eq_comp_dataa == wire_wrfull_eq_comp_datab) 
+                       begin
+                               wire_wrfull_eq_comp_aeb_int = 1'b1;
+                       end
+               else
+                       begin
+                               wire_wrfull_eq_comp_aeb_int = 1'b0;
+                       end
+       assign
+               wire_wrfull_eq_comp_aeb = wire_wrfull_eq_comp_aeb_int;
+       assign
+               wire_wrfull_eq_comp_dataa = wire_ws_dgrp_q,
+               wire_wrfull_eq_comp_datab = wire_wrptr_g1p_q;
+       assign
+               int_rdempty = wire_rdempty_eq_comp_aeb,
+               int_wrfull = wire_wrfull_eq_comp_aeb,
+               q = wire_fifo_ram_q_b,
+               rdempty = int_rdempty,
+               rdusedw = wire_rdusedw_sub_result,
+               valid_rdreq = rdreq,
+               valid_wrreq = wrreq,
+               wrfull = int_wrfull,
+               wrusedw = wire_wrusedw_sub_result;
+endmodule //fifo_2k_dcfifo_0cq
+//VALID FILE
+
+
+// synopsys translate_off
+`timescale 1 ps / 1 ps
+// synopsys translate_on
+module fifo_2k (
+       data,
+       wrreq,
+       rdreq,
+       rdclk,
+       wrclk,
+       aclr,
+       q,
+       rdempty,
+       rdusedw,
+       wrfull,
+       wrusedw)/* synthesis synthesis_clearbox = 1 */;
+
+       input   [15:0]  data;
+       input     wrreq;
+       input     rdreq;
+       input     rdclk;
+       input     wrclk;
+       input     aclr;
+       output  [15:0]  q;
+       output    rdempty;
+       output  [10:0]  rdusedw;
+       output    wrfull;
+       output  [10:0]  wrusedw;
+
+       wire  sub_wire0;
+       wire [10:0] sub_wire1;
+       wire  sub_wire2;
+       wire [15:0] sub_wire3;
+       wire [10:0] sub_wire4;
+       wire  rdempty = sub_wire0;
+       wire [10:0] wrusedw = sub_wire1[10:0];
+       wire  wrfull = sub_wire2;
+       wire [15:0] q = sub_wire3[15:0];
+       wire [10:0] rdusedw = sub_wire4[10:0];
+
+       fifo_2k_dcfifo_0cq      fifo_2k_dcfifo_0cq_component (
+                               .wrclk (wrclk),
+                               .rdreq (rdreq),
+                               .aclr (aclr),
+                               .rdclk (rdclk),
+                               .wrreq (wrreq),
+                               .data (data),
+                               .rdempty (sub_wire0),
+                               .wrusedw (sub_wire1),
+                               .wrfull (sub_wire2),
+                               .q (sub_wire3),
+                               .rdusedw (sub_wire4));
+
+endmodule
+
+// ============================================================
+// CNX file retrieval info
+// ============================================================
+// Retrieval info: PRIVATE: Width NUMERIC "16"
+// Retrieval info: PRIVATE: Depth NUMERIC "2048"
+// Retrieval info: PRIVATE: Clock NUMERIC "4"
+// Retrieval info: PRIVATE: CLOCKS_ARE_SYNCHRONIZED NUMERIC "0"
+// Retrieval info: PRIVATE: Full NUMERIC "1"
+// Retrieval info: PRIVATE: Empty NUMERIC "1"
+// Retrieval info: PRIVATE: UsedW NUMERIC "1"
+// Retrieval info: PRIVATE: AlmostFull NUMERIC "0"
+// Retrieval info: PRIVATE: AlmostEmpty NUMERIC "0"
+// Retrieval info: PRIVATE: AlmostFullThr NUMERIC "-1"
+// Retrieval info: PRIVATE: AlmostEmptyThr NUMERIC "-1"
+// Retrieval info: PRIVATE: sc_aclr NUMERIC "0"
+// Retrieval info: PRIVATE: sc_sclr NUMERIC "0"
+// Retrieval info: PRIVATE: rsFull NUMERIC "0"
+// Retrieval info: PRIVATE: rsEmpty NUMERIC "1"
+// Retrieval info: PRIVATE: rsUsedW NUMERIC "1"
+// Retrieval info: PRIVATE: wsFull NUMERIC "1"
+// Retrieval info: PRIVATE: wsEmpty NUMERIC "0"
+// Retrieval info: PRIVATE: wsUsedW NUMERIC "1"
+// Retrieval info: PRIVATE: dc_aclr NUMERIC "1"
+// Retrieval info: PRIVATE: LegacyRREQ NUMERIC "0"
+// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
+// Retrieval info: PRIVATE: MAX_DEPTH_BY_9 NUMERIC "0"
+// Retrieval info: PRIVATE: LE_BasedFIFO NUMERIC "0"
+// Retrieval info: PRIVATE: Optimize NUMERIC "2"
+// Retrieval info: PRIVATE: OVERFLOW_CHECKING NUMERIC "1"
+// Retrieval info: PRIVATE: UNDERFLOW_CHECKING NUMERIC "1"
+// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone"
+// Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "16"
+// Retrieval info: CONSTANT: LPM_NUMWORDS NUMERIC "2048"
+// Retrieval info: CONSTANT: LPM_WIDTHU NUMERIC "11"
+// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone"
+// Retrieval info: CONSTANT: CLOCKS_ARE_SYNCHRONIZED STRING "FALSE"
+// Retrieval info: CONSTANT: LPM_TYPE STRING "dcfifo"
+// Retrieval info: CONSTANT: LPM_SHOWAHEAD STRING "ON"
+// Retrieval info: CONSTANT: OVERFLOW_CHECKING STRING "OFF"
+// Retrieval info: CONSTANT: UNDERFLOW_CHECKING STRING "OFF"
+// Retrieval info: CONSTANT: USE_EAB STRING "ON"
+// Retrieval info: CONSTANT: ADD_RAM_OUTPUT_REGISTER STRING "OFF"
+// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone"
+// Retrieval info: USED_PORT: data 0 0 16 0 INPUT NODEFVAL data[15..0]
+// Retrieval info: USED_PORT: q 0 0 16 0 OUTPUT NODEFVAL q[15..0]
+// Retrieval info: USED_PORT: wrreq 0 0 0 0 INPUT NODEFVAL wrreq
+// Retrieval info: USED_PORT: rdreq 0 0 0 0 INPUT NODEFVAL rdreq
+// Retrieval info: USED_PORT: rdclk 0 0 0 0 INPUT NODEFVAL rdclk
+// Retrieval info: USED_PORT: wrclk 0 0 0 0 INPUT NODEFVAL wrclk
+// Retrieval info: USED_PORT: rdempty 0 0 0 0 OUTPUT NODEFVAL rdempty
+// Retrieval info: USED_PORT: rdusedw 0 0 11 0 OUTPUT NODEFVAL rdusedw[10..0]
+// Retrieval info: USED_PORT: wrfull 0 0 0 0 OUTPUT NODEFVAL wrfull
+// Retrieval info: USED_PORT: wrusedw 0 0 11 0 OUTPUT NODEFVAL wrusedw[10..0]
+// Retrieval info: USED_PORT: aclr 0 0 0 0 INPUT GND aclr
+// Retrieval info: CONNECT: @data 0 0 16 0 data 0 0 16 0
+// Retrieval info: CONNECT: q 0 0 16 0 @q 0 0 16 0
+// Retrieval info: CONNECT: @wrreq 0 0 0 0 wrreq 0 0 0 0
+// Retrieval info: CONNECT: @rdreq 0 0 0 0 rdreq 0 0 0 0
+// Retrieval info: CONNECT: @rdclk 0 0 0 0 rdclk 0 0 0 0
+// Retrieval info: CONNECT: @wrclk 0 0 0 0 wrclk 0 0 0 0
+// Retrieval info: CONNECT: rdempty 0 0 0 0 @rdempty 0 0 0 0
+// Retrieval info: CONNECT: rdusedw 0 0 11 0 @rdusedw 0 0 11 0
+// Retrieval info: CONNECT: wrfull 0 0 0 0 @wrfull 0 0 0 0
+// Retrieval info: CONNECT: wrusedw 0 0 11 0 @wrusedw 0 0 11 0
+// Retrieval info: CONNECT: @aclr 0 0 0 0 aclr 0 0 0 0
+// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_2k.v TRUE
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_2k.inc FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_2k.cmp FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_2k.bsf FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_2k_inst.v FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_2k_bb.v TRUE
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_2k_waveforms.html TRUE
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_2k_wave*.jpg FALSE
diff --git a/usrp/fpga/megacells/fifo_2k_bb.v b/usrp/fpga/megacells/fifo_2k_bb.v
new file mode 100644 (file)
index 0000000..3fcc2a4
--- /dev/null
@@ -0,0 +1,131 @@
+// megafunction wizard: %FIFO%VBB%
+// GENERATION: STANDARD
+// VERSION: WM1.0
+// MODULE: dcfifo 
+
+// ============================================================
+// File Name: fifo_2k.v
+// Megafunction Name(s):
+//                     dcfifo
+// ============================================================
+// ************************************************************
+// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
+//
+// 5.0 Build 168 06/22/2005 SP 1 SJ Web Edition
+// ************************************************************
+
+//Copyright (C) 1991-2005 Altera Corporation
+//Your use of Altera Corporation's design tools, logic functions 
+//and other software and tools, and its AMPP partner logic       
+//functions, and any output files any of the foregoing           
+//(including device programming or simulation files), and any    
+//associated documentation or information are expressly subject  
+//to the terms and conditions of the Altera Program License      
+//Subscription Agreement, Altera MegaCore Function License       
+//Agreement, or other applicable license agreement, including,   
+//without limitation, that your use is for the sole purpose of   
+//programming logic devices manufactured by Altera and sold by   
+//Altera or its authorized distributors.  Please refer to the    
+//applicable agreement for further details.
+
+module fifo_2k (
+       data,
+       wrreq,
+       rdreq,
+       rdclk,
+       wrclk,
+       aclr,
+       q,
+       rdempty,
+       rdusedw,
+       wrfull,
+       wrusedw)/* synthesis synthesis_clearbox = 1 */;
+
+       input   [15:0]  data;
+       input     wrreq;
+       input     rdreq;
+       input     rdclk;
+       input     wrclk;
+       input     aclr;
+       output  [15:0]  q;
+       output    rdempty;
+       output  [10:0]  rdusedw;
+       output    wrfull;
+       output  [10:0]  wrusedw;
+
+endmodule
+
+// ============================================================
+// CNX file retrieval info
+// ============================================================
+// Retrieval info: PRIVATE: Width NUMERIC "16"
+// Retrieval info: PRIVATE: Depth NUMERIC "2048"
+// Retrieval info: PRIVATE: Clock NUMERIC "4"
+// Retrieval info: PRIVATE: CLOCKS_ARE_SYNCHRONIZED NUMERIC "0"
+// Retrieval info: PRIVATE: Full NUMERIC "1"
+// Retrieval info: PRIVATE: Empty NUMERIC "1"
+// Retrieval info: PRIVATE: UsedW NUMERIC "1"
+// Retrieval info: PRIVATE: AlmostFull NUMERIC "0"
+// Retrieval info: PRIVATE: AlmostEmpty NUMERIC "0"
+// Retrieval info: PRIVATE: AlmostFullThr NUMERIC "-1"
+// Retrieval info: PRIVATE: AlmostEmptyThr NUMERIC "-1"
+// Retrieval info: PRIVATE: sc_aclr NUMERIC "0"
+// Retrieval info: PRIVATE: sc_sclr NUMERIC "0"
+// Retrieval info: PRIVATE: rsFull NUMERIC "0"
+// Retrieval info: PRIVATE: rsEmpty NUMERIC "1"
+// Retrieval info: PRIVATE: rsUsedW NUMERIC "1"
+// Retrieval info: PRIVATE: wsFull NUMERIC "1"
+// Retrieval info: PRIVATE: wsEmpty NUMERIC "0"
+// Retrieval info: PRIVATE: wsUsedW NUMERIC "1"
+// Retrieval info: PRIVATE: dc_aclr NUMERIC "1"
+// Retrieval info: PRIVATE: LegacyRREQ NUMERIC "0"
+// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
+// Retrieval info: PRIVATE: MAX_DEPTH_BY_9 NUMERIC "0"
+// Retrieval info: PRIVATE: LE_BasedFIFO NUMERIC "0"
+// Retrieval info: PRIVATE: Optimize NUMERIC "2"
+// Retrieval info: PRIVATE: OVERFLOW_CHECKING NUMERIC "1"
+// Retrieval info: PRIVATE: UNDERFLOW_CHECKING NUMERIC "1"
+// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone"
+// Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "16"
+// Retrieval info: CONSTANT: LPM_NUMWORDS NUMERIC "2048"
+// Retrieval info: CONSTANT: LPM_WIDTHU NUMERIC "11"
+// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone"
+// Retrieval info: CONSTANT: CLOCKS_ARE_SYNCHRONIZED STRING "FALSE"
+// Retrieval info: CONSTANT: LPM_TYPE STRING "dcfifo"
+// Retrieval info: CONSTANT: LPM_SHOWAHEAD STRING "ON"
+// Retrieval info: CONSTANT: OVERFLOW_CHECKING STRING "OFF"
+// Retrieval info: CONSTANT: UNDERFLOW_CHECKING STRING "OFF"
+// Retrieval info: CONSTANT: USE_EAB STRING "ON"
+// Retrieval info: CONSTANT: ADD_RAM_OUTPUT_REGISTER STRING "OFF"
+// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone"
+// Retrieval info: USED_PORT: data 0 0 16 0 INPUT NODEFVAL data[15..0]
+// Retrieval info: USED_PORT: q 0 0 16 0 OUTPUT NODEFVAL q[15..0]
+// Retrieval info: USED_PORT: wrreq 0 0 0 0 INPUT NODEFVAL wrreq
+// Retrieval info: USED_PORT: rdreq 0 0 0 0 INPUT NODEFVAL rdreq
+// Retrieval info: USED_PORT: rdclk 0 0 0 0 INPUT NODEFVAL rdclk
+// Retrieval info: USED_PORT: wrclk 0 0 0 0 INPUT NODEFVAL wrclk
+// Retrieval info: USED_PORT: rdempty 0 0 0 0 OUTPUT NODEFVAL rdempty
+// Retrieval info: USED_PORT: rdusedw 0 0 11 0 OUTPUT NODEFVAL rdusedw[10..0]
+// Retrieval info: USED_PORT: wrfull 0 0 0 0 OUTPUT NODEFVAL wrfull
+// Retrieval info: USED_PORT: wrusedw 0 0 11 0 OUTPUT NODEFVAL wrusedw[10..0]
+// Retrieval info: USED_PORT: aclr 0 0 0 0 INPUT GND aclr
+// Retrieval info: CONNECT: @data 0 0 16 0 data 0 0 16 0
+// Retrieval info: CONNECT: q 0 0 16 0 @q 0 0 16 0
+// Retrieval info: CONNECT: @wrreq 0 0 0 0 wrreq 0 0 0 0
+// Retrieval info: CONNECT: @rdreq 0 0 0 0 rdreq 0 0 0 0
+// Retrieval info: CONNECT: @rdclk 0 0 0 0 rdclk 0 0 0 0
+// Retrieval info: CONNECT: @wrclk 0 0 0 0 wrclk 0 0 0 0
+// Retrieval info: CONNECT: rdempty 0 0 0 0 @rdempty 0 0 0 0
+// Retrieval info: CONNECT: rdusedw 0 0 11 0 @rdusedw 0 0 11 0
+// Retrieval info: CONNECT: wrfull 0 0 0 0 @wrfull 0 0 0 0
+// Retrieval info: CONNECT: wrusedw 0 0 11 0 @wrusedw 0 0 11 0
+// Retrieval info: CONNECT: @aclr 0 0 0 0 aclr 0 0 0 0
+// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_2k.v TRUE
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_2k.inc FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_2k.cmp FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_2k.bsf FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_2k_inst.v FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_2k_bb.v TRUE
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_2k_waveforms.html TRUE
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_2k_wave*.jpg FALSE
diff --git a/usrp/fpga/megacells/fifo_4k.v b/usrp/fpga/megacells/fifo_4k.v
new file mode 100644 (file)
index 0000000..a5ab466
--- /dev/null
@@ -0,0 +1,3495 @@
+// megafunction wizard: %FIFO%CBX%
+// GENERATION: STANDARD
+// VERSION: WM1.0
+// MODULE: dcfifo 
+
+// ============================================================
+// File Name: fifo_4k.v
+// Megafunction Name(s):
+//                     dcfifo
+// ============================================================
+// ************************************************************
+// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
+//
+// 5.0 Build 168 06/22/2005 SP 1 SJ Web Edition
+// ************************************************************
+
+
+//Copyright (C) 1991-2005 Altera Corporation
+//Your use of Altera Corporation's design tools, logic functions 
+//and other software and tools, and its AMPP partner logic       
+//functions, and any output files any of the foregoing           
+//(including device programming or simulation files), and any    
+//associated documentation or information are expressly subject  
+//to the terms and conditions of the Altera Program License      
+//Subscription Agreement, Altera MegaCore Function License       
+//Agreement, or other applicable license agreement, including,   
+//without limitation, that your use is for the sole purpose of   
+//programming logic devices manufactured by Altera and sold by   
+//Altera or its authorized distributors.  Please refer to the    
+//applicable agreement for further details.
+
+
+//dcfifo ADD_RAM_OUTPUT_REGISTER="OFF" CLOCKS_ARE_SYNCHRONIZED="FALSE" DEVICE_FAMILY="Cyclone" LPM_NUMWORDS=4096 LPM_SHOWAHEAD="ON" LPM_WIDTH=16 LPM_WIDTHU=12 OVERFLOW_CHECKING="OFF" UNDERFLOW_CHECKING="OFF" USE_EAB="ON" aclr data q rdclk rdempty rdreq rdusedw wrclk wrfull wrreq wrusedw
+//VERSION_BEGIN 5.0 cbx_a_gray2bin 2004:03:06:00:52:20:SJ cbx_a_graycounter 2004:10:01:12:13:16:SJ cbx_altdpram 2004:11:30:11:29:56:SJ cbx_altsyncram 2005:03:24:13:58:56:SJ cbx_cycloneii 2004:12:20:14:28:52:SJ cbx_dcfifo 2005:03:07:17:11:14:SJ cbx_fifo_common 2004:12:13:14:26:24:SJ cbx_flex10ke 2002:10:18:16:54:38:SJ cbx_lpm_add_sub 2005:04:12:13:30:42:SJ cbx_lpm_compare 2004:11:30:11:30:40:SJ cbx_lpm_counter 2005:02:02:04:37:10:SJ cbx_lpm_decode 2004:12:13:14:19:12:SJ cbx_lpm_mux 2004:12:13:14:16:38:SJ cbx_mgl 2005:05:19:13:51:58:SJ cbx_scfifo 2005:03:10:10:52:20:SJ cbx_stratix 2005:06:02:09:53:04:SJ cbx_stratixii 2004:12:22:13:27:12:SJ cbx_util_mgl 2005:04:04:13:50:06:SJ  VERSION_END
+
+
+//a_gray2bin device_family="Cyclone" WIDTH=12 bin gray
+//VERSION_BEGIN 5.0 cbx_a_gray2bin 2004:03:06:00:52:20:SJ cbx_mgl 2005:05:19:13:51:58:SJ  VERSION_END
+
+//synthesis_resources = 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fifo_4k_a_gray2bin_9m4
+       ( 
+       bin,
+       gray) /* synthesis synthesis_clearbox=1 */;
+       output   [11:0]  bin;
+       input   [11:0]  gray;
+
+       wire  xor0;
+       wire  xor1;
+       wire  xor10;
+       wire  xor2;
+       wire  xor3;
+       wire  xor4;
+       wire  xor5;
+       wire  xor6;
+       wire  xor7;
+       wire  xor8;
+       wire  xor9;
+
+       assign
+               bin = {gray[11], xor10, xor9, xor8, xor7, xor6, xor5, xor4, xor3, xor2, xor1, xor0},
+               xor0 = (gray[0] ^ xor1),
+               xor1 = (gray[1] ^ xor2),
+               xor10 = (gray[11] ^ gray[10]),
+               xor2 = (gray[2] ^ xor3),
+               xor3 = (gray[3] ^ xor4),
+               xor4 = (gray[4] ^ xor5),
+               xor5 = (gray[5] ^ xor6),
+               xor6 = (gray[6] ^ xor7),
+               xor7 = (gray[7] ^ xor8),
+               xor8 = (gray[8] ^ xor9),
+               xor9 = (gray[9] ^ xor10);
+endmodule //fifo_4k_a_gray2bin_9m4
+
+
+//a_graycounter DEVICE_FAMILY="Cyclone" WIDTH=12 aclr clock cnt_en q
+//VERSION_BEGIN 5.0 cbx_a_gray2bin 2004:03:06:00:52:20:SJ cbx_a_graycounter 2004:10:01:12:13:16:SJ cbx_cycloneii 2004:12:20:14:28:52:SJ cbx_flex10ke 2002:10:18:16:54:38:SJ cbx_mgl 2005:05:19:13:51:58:SJ cbx_stratix 2005:06:02:09:53:04:SJ cbx_stratixii 2004:12:22:13:27:12:SJ  VERSION_END
+
+//synthesis_resources = lut 13 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fifo_4k_a_graycounter_826
+       ( 
+       aclr,
+       clock,
+       cnt_en,
+       q) /* synthesis synthesis_clearbox=1 */;
+       input   aclr;
+       input   clock;
+       input   cnt_en;
+       output   [11:0]  q;
+
+       wire  [0:0]   wire_countera_0cout;
+       wire  [0:0]   wire_countera_1cout;
+       wire  [0:0]   wire_countera_2cout;
+       wire  [0:0]   wire_countera_3cout;
+       wire  [0:0]   wire_countera_4cout;
+       wire  [0:0]   wire_countera_5cout;
+       wire  [0:0]   wire_countera_6cout;
+       wire  [0:0]   wire_countera_7cout;
+       wire  [0:0]   wire_countera_8cout;
+       wire  [0:0]   wire_countera_9cout;
+       wire  [0:0]   wire_countera_10cout;
+       wire  [11:0]   wire_countera_regout;
+       wire  wire_parity_cout;
+       wire  wire_parity_regout;
+       wire  [11:0]  power_modified_counter_values;
+       wire sclr;
+       wire updown;
+
+       cyclone_lcell   countera_0
+       ( 
+       .aclr(aclr),
+       .cin(wire_parity_cout),
+       .clk(clock),
+       .combout(),
+       .cout(wire_countera_0cout[0:0]),
+       .dataa(cnt_en),
+       .datab(wire_countera_regout[0:0]),
+       .ena(1'b1),
+       .regout(wire_countera_regout[0:0]),
+       .sclr(sclr)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aload(1'b0),
+       .datac(1'b1),
+       .datad(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               countera_0.cin_used = "true",
+               countera_0.lut_mask = "c6a0",
+               countera_0.operation_mode = "arithmetic",
+               countera_0.sum_lutc_input = "cin",
+               countera_0.synch_mode = "on",
+               countera_0.lpm_type = "cyclone_lcell";
+       cyclone_lcell   countera_1
+       ( 
+       .aclr(aclr),
+       .cin(wire_countera_0cout[0:0]),
+       .clk(clock),
+       .combout(),
+       .cout(wire_countera_1cout[0:0]),
+       .dataa(power_modified_counter_values[0]),
+       .datab(power_modified_counter_values[1]),
+       .ena(1'b1),
+       .regout(wire_countera_regout[1:1]),
+       .sclr(sclr)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aload(1'b0),
+       .datac(1'b1),
+       .datad(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               countera_1.cin_used = "true",
+               countera_1.lut_mask = "6c50",
+               countera_1.operation_mode = "arithmetic",
+               countera_1.sum_lutc_input = "cin",
+               countera_1.synch_mode = "on",
+               countera_1.lpm_type = "cyclone_lcell";
+       cyclone_lcell   countera_2
+       ( 
+       .aclr(aclr),
+       .cin(wire_countera_1cout[0:0]),
+       .clk(clock),
+       .combout(),
+       .cout(wire_countera_2cout[0:0]),
+       .dataa(power_modified_counter_values[1]),
+       .datab(power_modified_counter_values[2]),
+       .ena(1'b1),
+       .regout(wire_countera_regout[2:2]),
+       .sclr(sclr)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aload(1'b0),
+       .datac(1'b1),
+       .datad(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               countera_2.cin_used = "true",
+               countera_2.lut_mask = "6c50",
+               countera_2.operation_mode = "arithmetic",
+               countera_2.sum_lutc_input = "cin",
+               countera_2.synch_mode = "on",
+               countera_2.lpm_type = "cyclone_lcell";
+       cyclone_lcell   countera_3
+       ( 
+       .aclr(aclr),
+       .cin(wire_countera_2cout[0:0]),
+       .clk(clock),
+       .combout(),
+       .cout(wire_countera_3cout[0:0]),
+       .dataa(power_modified_counter_values[2]),
+       .datab(power_modified_counter_values[3]),
+       .ena(1'b1),
+       .regout(wire_countera_regout[3:3]),
+       .sclr(sclr)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aload(1'b0),
+       .datac(1'b1),
+       .datad(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               countera_3.cin_used = "true",
+               countera_3.lut_mask = "6c50",
+               countera_3.operation_mode = "arithmetic",
+               countera_3.sum_lutc_input = "cin",
+               countera_3.synch_mode = "on",
+               countera_3.lpm_type = "cyclone_lcell";
+       cyclone_lcell   countera_4
+       ( 
+       .aclr(aclr),
+       .cin(wire_countera_3cout[0:0]),
+       .clk(clock),
+       .combout(),
+       .cout(wire_countera_4cout[0:0]),
+       .dataa(power_modified_counter_values[3]),
+       .datab(power_modified_counter_values[4]),
+       .ena(1'b1),
+       .regout(wire_countera_regout[4:4]),
+       .sclr(sclr)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aload(1'b0),
+       .datac(1'b1),
+       .datad(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               countera_4.cin_used = "true",
+               countera_4.lut_mask = "6c50",
+               countera_4.operation_mode = "arithmetic",
+               countera_4.sum_lutc_input = "cin",
+               countera_4.synch_mode = "on",
+               countera_4.lpm_type = "cyclone_lcell";
+       cyclone_lcell   countera_5
+       ( 
+       .aclr(aclr),
+       .cin(wire_countera_4cout[0:0]),
+       .clk(clock),
+       .combout(),
+       .cout(wire_countera_5cout[0:0]),
+       .dataa(power_modified_counter_values[4]),
+       .datab(power_modified_counter_values[5]),
+       .ena(1'b1),
+       .regout(wire_countera_regout[5:5]),
+       .sclr(sclr)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aload(1'b0),
+       .datac(1'b1),
+       .datad(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               countera_5.cin_used = "true",
+               countera_5.lut_mask = "6c50",
+               countera_5.operation_mode = "arithmetic",
+               countera_5.sum_lutc_input = "cin",
+               countera_5.synch_mode = "on",
+               countera_5.lpm_type = "cyclone_lcell";
+       cyclone_lcell   countera_6
+       ( 
+       .aclr(aclr),
+       .cin(wire_countera_5cout[0:0]),
+       .clk(clock),
+       .combout(),
+       .cout(wire_countera_6cout[0:0]),
+       .dataa(power_modified_counter_values[5]),
+       .datab(power_modified_counter_values[6]),
+       .ena(1'b1),
+       .regout(wire_countera_regout[6:6]),
+       .sclr(sclr)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aload(1'b0),
+       .datac(1'b1),
+       .datad(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               countera_6.cin_used = "true",
+               countera_6.lut_mask = "6c50",
+               countera_6.operation_mode = "arithmetic",
+               countera_6.sum_lutc_input = "cin",
+               countera_6.synch_mode = "on",
+               countera_6.lpm_type = "cyclone_lcell";
+       cyclone_lcell   countera_7
+       ( 
+       .aclr(aclr),
+       .cin(wire_countera_6cout[0:0]),
+       .clk(clock),
+       .combout(),
+       .cout(wire_countera_7cout[0:0]),
+       .dataa(power_modified_counter_values[6]),
+       .datab(power_modified_counter_values[7]),
+       .ena(1'b1),
+       .regout(wire_countera_regout[7:7]),
+       .sclr(sclr)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aload(1'b0),
+       .datac(1'b1),
+       .datad(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               countera_7.cin_used = "true",
+               countera_7.lut_mask = "6c50",
+               countera_7.operation_mode = "arithmetic",
+               countera_7.sum_lutc_input = "cin",
+               countera_7.synch_mode = "on",
+               countera_7.lpm_type = "cyclone_lcell";
+       cyclone_lcell   countera_8
+       ( 
+       .aclr(aclr),
+       .cin(wire_countera_7cout[0:0]),
+       .clk(clock),
+       .combout(),
+       .cout(wire_countera_8cout[0:0]),
+       .dataa(power_modified_counter_values[7]),
+       .datab(power_modified_counter_values[8]),
+       .ena(1'b1),
+       .regout(wire_countera_regout[8:8]),
+       .sclr(sclr)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aload(1'b0),
+       .datac(1'b1),
+       .datad(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               countera_8.cin_used = "true",
+               countera_8.lut_mask = "6c50",
+               countera_8.operation_mode = "arithmetic",
+               countera_8.sum_lutc_input = "cin",
+               countera_8.synch_mode = "on",
+               countera_8.lpm_type = "cyclone_lcell";
+       cyclone_lcell   countera_9
+       ( 
+       .aclr(aclr),
+       .cin(wire_countera_8cout[0:0]),
+       .clk(clock),
+       .combout(),
+       .cout(wire_countera_9cout[0:0]),
+       .dataa(power_modified_counter_values[8]),
+       .datab(power_modified_counter_values[9]),
+       .ena(1'b1),
+       .regout(wire_countera_regout[9:9]),
+       .sclr(sclr)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aload(1'b0),
+       .datac(1'b1),
+       .datad(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               countera_9.cin_used = "true",
+               countera_9.lut_mask = "6c50",
+               countera_9.operation_mode = "arithmetic",
+               countera_9.sum_lutc_input = "cin",
+               countera_9.synch_mode = "on",
+               countera_9.lpm_type = "cyclone_lcell";
+       cyclone_lcell   countera_10
+       ( 
+       .aclr(aclr),
+       .cin(wire_countera_9cout[0:0]),
+       .clk(clock),
+       .combout(),
+       .cout(wire_countera_10cout[0:0]),
+       .dataa(power_modified_counter_values[9]),
+       .datab(power_modified_counter_values[10]),
+       .ena(1'b1),
+       .regout(wire_countera_regout[10:10]),
+       .sclr(sclr)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aload(1'b0),
+       .datac(1'b1),
+       .datad(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               countera_10.cin_used = "true",
+               countera_10.lut_mask = "6c50",
+               countera_10.operation_mode = "arithmetic",
+               countera_10.sum_lutc_input = "cin",
+               countera_10.synch_mode = "on",
+               countera_10.lpm_type = "cyclone_lcell";
+       cyclone_lcell   countera_11
+       ( 
+       .aclr(aclr),
+       .cin(wire_countera_10cout[0:0]),
+       .clk(clock),
+       .combout(),
+       .cout(),
+       .dataa(power_modified_counter_values[11]),
+       .ena(1'b1),
+       .regout(wire_countera_regout[11:11]),
+       .sclr(sclr)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aload(1'b0),
+       .datab(1'b1),
+       .datac(1'b1),
+       .datad(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               countera_11.cin_used = "true",
+               countera_11.lut_mask = "5a5a",
+               countera_11.operation_mode = "normal",
+               countera_11.sum_lutc_input = "cin",
+               countera_11.synch_mode = "on",
+               countera_11.lpm_type = "cyclone_lcell";
+       cyclone_lcell   parity
+       ( 
+       .aclr(aclr),
+       .cin(updown),
+       .clk(clock),
+       .combout(),
+       .cout(wire_parity_cout),
+       .dataa(cnt_en),
+       .datab(wire_parity_regout),
+       .ena(1'b1),
+       .regout(wire_parity_regout),
+       .sclr(sclr)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aload(1'b0),
+       .datac(1'b1),
+       .datad(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               parity.cin_used = "true",
+               parity.lut_mask = "6682",
+               parity.operation_mode = "arithmetic",
+               parity.synch_mode = "on",
+               parity.lpm_type = "cyclone_lcell";
+       assign
+               power_modified_counter_values = {wire_countera_regout[11:0]},
+               q = power_modified_counter_values,
+               sclr = 1'b0,
+               updown = 1'b1;
+endmodule //fifo_4k_a_graycounter_826
+
+
+//a_graycounter DEVICE_FAMILY="Cyclone" PVALUE=1 WIDTH=12 aclr clock cnt_en q
+//VERSION_BEGIN 5.0 cbx_a_gray2bin 2004:03:06:00:52:20:SJ cbx_a_graycounter 2004:10:01:12:13:16:SJ cbx_cycloneii 2004:12:20:14:28:52:SJ cbx_flex10ke 2002:10:18:16:54:38:SJ cbx_mgl 2005:05:19:13:51:58:SJ cbx_stratix 2005:06:02:09:53:04:SJ cbx_stratixii 2004:12:22:13:27:12:SJ  VERSION_END
+
+//synthesis_resources = lut 13 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fifo_4k_a_graycounter_3r6
+       ( 
+       aclr,
+       clock,
+       cnt_en,
+       q) /* synthesis synthesis_clearbox=1 */;
+       input   aclr;
+       input   clock;
+       input   cnt_en;
+       output   [11:0]  q;
+
+       wire  [0:0]   wire_countera_0cout;
+       wire  [0:0]   wire_countera_1cout;
+       wire  [0:0]   wire_countera_2cout;
+       wire  [0:0]   wire_countera_3cout;
+       wire  [0:0]   wire_countera_4cout;
+       wire  [0:0]   wire_countera_5cout;
+       wire  [0:0]   wire_countera_6cout;
+       wire  [0:0]   wire_countera_7cout;
+       wire  [0:0]   wire_countera_8cout;
+       wire  [0:0]   wire_countera_9cout;
+       wire  [0:0]   wire_countera_10cout;
+       wire  [11:0]   wire_countera_regout;
+       wire  wire_parity_cout;
+       wire  wire_parity_regout;
+       wire  [11:0]  power_modified_counter_values;
+       wire sclr;
+       wire updown;
+
+       cyclone_lcell   countera_0
+       ( 
+       .aclr(aclr),
+       .cin(wire_parity_cout),
+       .clk(clock),
+       .combout(),
+       .cout(wire_countera_0cout[0:0]),
+       .dataa(cnt_en),
+       .datab(wire_countera_regout[0:0]),
+       .ena(1'b1),
+       .regout(wire_countera_regout[0:0]),
+       .sclr(sclr)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aload(1'b0),
+       .datac(1'b1),
+       .datad(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               countera_0.cin_used = "true",
+               countera_0.lut_mask = "c6a0",
+               countera_0.operation_mode = "arithmetic",
+               countera_0.sum_lutc_input = "cin",
+               countera_0.synch_mode = "on",
+               countera_0.lpm_type = "cyclone_lcell";
+       cyclone_lcell   countera_1
+       ( 
+       .aclr(aclr),
+       .cin(wire_countera_0cout[0:0]),
+       .clk(clock),
+       .combout(),
+       .cout(wire_countera_1cout[0:0]),
+       .dataa(power_modified_counter_values[0]),
+       .datab(power_modified_counter_values[1]),
+       .ena(1'b1),
+       .regout(wire_countera_regout[1:1]),
+       .sclr(sclr)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aload(1'b0),
+       .datac(1'b1),
+       .datad(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               countera_1.cin_used = "true",
+               countera_1.lut_mask = "6c50",
+               countera_1.operation_mode = "arithmetic",
+               countera_1.sum_lutc_input = "cin",
+               countera_1.synch_mode = "on",
+               countera_1.lpm_type = "cyclone_lcell";
+       cyclone_lcell   countera_2
+       ( 
+       .aclr(aclr),
+       .cin(wire_countera_1cout[0:0]),
+       .clk(clock),
+       .combout(),
+       .cout(wire_countera_2cout[0:0]),
+       .dataa(power_modified_counter_values[1]),
+       .datab(power_modified_counter_values[2]),
+       .ena(1'b1),
+       .regout(wire_countera_regout[2:2]),
+       .sclr(sclr)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aload(1'b0),
+       .datac(1'b1),
+       .datad(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               countera_2.cin_used = "true",
+               countera_2.lut_mask = "6c50",
+               countera_2.operation_mode = "arithmetic",
+               countera_2.sum_lutc_input = "cin",
+               countera_2.synch_mode = "on",
+               countera_2.lpm_type = "cyclone_lcell";
+       cyclone_lcell   countera_3
+       ( 
+       .aclr(aclr),
+       .cin(wire_countera_2cout[0:0]),
+       .clk(clock),
+       .combout(),
+       .cout(wire_countera_3cout[0:0]),
+       .dataa(power_modified_counter_values[2]),
+       .datab(power_modified_counter_values[3]),
+       .ena(1'b1),
+       .regout(wire_countera_regout[3:3]),
+       .sclr(sclr)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aload(1'b0),
+       .datac(1'b1),
+       .datad(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               countera_3.cin_used = "true",
+               countera_3.lut_mask = "6c50",
+               countera_3.operation_mode = "arithmetic",
+               countera_3.sum_lutc_input = "cin",
+               countera_3.synch_mode = "on",
+               countera_3.lpm_type = "cyclone_lcell";
+       cyclone_lcell   countera_4
+       ( 
+       .aclr(aclr),
+       .cin(wire_countera_3cout[0:0]),
+       .clk(clock),
+       .combout(),
+       .cout(wire_countera_4cout[0:0]),
+       .dataa(power_modified_counter_values[3]),
+       .datab(power_modified_counter_values[4]),
+       .ena(1'b1),
+       .regout(wire_countera_regout[4:4]),
+       .sclr(sclr)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aload(1'b0),
+       .datac(1'b1),
+       .datad(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               countera_4.cin_used = "true",
+               countera_4.lut_mask = "6c50",
+               countera_4.operation_mode = "arithmetic",
+               countera_4.sum_lutc_input = "cin",
+               countera_4.synch_mode = "on",
+               countera_4.lpm_type = "cyclone_lcell";
+       cyclone_lcell   countera_5
+       ( 
+       .aclr(aclr),
+       .cin(wire_countera_4cout[0:0]),
+       .clk(clock),
+       .combout(),
+       .cout(wire_countera_5cout[0:0]),
+       .dataa(power_modified_counter_values[4]),
+       .datab(power_modified_counter_values[5]),
+       .ena(1'b1),
+       .regout(wire_countera_regout[5:5]),
+       .sclr(sclr)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aload(1'b0),
+       .datac(1'b1),
+       .datad(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               countera_5.cin_used = "true",
+               countera_5.lut_mask = "6c50",
+               countera_5.operation_mode = "arithmetic",
+               countera_5.sum_lutc_input = "cin",
+               countera_5.synch_mode = "on",
+               countera_5.lpm_type = "cyclone_lcell";
+       cyclone_lcell   countera_6
+       ( 
+       .aclr(aclr),
+       .cin(wire_countera_5cout[0:0]),
+       .clk(clock),
+       .combout(),
+       .cout(wire_countera_6cout[0:0]),
+       .dataa(power_modified_counter_values[5]),
+       .datab(power_modified_counter_values[6]),
+       .ena(1'b1),
+       .regout(wire_countera_regout[6:6]),
+       .sclr(sclr)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aload(1'b0),
+       .datac(1'b1),
+       .datad(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               countera_6.cin_used = "true",
+               countera_6.lut_mask = "6c50",
+               countera_6.operation_mode = "arithmetic",
+               countera_6.sum_lutc_input = "cin",
+               countera_6.synch_mode = "on",
+               countera_6.lpm_type = "cyclone_lcell";
+       cyclone_lcell   countera_7
+       ( 
+       .aclr(aclr),
+       .cin(wire_countera_6cout[0:0]),
+       .clk(clock),
+       .combout(),
+       .cout(wire_countera_7cout[0:0]),
+       .dataa(power_modified_counter_values[6]),
+       .datab(power_modified_counter_values[7]),
+       .ena(1'b1),
+       .regout(wire_countera_regout[7:7]),
+       .sclr(sclr)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aload(1'b0),
+       .datac(1'b1),
+       .datad(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               countera_7.cin_used = "true",
+               countera_7.lut_mask = "6c50",
+               countera_7.operation_mode = "arithmetic",
+               countera_7.sum_lutc_input = "cin",
+               countera_7.synch_mode = "on",
+               countera_7.lpm_type = "cyclone_lcell";
+       cyclone_lcell   countera_8
+       ( 
+       .aclr(aclr),
+       .cin(wire_countera_7cout[0:0]),
+       .clk(clock),
+       .combout(),
+       .cout(wire_countera_8cout[0:0]),
+       .dataa(power_modified_counter_values[7]),
+       .datab(power_modified_counter_values[8]),
+       .ena(1'b1),
+       .regout(wire_countera_regout[8:8]),
+       .sclr(sclr)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aload(1'b0),
+       .datac(1'b1),
+       .datad(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               countera_8.cin_used = "true",
+               countera_8.lut_mask = "6c50",
+               countera_8.operation_mode = "arithmetic",
+               countera_8.sum_lutc_input = "cin",
+               countera_8.synch_mode = "on",
+               countera_8.lpm_type = "cyclone_lcell";
+       cyclone_lcell   countera_9
+       ( 
+       .aclr(aclr),
+       .cin(wire_countera_8cout[0:0]),
+       .clk(clock),
+       .combout(),
+       .cout(wire_countera_9cout[0:0]),
+       .dataa(power_modified_counter_values[8]),
+       .datab(power_modified_counter_values[9]),
+       .ena(1'b1),
+       .regout(wire_countera_regout[9:9]),
+       .sclr(sclr)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aload(1'b0),
+       .datac(1'b1),
+       .datad(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               countera_9.cin_used = "true",
+               countera_9.lut_mask = "6c50",
+               countera_9.operation_mode = "arithmetic",
+               countera_9.sum_lutc_input = "cin",
+               countera_9.synch_mode = "on",
+               countera_9.lpm_type = "cyclone_lcell";
+       cyclone_lcell   countera_10
+       ( 
+       .aclr(aclr),
+       .cin(wire_countera_9cout[0:0]),
+       .clk(clock),
+       .combout(),
+       .cout(wire_countera_10cout[0:0]),
+       .dataa(power_modified_counter_values[9]),
+       .datab(power_modified_counter_values[10]),
+       .ena(1'b1),
+       .regout(wire_countera_regout[10:10]),
+       .sclr(sclr)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aload(1'b0),
+       .datac(1'b1),
+       .datad(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               countera_10.cin_used = "true",
+               countera_10.lut_mask = "6c50",
+               countera_10.operation_mode = "arithmetic",
+               countera_10.sum_lutc_input = "cin",
+               countera_10.synch_mode = "on",
+               countera_10.lpm_type = "cyclone_lcell";
+       cyclone_lcell   countera_11
+       ( 
+       .aclr(aclr),
+       .cin(wire_countera_10cout[0:0]),
+       .clk(clock),
+       .combout(),
+       .cout(),
+       .dataa(power_modified_counter_values[11]),
+       .ena(1'b1),
+       .regout(wire_countera_regout[11:11]),
+       .sclr(sclr)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aload(1'b0),
+       .datab(1'b1),
+       .datac(1'b1),
+       .datad(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               countera_11.cin_used = "true",
+               countera_11.lut_mask = "5a5a",
+               countera_11.operation_mode = "normal",
+               countera_11.sum_lutc_input = "cin",
+               countera_11.synch_mode = "on",
+               countera_11.lpm_type = "cyclone_lcell";
+       cyclone_lcell   parity
+       ( 
+       .aclr(aclr),
+       .cin(updown),
+       .clk(clock),
+       .combout(),
+       .cout(wire_parity_cout),
+       .dataa(cnt_en),
+       .datab((~ wire_parity_regout)),
+       .ena(1'b1),
+       .regout(wire_parity_regout),
+       .sclr(sclr)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aload(1'b0),
+       .datac(1'b1),
+       .datad(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               parity.cin_used = "true",
+               parity.lut_mask = "9982",
+               parity.operation_mode = "arithmetic",
+               parity.synch_mode = "on",
+               parity.lpm_type = "cyclone_lcell";
+       assign
+               power_modified_counter_values = {wire_countera_regout[11:1], (~ wire_countera_regout[0])},
+               q = power_modified_counter_values,
+               sclr = 1'b0,
+               updown = 1'b1;
+endmodule //fifo_4k_a_graycounter_3r6
+
+
+//altsyncram ADDRESS_REG_B="CLOCK1" DEVICE_FAMILY="Cyclone" OPERATION_MODE="DUAL_PORT" OUTDATA_REG_B="UNREGISTERED" WIDTH_A=16 WIDTH_B=16 WIDTH_BYTEENA_A=1 WIDTHAD_A=12 WIDTHAD_B=12 address_a address_b clock0 clock1 clocken1 data_a q_b wren_a
+//VERSION_BEGIN 5.0 cbx_altsyncram 2005:03:24:13:58:56:SJ cbx_cycloneii 2004:12:20:14:28:52:SJ cbx_lpm_add_sub 2005:04:12:13:30:42:SJ cbx_lpm_compare 2004:11:30:11:30:40:SJ cbx_lpm_decode 2004:12:13:14:19:12:SJ cbx_lpm_mux 2004:12:13:14:16:38:SJ cbx_mgl 2005:05:19:13:51:58:SJ cbx_stratix 2005:06:02:09:53:04:SJ cbx_stratixii 2004:12:22:13:27:12:SJ cbx_util_mgl 2005:04:04:13:50:06:SJ  VERSION_END
+
+//synthesis_resources = M4K 16 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fifo_4k_altsyncram_8pl
+       ( 
+       address_a,
+       address_b,
+       clock0,
+       clock1,
+       clocken1,
+       data_a,
+       q_b,
+       wren_a) /* synthesis synthesis_clearbox=1 */;
+       input   [11:0]  address_a;
+       input   [11:0]  address_b;
+       input   clock0;
+       input   clock1;
+       input   clocken1;
+       input   [15:0]  data_a;
+       output   [15:0]  q_b;
+       input   wren_a;
+
+       wire  [0:0]   wire_ram_block3a_0portbdataout;
+       wire  [0:0]   wire_ram_block3a_1portbdataout;
+       wire  [0:0]   wire_ram_block3a_2portbdataout;
+       wire  [0:0]   wire_ram_block3a_3portbdataout;
+       wire  [0:0]   wire_ram_block3a_4portbdataout;
+       wire  [0:0]   wire_ram_block3a_5portbdataout;
+       wire  [0:0]   wire_ram_block3a_6portbdataout;
+       wire  [0:0]   wire_ram_block3a_7portbdataout;
+       wire  [0:0]   wire_ram_block3a_8portbdataout;
+       wire  [0:0]   wire_ram_block3a_9portbdataout;
+       wire  [0:0]   wire_ram_block3a_10portbdataout;
+       wire  [0:0]   wire_ram_block3a_11portbdataout;
+       wire  [0:0]   wire_ram_block3a_12portbdataout;
+       wire  [0:0]   wire_ram_block3a_13portbdataout;
+       wire  [0:0]   wire_ram_block3a_14portbdataout;
+       wire  [0:0]   wire_ram_block3a_15portbdataout;
+       wire  [11:0]  address_a_wire;
+       wire  [11:0]  address_b_wire;
+
+       cyclone_ram_block   ram_block3a_0
+       ( 
+       .clk0(clock0),
+       .clk1(clock1),
+       .ena0(wren_a),
+       .ena1(clocken1),
+       .portaaddr({address_a_wire[11:0]}),
+       .portadatain({data_a[0]}),
+       .portadataout(),
+       .portawe(1'b1),
+       .portbaddr({address_b_wire[11:0]}),
+       .portbdataout(wire_ram_block3a_0portbdataout[0:0]),
+       .portbrewe(1'b1)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .clr0(1'b0),
+       .clr1(1'b0),
+       .portabyteenamasks(1'b1),
+       .portbbyteenamasks(1'b1),
+       .portbdatain(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               ram_block3a_0.connectivity_checking = "OFF",
+               ram_block3a_0.logical_ram_name = "ALTSYNCRAM",
+               ram_block3a_0.mixed_port_feed_through_mode = "dont_care",
+               ram_block3a_0.operation_mode = "dual_port",
+               ram_block3a_0.port_a_address_width = 12,
+               ram_block3a_0.port_a_data_width = 1,
+               ram_block3a_0.port_a_first_address = 0,
+               ram_block3a_0.port_a_first_bit_number = 0,
+               ram_block3a_0.port_a_last_address = 4095,
+               ram_block3a_0.port_a_logical_ram_depth = 4096,
+               ram_block3a_0.port_a_logical_ram_width = 16,
+               ram_block3a_0.port_b_address_clear = "none",
+               ram_block3a_0.port_b_address_clock = "clock1",
+               ram_block3a_0.port_b_address_width = 12,
+               ram_block3a_0.port_b_data_out_clear = "none",
+               ram_block3a_0.port_b_data_out_clock = "none",
+               ram_block3a_0.port_b_data_width = 1,
+               ram_block3a_0.port_b_first_address = 0,
+               ram_block3a_0.port_b_first_bit_number = 0,
+               ram_block3a_0.port_b_last_address = 4095,
+               ram_block3a_0.port_b_logical_ram_depth = 4096,
+               ram_block3a_0.port_b_logical_ram_width = 16,
+               ram_block3a_0.port_b_read_enable_write_enable_clock = "clock1",
+               ram_block3a_0.ram_block_type = "auto",
+               ram_block3a_0.lpm_type = "cyclone_ram_block";
+       cyclone_ram_block   ram_block3a_1
+       ( 
+       .clk0(clock0),
+       .clk1(clock1),
+       .ena0(wren_a),
+       .ena1(clocken1),
+       .portaaddr({address_a_wire[11:0]}),
+       .portadatain({data_a[1]}),
+       .portadataout(),
+       .portawe(1'b1),
+       .portbaddr({address_b_wire[11:0]}),
+       .portbdataout(wire_ram_block3a_1portbdataout[0:0]),
+       .portbrewe(1'b1)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .clr0(1'b0),
+       .clr1(1'b0),
+       .portabyteenamasks(1'b1),
+       .portbbyteenamasks(1'b1),
+       .portbdatain(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               ram_block3a_1.connectivity_checking = "OFF",
+               ram_block3a_1.logical_ram_name = "ALTSYNCRAM",
+               ram_block3a_1.mixed_port_feed_through_mode = "dont_care",
+               ram_block3a_1.operation_mode = "dual_port",
+               ram_block3a_1.port_a_address_width = 12,
+               ram_block3a_1.port_a_data_width = 1,
+               ram_block3a_1.port_a_first_address = 0,
+               ram_block3a_1.port_a_first_bit_number = 1,
+               ram_block3a_1.port_a_last_address = 4095,
+               ram_block3a_1.port_a_logical_ram_depth = 4096,
+               ram_block3a_1.port_a_logical_ram_width = 16,
+               ram_block3a_1.port_b_address_clear = "none",
+               ram_block3a_1.port_b_address_clock = "clock1",
+               ram_block3a_1.port_b_address_width = 12,
+               ram_block3a_1.port_b_data_out_clear = "none",
+               ram_block3a_1.port_b_data_out_clock = "none",
+               ram_block3a_1.port_b_data_width = 1,
+               ram_block3a_1.port_b_first_address = 0,
+               ram_block3a_1.port_b_first_bit_number = 1,
+               ram_block3a_1.port_b_last_address = 4095,
+               ram_block3a_1.port_b_logical_ram_depth = 4096,
+               ram_block3a_1.port_b_logical_ram_width = 16,
+               ram_block3a_1.port_b_read_enable_write_enable_clock = "clock1",
+               ram_block3a_1.ram_block_type = "auto",
+               ram_block3a_1.lpm_type = "cyclone_ram_block";
+       cyclone_ram_block   ram_block3a_2
+       ( 
+       .clk0(clock0),
+       .clk1(clock1),
+       .ena0(wren_a),
+       .ena1(clocken1),
+       .portaaddr({address_a_wire[11:0]}),
+       .portadatain({data_a[2]}),
+       .portadataout(),
+       .portawe(1'b1),
+       .portbaddr({address_b_wire[11:0]}),
+       .portbdataout(wire_ram_block3a_2portbdataout[0:0]),
+       .portbrewe(1'b1)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .clr0(1'b0),
+       .clr1(1'b0),
+       .portabyteenamasks(1'b1),
+       .portbbyteenamasks(1'b1),
+       .portbdatain(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               ram_block3a_2.connectivity_checking = "OFF",
+               ram_block3a_2.logical_ram_name = "ALTSYNCRAM",
+               ram_block3a_2.mixed_port_feed_through_mode = "dont_care",
+               ram_block3a_2.operation_mode = "dual_port",
+               ram_block3a_2.port_a_address_width = 12,
+               ram_block3a_2.port_a_data_width = 1,
+               ram_block3a_2.port_a_first_address = 0,
+               ram_block3a_2.port_a_first_bit_number = 2,
+               ram_block3a_2.port_a_last_address = 4095,
+               ram_block3a_2.port_a_logical_ram_depth = 4096,
+               ram_block3a_2.port_a_logical_ram_width = 16,
+               ram_block3a_2.port_b_address_clear = "none",
+               ram_block3a_2.port_b_address_clock = "clock1",
+               ram_block3a_2.port_b_address_width = 12,
+               ram_block3a_2.port_b_data_out_clear = "none",
+               ram_block3a_2.port_b_data_out_clock = "none",
+               ram_block3a_2.port_b_data_width = 1,
+               ram_block3a_2.port_b_first_address = 0,
+               ram_block3a_2.port_b_first_bit_number = 2,
+               ram_block3a_2.port_b_last_address = 4095,
+               ram_block3a_2.port_b_logical_ram_depth = 4096,
+               ram_block3a_2.port_b_logical_ram_width = 16,
+               ram_block3a_2.port_b_read_enable_write_enable_clock = "clock1",
+               ram_block3a_2.ram_block_type = "auto",
+               ram_block3a_2.lpm_type = "cyclone_ram_block";
+       cyclone_ram_block   ram_block3a_3
+       ( 
+       .clk0(clock0),
+       .clk1(clock1),
+       .ena0(wren_a),
+       .ena1(clocken1),
+       .portaaddr({address_a_wire[11:0]}),
+       .portadatain({data_a[3]}),
+       .portadataout(),
+       .portawe(1'b1),
+       .portbaddr({address_b_wire[11:0]}),
+       .portbdataout(wire_ram_block3a_3portbdataout[0:0]),
+       .portbrewe(1'b1)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .clr0(1'b0),
+       .clr1(1'b0),
+       .portabyteenamasks(1'b1),
+       .portbbyteenamasks(1'b1),
+       .portbdatain(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               ram_block3a_3.connectivity_checking = "OFF",
+               ram_block3a_3.logical_ram_name = "ALTSYNCRAM",
+               ram_block3a_3.mixed_port_feed_through_mode = "dont_care",
+               ram_block3a_3.operation_mode = "dual_port",
+               ram_block3a_3.port_a_address_width = 12,
+               ram_block3a_3.port_a_data_width = 1,
+               ram_block3a_3.port_a_first_address = 0,
+               ram_block3a_3.port_a_first_bit_number = 3,
+               ram_block3a_3.port_a_last_address = 4095,
+               ram_block3a_3.port_a_logical_ram_depth = 4096,
+               ram_block3a_3.port_a_logical_ram_width = 16,
+               ram_block3a_3.port_b_address_clear = "none",
+               ram_block3a_3.port_b_address_clock = "clock1",
+               ram_block3a_3.port_b_address_width = 12,
+               ram_block3a_3.port_b_data_out_clear = "none",
+               ram_block3a_3.port_b_data_out_clock = "none",
+               ram_block3a_3.port_b_data_width = 1,
+               ram_block3a_3.port_b_first_address = 0,
+               ram_block3a_3.port_b_first_bit_number = 3,
+               ram_block3a_3.port_b_last_address = 4095,
+               ram_block3a_3.port_b_logical_ram_depth = 4096,
+               ram_block3a_3.port_b_logical_ram_width = 16,
+               ram_block3a_3.port_b_read_enable_write_enable_clock = "clock1",
+               ram_block3a_3.ram_block_type = "auto",
+               ram_block3a_3.lpm_type = "cyclone_ram_block";
+       cyclone_ram_block   ram_block3a_4
+       ( 
+       .clk0(clock0),
+       .clk1(clock1),
+       .ena0(wren_a),
+       .ena1(clocken1),
+       .portaaddr({address_a_wire[11:0]}),
+       .portadatain({data_a[4]}),
+       .portadataout(),
+       .portawe(1'b1),
+       .portbaddr({address_b_wire[11:0]}),
+       .portbdataout(wire_ram_block3a_4portbdataout[0:0]),
+       .portbrewe(1'b1)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .clr0(1'b0),
+       .clr1(1'b0),
+       .portabyteenamasks(1'b1),
+       .portbbyteenamasks(1'b1),
+       .portbdatain(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               ram_block3a_4.connectivity_checking = "OFF",
+               ram_block3a_4.logical_ram_name = "ALTSYNCRAM",
+               ram_block3a_4.mixed_port_feed_through_mode = "dont_care",
+               ram_block3a_4.operation_mode = "dual_port",
+               ram_block3a_4.port_a_address_width = 12,
+               ram_block3a_4.port_a_data_width = 1,
+               ram_block3a_4.port_a_first_address = 0,
+               ram_block3a_4.port_a_first_bit_number = 4,
+               ram_block3a_4.port_a_last_address = 4095,
+               ram_block3a_4.port_a_logical_ram_depth = 4096,
+               ram_block3a_4.port_a_logical_ram_width = 16,
+               ram_block3a_4.port_b_address_clear = "none",
+               ram_block3a_4.port_b_address_clock = "clock1",
+               ram_block3a_4.port_b_address_width = 12,
+               ram_block3a_4.port_b_data_out_clear = "none",
+               ram_block3a_4.port_b_data_out_clock = "none",
+               ram_block3a_4.port_b_data_width = 1,
+               ram_block3a_4.port_b_first_address = 0,
+               ram_block3a_4.port_b_first_bit_number = 4,
+               ram_block3a_4.port_b_last_address = 4095,
+               ram_block3a_4.port_b_logical_ram_depth = 4096,
+               ram_block3a_4.port_b_logical_ram_width = 16,
+               ram_block3a_4.port_b_read_enable_write_enable_clock = "clock1",
+               ram_block3a_4.ram_block_type = "auto",
+               ram_block3a_4.lpm_type = "cyclone_ram_block";
+       cyclone_ram_block   ram_block3a_5
+       ( 
+       .clk0(clock0),
+       .clk1(clock1),
+       .ena0(wren_a),
+       .ena1(clocken1),
+       .portaaddr({address_a_wire[11:0]}),
+       .portadatain({data_a[5]}),
+       .portadataout(),
+       .portawe(1'b1),
+       .portbaddr({address_b_wire[11:0]}),
+       .portbdataout(wire_ram_block3a_5portbdataout[0:0]),
+       .portbrewe(1'b1)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .clr0(1'b0),
+       .clr1(1'b0),
+       .portabyteenamasks(1'b1),
+       .portbbyteenamasks(1'b1),
+       .portbdatain(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               ram_block3a_5.connectivity_checking = "OFF",
+               ram_block3a_5.logical_ram_name = "ALTSYNCRAM",
+               ram_block3a_5.mixed_port_feed_through_mode = "dont_care",
+               ram_block3a_5.operation_mode = "dual_port",
+               ram_block3a_5.port_a_address_width = 12,
+               ram_block3a_5.port_a_data_width = 1,
+               ram_block3a_5.port_a_first_address = 0,
+               ram_block3a_5.port_a_first_bit_number = 5,
+               ram_block3a_5.port_a_last_address = 4095,
+               ram_block3a_5.port_a_logical_ram_depth = 4096,
+               ram_block3a_5.port_a_logical_ram_width = 16,
+               ram_block3a_5.port_b_address_clear = "none",
+               ram_block3a_5.port_b_address_clock = "clock1",
+               ram_block3a_5.port_b_address_width = 12,
+               ram_block3a_5.port_b_data_out_clear = "none",
+               ram_block3a_5.port_b_data_out_clock = "none",
+               ram_block3a_5.port_b_data_width = 1,
+               ram_block3a_5.port_b_first_address = 0,
+               ram_block3a_5.port_b_first_bit_number = 5,
+               ram_block3a_5.port_b_last_address = 4095,
+               ram_block3a_5.port_b_logical_ram_depth = 4096,
+               ram_block3a_5.port_b_logical_ram_width = 16,
+               ram_block3a_5.port_b_read_enable_write_enable_clock = "clock1",
+               ram_block3a_5.ram_block_type = "auto",
+               ram_block3a_5.lpm_type = "cyclone_ram_block";
+       cyclone_ram_block   ram_block3a_6
+       ( 
+       .clk0(clock0),
+       .clk1(clock1),
+       .ena0(wren_a),
+       .ena1(clocken1),
+       .portaaddr({address_a_wire[11:0]}),
+       .portadatain({data_a[6]}),
+       .portadataout(),
+       .portawe(1'b1),
+       .portbaddr({address_b_wire[11:0]}),
+       .portbdataout(wire_ram_block3a_6portbdataout[0:0]),
+       .portbrewe(1'b1)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .clr0(1'b0),
+       .clr1(1'b0),
+       .portabyteenamasks(1'b1),
+       .portbbyteenamasks(1'b1),
+       .portbdatain(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               ram_block3a_6.connectivity_checking = "OFF",
+               ram_block3a_6.logical_ram_name = "ALTSYNCRAM",
+               ram_block3a_6.mixed_port_feed_through_mode = "dont_care",
+               ram_block3a_6.operation_mode = "dual_port",
+               ram_block3a_6.port_a_address_width = 12,
+               ram_block3a_6.port_a_data_width = 1,
+               ram_block3a_6.port_a_first_address = 0,
+               ram_block3a_6.port_a_first_bit_number = 6,
+               ram_block3a_6.port_a_last_address = 4095,
+               ram_block3a_6.port_a_logical_ram_depth = 4096,
+               ram_block3a_6.port_a_logical_ram_width = 16,
+               ram_block3a_6.port_b_address_clear = "none",
+               ram_block3a_6.port_b_address_clock = "clock1",
+               ram_block3a_6.port_b_address_width = 12,
+               ram_block3a_6.port_b_data_out_clear = "none",
+               ram_block3a_6.port_b_data_out_clock = "none",
+               ram_block3a_6.port_b_data_width = 1,
+               ram_block3a_6.port_b_first_address = 0,
+               ram_block3a_6.port_b_first_bit_number = 6,
+               ram_block3a_6.port_b_last_address = 4095,
+               ram_block3a_6.port_b_logical_ram_depth = 4096,
+               ram_block3a_6.port_b_logical_ram_width = 16,
+               ram_block3a_6.port_b_read_enable_write_enable_clock = "clock1",
+               ram_block3a_6.ram_block_type = "auto",
+               ram_block3a_6.lpm_type = "cyclone_ram_block";
+       cyclone_ram_block   ram_block3a_7
+       ( 
+       .clk0(clock0),
+       .clk1(clock1),
+       .ena0(wren_a),
+       .ena1(clocken1),
+       .portaaddr({address_a_wire[11:0]}),
+       .portadatain({data_a[7]}),
+       .portadataout(),
+       .portawe(1'b1),
+       .portbaddr({address_b_wire[11:0]}),
+       .portbdataout(wire_ram_block3a_7portbdataout[0:0]),
+       .portbrewe(1'b1)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .clr0(1'b0),
+       .clr1(1'b0),
+       .portabyteenamasks(1'b1),
+       .portbbyteenamasks(1'b1),
+       .portbdatain(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               ram_block3a_7.connectivity_checking = "OFF",
+               ram_block3a_7.logical_ram_name = "ALTSYNCRAM",
+               ram_block3a_7.mixed_port_feed_through_mode = "dont_care",
+               ram_block3a_7.operation_mode = "dual_port",
+               ram_block3a_7.port_a_address_width = 12,
+               ram_block3a_7.port_a_data_width = 1,
+               ram_block3a_7.port_a_first_address = 0,
+               ram_block3a_7.port_a_first_bit_number = 7,
+               ram_block3a_7.port_a_last_address = 4095,
+               ram_block3a_7.port_a_logical_ram_depth = 4096,
+               ram_block3a_7.port_a_logical_ram_width = 16,
+               ram_block3a_7.port_b_address_clear = "none",
+               ram_block3a_7.port_b_address_clock = "clock1",
+               ram_block3a_7.port_b_address_width = 12,
+               ram_block3a_7.port_b_data_out_clear = "none",
+               ram_block3a_7.port_b_data_out_clock = "none",
+               ram_block3a_7.port_b_data_width = 1,
+               ram_block3a_7.port_b_first_address = 0,
+               ram_block3a_7.port_b_first_bit_number = 7,
+               ram_block3a_7.port_b_last_address = 4095,
+               ram_block3a_7.port_b_logical_ram_depth = 4096,
+               ram_block3a_7.port_b_logical_ram_width = 16,
+               ram_block3a_7.port_b_read_enable_write_enable_clock = "clock1",
+               ram_block3a_7.ram_block_type = "auto",
+               ram_block3a_7.lpm_type = "cyclone_ram_block";
+       cyclone_ram_block   ram_block3a_8
+       ( 
+       .clk0(clock0),
+       .clk1(clock1),
+       .ena0(wren_a),
+       .ena1(clocken1),
+       .portaaddr({address_a_wire[11:0]}),
+       .portadatain({data_a[8]}),
+       .portadataout(),
+       .portawe(1'b1),
+       .portbaddr({address_b_wire[11:0]}),
+       .portbdataout(wire_ram_block3a_8portbdataout[0:0]),
+       .portbrewe(1'b1)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .clr0(1'b0),
+       .clr1(1'b0),
+       .portabyteenamasks(1'b1),
+       .portbbyteenamasks(1'b1),
+       .portbdatain(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               ram_block3a_8.connectivity_checking = "OFF",
+               ram_block3a_8.logical_ram_name = "ALTSYNCRAM",
+               ram_block3a_8.mixed_port_feed_through_mode = "dont_care",
+               ram_block3a_8.operation_mode = "dual_port",
+               ram_block3a_8.port_a_address_width = 12,
+               ram_block3a_8.port_a_data_width = 1,
+               ram_block3a_8.port_a_first_address = 0,
+               ram_block3a_8.port_a_first_bit_number = 8,
+               ram_block3a_8.port_a_last_address = 4095,
+               ram_block3a_8.port_a_logical_ram_depth = 4096,
+               ram_block3a_8.port_a_logical_ram_width = 16,
+               ram_block3a_8.port_b_address_clear = "none",
+               ram_block3a_8.port_b_address_clock = "clock1",
+               ram_block3a_8.port_b_address_width = 12,
+               ram_block3a_8.port_b_data_out_clear = "none",
+               ram_block3a_8.port_b_data_out_clock = "none",
+               ram_block3a_8.port_b_data_width = 1,
+               ram_block3a_8.port_b_first_address = 0,
+               ram_block3a_8.port_b_first_bit_number = 8,
+               ram_block3a_8.port_b_last_address = 4095,
+               ram_block3a_8.port_b_logical_ram_depth = 4096,
+               ram_block3a_8.port_b_logical_ram_width = 16,
+               ram_block3a_8.port_b_read_enable_write_enable_clock = "clock1",
+               ram_block3a_8.ram_block_type = "auto",
+               ram_block3a_8.lpm_type = "cyclone_ram_block";
+       cyclone_ram_block   ram_block3a_9
+       ( 
+       .clk0(clock0),
+       .clk1(clock1),
+       .ena0(wren_a),
+       .ena1(clocken1),
+       .portaaddr({address_a_wire[11:0]}),
+       .portadatain({data_a[9]}),
+       .portadataout(),
+       .portawe(1'b1),
+       .portbaddr({address_b_wire[11:0]}),
+       .portbdataout(wire_ram_block3a_9portbdataout[0:0]),
+       .portbrewe(1'b1)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .clr0(1'b0),
+       .clr1(1'b0),
+       .portabyteenamasks(1'b1),
+       .portbbyteenamasks(1'b1),
+       .portbdatain(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               ram_block3a_9.connectivity_checking = "OFF",
+               ram_block3a_9.logical_ram_name = "ALTSYNCRAM",
+               ram_block3a_9.mixed_port_feed_through_mode = "dont_care",
+               ram_block3a_9.operation_mode = "dual_port",
+               ram_block3a_9.port_a_address_width = 12,
+               ram_block3a_9.port_a_data_width = 1,
+               ram_block3a_9.port_a_first_address = 0,
+               ram_block3a_9.port_a_first_bit_number = 9,
+               ram_block3a_9.port_a_last_address = 4095,
+               ram_block3a_9.port_a_logical_ram_depth = 4096,
+               ram_block3a_9.port_a_logical_ram_width = 16,
+               ram_block3a_9.port_b_address_clear = "none",
+               ram_block3a_9.port_b_address_clock = "clock1",
+               ram_block3a_9.port_b_address_width = 12,
+               ram_block3a_9.port_b_data_out_clear = "none",
+               ram_block3a_9.port_b_data_out_clock = "none",
+               ram_block3a_9.port_b_data_width = 1,
+               ram_block3a_9.port_b_first_address = 0,
+               ram_block3a_9.port_b_first_bit_number = 9,
+               ram_block3a_9.port_b_last_address = 4095,
+               ram_block3a_9.port_b_logical_ram_depth = 4096,
+               ram_block3a_9.port_b_logical_ram_width = 16,
+               ram_block3a_9.port_b_read_enable_write_enable_clock = "clock1",
+               ram_block3a_9.ram_block_type = "auto",
+               ram_block3a_9.lpm_type = "cyclone_ram_block";
+       cyclone_ram_block   ram_block3a_10
+       ( 
+       .clk0(clock0),
+       .clk1(clock1),
+       .ena0(wren_a),
+       .ena1(clocken1),
+       .portaaddr({address_a_wire[11:0]}),
+       .portadatain({data_a[10]}),
+       .portadataout(),
+       .portawe(1'b1),
+       .portbaddr({address_b_wire[11:0]}),
+       .portbdataout(wire_ram_block3a_10portbdataout[0:0]),
+       .portbrewe(1'b1)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .clr0(1'b0),
+       .clr1(1'b0),
+       .portabyteenamasks(1'b1),
+       .portbbyteenamasks(1'b1),
+       .portbdatain(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               ram_block3a_10.connectivity_checking = "OFF",
+               ram_block3a_10.logical_ram_name = "ALTSYNCRAM",
+               ram_block3a_10.mixed_port_feed_through_mode = "dont_care",
+               ram_block3a_10.operation_mode = "dual_port",
+               ram_block3a_10.port_a_address_width = 12,
+               ram_block3a_10.port_a_data_width = 1,
+               ram_block3a_10.port_a_first_address = 0,
+               ram_block3a_10.port_a_first_bit_number = 10,
+               ram_block3a_10.port_a_last_address = 4095,
+               ram_block3a_10.port_a_logical_ram_depth = 4096,
+               ram_block3a_10.port_a_logical_ram_width = 16,
+               ram_block3a_10.port_b_address_clear = "none",
+               ram_block3a_10.port_b_address_clock = "clock1",
+               ram_block3a_10.port_b_address_width = 12,
+               ram_block3a_10.port_b_data_out_clear = "none",
+               ram_block3a_10.port_b_data_out_clock = "none",
+               ram_block3a_10.port_b_data_width = 1,
+               ram_block3a_10.port_b_first_address = 0,
+               ram_block3a_10.port_b_first_bit_number = 10,
+               ram_block3a_10.port_b_last_address = 4095,
+               ram_block3a_10.port_b_logical_ram_depth = 4096,
+               ram_block3a_10.port_b_logical_ram_width = 16,
+               ram_block3a_10.port_b_read_enable_write_enable_clock = "clock1",
+               ram_block3a_10.ram_block_type = "auto",
+               ram_block3a_10.lpm_type = "cyclone_ram_block";
+       cyclone_ram_block   ram_block3a_11
+       ( 
+       .clk0(clock0),
+       .clk1(clock1),
+       .ena0(wren_a),
+       .ena1(clocken1),
+       .portaaddr({address_a_wire[11:0]}),
+       .portadatain({data_a[11]}),
+       .portadataout(),
+       .portawe(1'b1),
+       .portbaddr({address_b_wire[11:0]}),
+       .portbdataout(wire_ram_block3a_11portbdataout[0:0]),
+       .portbrewe(1'b1)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .clr0(1'b0),
+       .clr1(1'b0),
+       .portabyteenamasks(1'b1),
+       .portbbyteenamasks(1'b1),
+       .portbdatain(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               ram_block3a_11.connectivity_checking = "OFF",
+               ram_block3a_11.logical_ram_name = "ALTSYNCRAM",
+               ram_block3a_11.mixed_port_feed_through_mode = "dont_care",
+               ram_block3a_11.operation_mode = "dual_port",
+               ram_block3a_11.port_a_address_width = 12,
+               ram_block3a_11.port_a_data_width = 1,
+               ram_block3a_11.port_a_first_address = 0,
+               ram_block3a_11.port_a_first_bit_number = 11,
+               ram_block3a_11.port_a_last_address = 4095,
+               ram_block3a_11.port_a_logical_ram_depth = 4096,
+               ram_block3a_11.port_a_logical_ram_width = 16,
+               ram_block3a_11.port_b_address_clear = "none",
+               ram_block3a_11.port_b_address_clock = "clock1",
+               ram_block3a_11.port_b_address_width = 12,
+               ram_block3a_11.port_b_data_out_clear = "none",
+               ram_block3a_11.port_b_data_out_clock = "none",
+               ram_block3a_11.port_b_data_width = 1,
+               ram_block3a_11.port_b_first_address = 0,
+               ram_block3a_11.port_b_first_bit_number = 11,
+               ram_block3a_11.port_b_last_address = 4095,
+               ram_block3a_11.port_b_logical_ram_depth = 4096,
+               ram_block3a_11.port_b_logical_ram_width = 16,
+               ram_block3a_11.port_b_read_enable_write_enable_clock = "clock1",
+               ram_block3a_11.ram_block_type = "auto",
+               ram_block3a_11.lpm_type = "cyclone_ram_block";
+       cyclone_ram_block   ram_block3a_12
+       ( 
+       .clk0(clock0),
+       .clk1(clock1),
+       .ena0(wren_a),
+       .ena1(clocken1),
+       .portaaddr({address_a_wire[11:0]}),
+       .portadatain({data_a[12]}),
+       .portadataout(),
+       .portawe(1'b1),
+       .portbaddr({address_b_wire[11:0]}),
+       .portbdataout(wire_ram_block3a_12portbdataout[0:0]),
+       .portbrewe(1'b1)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .clr0(1'b0),
+       .clr1(1'b0),
+       .portabyteenamasks(1'b1),
+       .portbbyteenamasks(1'b1),
+       .portbdatain(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               ram_block3a_12.connectivity_checking = "OFF",
+               ram_block3a_12.logical_ram_name = "ALTSYNCRAM",
+               ram_block3a_12.mixed_port_feed_through_mode = "dont_care",
+               ram_block3a_12.operation_mode = "dual_port",
+               ram_block3a_12.port_a_address_width = 12,
+               ram_block3a_12.port_a_data_width = 1,
+               ram_block3a_12.port_a_first_address = 0,
+               ram_block3a_12.port_a_first_bit_number = 12,
+               ram_block3a_12.port_a_last_address = 4095,
+               ram_block3a_12.port_a_logical_ram_depth = 4096,
+               ram_block3a_12.port_a_logical_ram_width = 16,
+               ram_block3a_12.port_b_address_clear = "none",
+               ram_block3a_12.port_b_address_clock = "clock1",
+               ram_block3a_12.port_b_address_width = 12,
+               ram_block3a_12.port_b_data_out_clear = "none",
+               ram_block3a_12.port_b_data_out_clock = "none",
+               ram_block3a_12.port_b_data_width = 1,
+               ram_block3a_12.port_b_first_address = 0,
+               ram_block3a_12.port_b_first_bit_number = 12,
+               ram_block3a_12.port_b_last_address = 4095,
+               ram_block3a_12.port_b_logical_ram_depth = 4096,
+               ram_block3a_12.port_b_logical_ram_width = 16,
+               ram_block3a_12.port_b_read_enable_write_enable_clock = "clock1",
+               ram_block3a_12.ram_block_type = "auto",
+               ram_block3a_12.lpm_type = "cyclone_ram_block";
+       cyclone_ram_block   ram_block3a_13
+       ( 
+       .clk0(clock0),
+       .clk1(clock1),
+       .ena0(wren_a),
+       .ena1(clocken1),
+       .portaaddr({address_a_wire[11:0]}),
+       .portadatain({data_a[13]}),
+       .portadataout(),
+       .portawe(1'b1),
+       .portbaddr({address_b_wire[11:0]}),
+       .portbdataout(wire_ram_block3a_13portbdataout[0:0]),
+       .portbrewe(1'b1)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .clr0(1'b0),
+       .clr1(1'b0),
+       .portabyteenamasks(1'b1),
+       .portbbyteenamasks(1'b1),
+       .portbdatain(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               ram_block3a_13.connectivity_checking = "OFF",
+               ram_block3a_13.logical_ram_name = "ALTSYNCRAM",
+               ram_block3a_13.mixed_port_feed_through_mode = "dont_care",
+               ram_block3a_13.operation_mode = "dual_port",
+               ram_block3a_13.port_a_address_width = 12,
+               ram_block3a_13.port_a_data_width = 1,
+               ram_block3a_13.port_a_first_address = 0,
+               ram_block3a_13.port_a_first_bit_number = 13,
+               ram_block3a_13.port_a_last_address = 4095,
+               ram_block3a_13.port_a_logical_ram_depth = 4096,
+               ram_block3a_13.port_a_logical_ram_width = 16,
+               ram_block3a_13.port_b_address_clear = "none",
+               ram_block3a_13.port_b_address_clock = "clock1",
+               ram_block3a_13.port_b_address_width = 12,
+               ram_block3a_13.port_b_data_out_clear = "none",
+               ram_block3a_13.port_b_data_out_clock = "none",
+               ram_block3a_13.port_b_data_width = 1,
+               ram_block3a_13.port_b_first_address = 0,
+               ram_block3a_13.port_b_first_bit_number = 13,
+               ram_block3a_13.port_b_last_address = 4095,
+               ram_block3a_13.port_b_logical_ram_depth = 4096,
+               ram_block3a_13.port_b_logical_ram_width = 16,
+               ram_block3a_13.port_b_read_enable_write_enable_clock = "clock1",
+               ram_block3a_13.ram_block_type = "auto",
+               ram_block3a_13.lpm_type = "cyclone_ram_block";
+       cyclone_ram_block   ram_block3a_14
+       ( 
+       .clk0(clock0),
+       .clk1(clock1),
+       .ena0(wren_a),
+       .ena1(clocken1),
+       .portaaddr({address_a_wire[11:0]}),
+       .portadatain({data_a[14]}),
+       .portadataout(),
+       .portawe(1'b1),
+       .portbaddr({address_b_wire[11:0]}),
+       .portbdataout(wire_ram_block3a_14portbdataout[0:0]),
+       .portbrewe(1'b1)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .clr0(1'b0),
+       .clr1(1'b0),
+       .portabyteenamasks(1'b1),
+       .portbbyteenamasks(1'b1),
+       .portbdatain(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               ram_block3a_14.connectivity_checking = "OFF",
+               ram_block3a_14.logical_ram_name = "ALTSYNCRAM",
+               ram_block3a_14.mixed_port_feed_through_mode = "dont_care",
+               ram_block3a_14.operation_mode = "dual_port",
+               ram_block3a_14.port_a_address_width = 12,
+               ram_block3a_14.port_a_data_width = 1,
+               ram_block3a_14.port_a_first_address = 0,
+               ram_block3a_14.port_a_first_bit_number = 14,
+               ram_block3a_14.port_a_last_address = 4095,
+               ram_block3a_14.port_a_logical_ram_depth = 4096,
+               ram_block3a_14.port_a_logical_ram_width = 16,
+               ram_block3a_14.port_b_address_clear = "none",
+               ram_block3a_14.port_b_address_clock = "clock1",
+               ram_block3a_14.port_b_address_width = 12,
+               ram_block3a_14.port_b_data_out_clear = "none",
+               ram_block3a_14.port_b_data_out_clock = "none",
+               ram_block3a_14.port_b_data_width = 1,
+               ram_block3a_14.port_b_first_address = 0,
+               ram_block3a_14.port_b_first_bit_number = 14,
+               ram_block3a_14.port_b_last_address = 4095,
+               ram_block3a_14.port_b_logical_ram_depth = 4096,
+               ram_block3a_14.port_b_logical_ram_width = 16,
+               ram_block3a_14.port_b_read_enable_write_enable_clock = "clock1",
+               ram_block3a_14.ram_block_type = "auto",
+               ram_block3a_14.lpm_type = "cyclone_ram_block";
+       cyclone_ram_block   ram_block3a_15
+       ( 
+       .clk0(clock0),
+       .clk1(clock1),
+       .ena0(wren_a),
+       .ena1(clocken1),
+       .portaaddr({address_a_wire[11:0]}),
+       .portadatain({data_a[15]}),
+       .portadataout(),
+       .portawe(1'b1),
+       .portbaddr({address_b_wire[11:0]}),
+       .portbdataout(wire_ram_block3a_15portbdataout[0:0]),
+       .portbrewe(1'b1)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .clr0(1'b0),
+       .clr1(1'b0),
+       .portabyteenamasks(1'b1),
+       .portbbyteenamasks(1'b1),
+       .portbdatain(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               ram_block3a_15.connectivity_checking = "OFF",
+               ram_block3a_15.logical_ram_name = "ALTSYNCRAM",
+               ram_block3a_15.mixed_port_feed_through_mode = "dont_care",
+               ram_block3a_15.operation_mode = "dual_port",
+               ram_block3a_15.port_a_address_width = 12,
+               ram_block3a_15.port_a_data_width = 1,
+               ram_block3a_15.port_a_first_address = 0,
+               ram_block3a_15.port_a_first_bit_number = 15,
+               ram_block3a_15.port_a_last_address = 4095,
+               ram_block3a_15.port_a_logical_ram_depth = 4096,
+               ram_block3a_15.port_a_logical_ram_width = 16,
+               ram_block3a_15.port_b_address_clear = "none",
+               ram_block3a_15.port_b_address_clock = "clock1",
+               ram_block3a_15.port_b_address_width = 12,
+               ram_block3a_15.port_b_data_out_clear = "none",
+               ram_block3a_15.port_b_data_out_clock = "none",
+               ram_block3a_15.port_b_data_width = 1,
+               ram_block3a_15.port_b_first_address = 0,
+               ram_block3a_15.port_b_first_bit_number = 15,
+               ram_block3a_15.port_b_last_address = 4095,
+               ram_block3a_15.port_b_logical_ram_depth = 4096,
+               ram_block3a_15.port_b_logical_ram_width = 16,
+               ram_block3a_15.port_b_read_enable_write_enable_clock = "clock1",
+               ram_block3a_15.ram_block_type = "auto",
+               ram_block3a_15.lpm_type = "cyclone_ram_block";
+       assign
+               address_a_wire = address_a,
+               address_b_wire = address_b,
+               q_b = {wire_ram_block3a_15portbdataout[0], wire_ram_block3a_14portbdataout[0], wire_ram_block3a_13portbdataout[0], wire_ram_block3a_12portbdataout[0], wire_ram_block3a_11portbdataout[0], wire_ram_block3a_10portbdataout[0], wire_ram_block3a_9portbdataout[0], wire_ram_block3a_8portbdataout[0], wire_ram_block3a_7portbdataout[0], wire_ram_block3a_6portbdataout[0], wire_ram_block3a_5portbdataout[0], wire_ram_block3a_4portbdataout[0], wire_ram_block3a_3portbdataout[0], wire_ram_block3a_2portbdataout[0], wire_ram_block3a_1portbdataout[0], wire_ram_block3a_0portbdataout[0]};
+endmodule //fifo_4k_altsyncram_8pl
+
+
+//dffpipe DELAY=1 WIDTH=12 clock clrn d q
+//VERSION_BEGIN 5.0 cbx_mgl 2005:05:19:13:51:58:SJ cbx_stratixii 2004:12:22:13:27:12:SJ cbx_util_mgl 2005:04:04:13:50:06:SJ  VERSION_END
+
+//synthesis_resources = lut 12 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fifo_4k_dffpipe_bb3
+       ( 
+       clock,
+       clrn,
+       d,
+       q) /* synthesis synthesis_clearbox=1 */
+               /* synthesis ALTERA_ATTRIBUTE="AUTO_SHIFT_REGISTER_RECOGNITION=OFF" */;
+       input   clock;
+       input   clrn;
+       input   [11:0]  d;
+       output   [11:0]  q;
+
+       wire    [11:0]  wire_dffe4a_D;
+       reg     [11:0]  dffe4a;
+       wire ena;
+       wire prn;
+       wire sclr;
+
+       // synopsys translate_off
+       initial
+               dffe4a[0:0] = 0;
+       // synopsys translate_on
+       always @ ( posedge clock or  negedge prn or  negedge clrn)
+               if (prn == 1'b0) dffe4a[0:0] <= 1'b1;
+               else if (clrn == 1'b0) dffe4a[0:0] <= 1'b0;
+               else if  (ena == 1'b1)   dffe4a[0:0] <= wire_dffe4a_D[0:0];
+       // synopsys translate_off
+       initial
+               dffe4a[1:1] = 0;
+       // synopsys translate_on
+       always @ ( posedge clock or  negedge prn or  negedge clrn)
+               if (prn == 1'b0) dffe4a[1:1] <= 1'b1;
+               else if (clrn == 1'b0) dffe4a[1:1] <= 1'b0;
+               else if  (ena == 1'b1)   dffe4a[1:1] <= wire_dffe4a_D[1:1];
+       // synopsys translate_off
+       initial
+               dffe4a[2:2] = 0;
+       // synopsys translate_on
+       always @ ( posedge clock or  negedge prn or  negedge clrn)
+               if (prn == 1'b0) dffe4a[2:2] <= 1'b1;
+               else if (clrn == 1'b0) dffe4a[2:2] <= 1'b0;
+               else if  (ena == 1'b1)   dffe4a[2:2] <= wire_dffe4a_D[2:2];
+       // synopsys translate_off
+       initial
+               dffe4a[3:3] = 0;
+       // synopsys translate_on
+       always @ ( posedge clock or  negedge prn or  negedge clrn)
+               if (prn == 1'b0) dffe4a[3:3] <= 1'b1;
+               else if (clrn == 1'b0) dffe4a[3:3] <= 1'b0;
+               else if  (ena == 1'b1)   dffe4a[3:3] <= wire_dffe4a_D[3:3];
+       // synopsys translate_off
+       initial
+               dffe4a[4:4] = 0;
+       // synopsys translate_on
+       always @ ( posedge clock or  negedge prn or  negedge clrn)
+               if (prn == 1'b0) dffe4a[4:4] <= 1'b1;
+               else if (clrn == 1'b0) dffe4a[4:4] <= 1'b0;
+               else if  (ena == 1'b1)   dffe4a[4:4] <= wire_dffe4a_D[4:4];
+       // synopsys translate_off
+       initial
+               dffe4a[5:5] = 0;
+       // synopsys translate_on
+       always @ ( posedge clock or  negedge prn or  negedge clrn)
+               if (prn == 1'b0) dffe4a[5:5] <= 1'b1;
+               else if (clrn == 1'b0) dffe4a[5:5] <= 1'b0;
+               else if  (ena == 1'b1)   dffe4a[5:5] <= wire_dffe4a_D[5:5];
+       // synopsys translate_off
+       initial
+               dffe4a[6:6] = 0;
+       // synopsys translate_on
+       always @ ( posedge clock or  negedge prn or  negedge clrn)
+               if (prn == 1'b0) dffe4a[6:6] <= 1'b1;
+               else if (clrn == 1'b0) dffe4a[6:6] <= 1'b0;
+               else if  (ena == 1'b1)   dffe4a[6:6] <= wire_dffe4a_D[6:6];
+       // synopsys translate_off
+       initial
+               dffe4a[7:7] = 0;
+       // synopsys translate_on
+       always @ ( posedge clock or  negedge prn or  negedge clrn)
+               if (prn == 1'b0) dffe4a[7:7] <= 1'b1;
+               else if (clrn == 1'b0) dffe4a[7:7] <= 1'b0;
+               else if  (ena == 1'b1)   dffe4a[7:7] <= wire_dffe4a_D[7:7];
+       // synopsys translate_off
+       initial
+               dffe4a[8:8] = 0;
+       // synopsys translate_on
+       always @ ( posedge clock or  negedge prn or  negedge clrn)
+               if (prn == 1'b0) dffe4a[8:8] <= 1'b1;
+               else if (clrn == 1'b0) dffe4a[8:8] <= 1'b0;
+               else if  (ena == 1'b1)   dffe4a[8:8] <= wire_dffe4a_D[8:8];
+       // synopsys translate_off
+       initial
+               dffe4a[9:9] = 0;
+       // synopsys translate_on
+       always @ ( posedge clock or  negedge prn or  negedge clrn)
+               if (prn == 1'b0) dffe4a[9:9] <= 1'b1;
+               else if (clrn == 1'b0) dffe4a[9:9] <= 1'b0;
+               else if  (ena == 1'b1)   dffe4a[9:9] <= wire_dffe4a_D[9:9];
+       // synopsys translate_off
+       initial
+               dffe4a[10:10] = 0;
+       // synopsys translate_on
+       always @ ( posedge clock or  negedge prn or  negedge clrn)
+               if (prn == 1'b0) dffe4a[10:10] <= 1'b1;
+               else if (clrn == 1'b0) dffe4a[10:10] <= 1'b0;
+               else if  (ena == 1'b1)   dffe4a[10:10] <= wire_dffe4a_D[10:10];
+       // synopsys translate_off
+       initial
+               dffe4a[11:11] = 0;
+       // synopsys translate_on
+       always @ ( posedge clock or  negedge prn or  negedge clrn)
+               if (prn == 1'b0) dffe4a[11:11] <= 1'b1;
+               else if (clrn == 1'b0) dffe4a[11:11] <= 1'b0;
+               else if  (ena == 1'b1)   dffe4a[11:11] <= wire_dffe4a_D[11:11];
+       assign
+               wire_dffe4a_D = (d & {12{(~ sclr)}});
+       assign
+               ena = 1'b1,
+               prn = 1'b1,
+               q = dffe4a,
+               sclr = 1'b0;
+endmodule //fifo_4k_dffpipe_bb3
+
+
+//dffpipe WIDTH=12 clock clrn d q
+//VERSION_BEGIN 5.0 cbx_a_gray2bin 2004:03:06:00:52:20:SJ cbx_a_graycounter 2004:10:01:12:13:16:SJ cbx_altdpram 2004:11:30:11:29:56:SJ cbx_altsyncram 2005:03:24:13:58:56:SJ cbx_cycloneii 2004:12:20:14:28:52:SJ cbx_dcfifo 2005:03:07:17:11:14:SJ cbx_fifo_common 2004:12:13:14:26:24:SJ cbx_flex10ke 2002:10:18:16:54:38:SJ cbx_lpm_add_sub 2005:04:12:13:30:42:SJ cbx_lpm_compare 2004:11:30:11:30:40:SJ cbx_lpm_counter 2005:02:02:04:37:10:SJ cbx_lpm_decode 2004:12:13:14:19:12:SJ cbx_lpm_mux 2004:12:13:14:16:38:SJ cbx_mgl 2005:05:19:13:51:58:SJ cbx_scfifo 2005:03:10:10:52:20:SJ cbx_stratix 2005:06:02:09:53:04:SJ cbx_stratixii 2004:12:22:13:27:12:SJ cbx_util_mgl 2005:04:04:13:50:06:SJ  VERSION_END
+
+
+//dffpipe WIDTH=12 clock clrn d q
+//VERSION_BEGIN 5.0 cbx_mgl 2005:05:19:13:51:58:SJ cbx_stratixii 2004:12:22:13:27:12:SJ cbx_util_mgl 2005:04:04:13:50:06:SJ  VERSION_END
+
+//synthesis_resources = lut 12 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fifo_4k_dffpipe_em2
+       ( 
+       clock,
+       clrn,
+       d,
+       q) /* synthesis synthesis_clearbox=1 */
+               /* synthesis ALTERA_ATTRIBUTE="AUTO_SHIFT_REGISTER_RECOGNITION=OFF" */;
+       input   clock;
+       input   clrn;
+       input   [11:0]  d;
+       output   [11:0]  q;
+
+       wire    [11:0]  wire_dffe6a_D;
+       reg     [11:0]  dffe6a;
+       wire ena;
+       wire prn;
+       wire sclr;
+
+       // synopsys translate_off
+       initial
+               dffe6a[0:0] = 0;
+       // synopsys translate_on
+       always @ ( posedge clock or  negedge prn or  negedge clrn)
+               if (prn == 1'b0) dffe6a[0:0] <= 1'b1;
+               else if (clrn == 1'b0) dffe6a[0:0] <= 1'b0;
+               else if  (ena == 1'b1)   dffe6a[0:0] <= wire_dffe6a_D[0:0];
+       // synopsys translate_off
+       initial
+               dffe6a[1:1] = 0;
+       // synopsys translate_on
+       always @ ( posedge clock or  negedge prn or  negedge clrn)
+               if (prn == 1'b0) dffe6a[1:1] <= 1'b1;
+               else if (clrn == 1'b0) dffe6a[1:1] <= 1'b0;
+               else if  (ena == 1'b1)   dffe6a[1:1] <= wire_dffe6a_D[1:1];
+       // synopsys translate_off
+       initial
+               dffe6a[2:2] = 0;
+       // synopsys translate_on
+       always @ ( posedge clock or  negedge prn or  negedge clrn)
+               if (prn == 1'b0) dffe6a[2:2] <= 1'b1;
+               else if (clrn == 1'b0) dffe6a[2:2] <= 1'b0;
+               else if  (ena == 1'b1)   dffe6a[2:2] <= wire_dffe6a_D[2:2];
+       // synopsys translate_off
+       initial
+               dffe6a[3:3] = 0;
+       // synopsys translate_on
+       always @ ( posedge clock or  negedge prn or  negedge clrn)
+               if (prn == 1'b0) dffe6a[3:3] <= 1'b1;
+               else if (clrn == 1'b0) dffe6a[3:3] <= 1'b0;
+               else if  (ena == 1'b1)   dffe6a[3:3] <= wire_dffe6a_D[3:3];
+       // synopsys translate_off
+       initial
+               dffe6a[4:4] = 0;
+       // synopsys translate_on
+       always @ ( posedge clock or  negedge prn or  negedge clrn)
+               if (prn == 1'b0) dffe6a[4:4] <= 1'b1;
+               else if (clrn == 1'b0) dffe6a[4:4] <= 1'b0;
+               else if  (ena == 1'b1)   dffe6a[4:4] <= wire_dffe6a_D[4:4];
+       // synopsys translate_off
+       initial
+               dffe6a[5:5] = 0;
+       // synopsys translate_on
+       always @ ( posedge clock or  negedge prn or  negedge clrn)
+               if (prn == 1'b0) dffe6a[5:5] <= 1'b1;
+               else if (clrn == 1'b0) dffe6a[5:5] <= 1'b0;
+               else if  (ena == 1'b1)   dffe6a[5:5] <= wire_dffe6a_D[5:5];
+       // synopsys translate_off
+       initial
+               dffe6a[6:6] = 0;
+       // synopsys translate_on
+       always @ ( posedge clock or  negedge prn or  negedge clrn)
+               if (prn == 1'b0) dffe6a[6:6] <= 1'b1;
+               else if (clrn == 1'b0) dffe6a[6:6] <= 1'b0;
+               else if  (ena == 1'b1)   dffe6a[6:6] <= wire_dffe6a_D[6:6];
+       // synopsys translate_off
+       initial
+               dffe6a[7:7] = 0;
+       // synopsys translate_on
+       always @ ( posedge clock or  negedge prn or  negedge clrn)
+               if (prn == 1'b0) dffe6a[7:7] <= 1'b1;
+               else if (clrn == 1'b0) dffe6a[7:7] <= 1'b0;
+               else if  (ena == 1'b1)   dffe6a[7:7] <= wire_dffe6a_D[7:7];
+       // synopsys translate_off
+       initial
+               dffe6a[8:8] = 0;
+       // synopsys translate_on
+       always @ ( posedge clock or  negedge prn or  negedge clrn)
+               if (prn == 1'b0) dffe6a[8:8] <= 1'b1;
+               else if (clrn == 1'b0) dffe6a[8:8] <= 1'b0;
+               else if  (ena == 1'b1)   dffe6a[8:8] <= wire_dffe6a_D[8:8];
+       // synopsys translate_off
+       initial
+               dffe6a[9:9] = 0;
+       // synopsys translate_on
+       always @ ( posedge clock or  negedge prn or  negedge clrn)
+               if (prn == 1'b0) dffe6a[9:9] <= 1'b1;
+               else if (clrn == 1'b0) dffe6a[9:9] <= 1'b0;
+               else if  (ena == 1'b1)   dffe6a[9:9] <= wire_dffe6a_D[9:9];
+       // synopsys translate_off
+       initial
+               dffe6a[10:10] = 0;
+       // synopsys translate_on
+       always @ ( posedge clock or  negedge prn or  negedge clrn)
+               if (prn == 1'b0) dffe6a[10:10] <= 1'b1;
+               else if (clrn == 1'b0) dffe6a[10:10] <= 1'b0;
+               else if  (ena == 1'b1)   dffe6a[10:10] <= wire_dffe6a_D[10:10];
+       // synopsys translate_off
+       initial
+               dffe6a[11:11] = 0;
+       // synopsys translate_on
+       always @ ( posedge clock or  negedge prn or  negedge clrn)
+               if (prn == 1'b0) dffe6a[11:11] <= 1'b1;
+               else if (clrn == 1'b0) dffe6a[11:11] <= 1'b0;
+               else if  (ena == 1'b1)   dffe6a[11:11] <= wire_dffe6a_D[11:11];
+       assign
+               wire_dffe6a_D = (d & {12{(~ sclr)}});
+       assign
+               ena = 1'b1,
+               prn = 1'b1,
+               q = dffe6a,
+               sclr = 1'b0;
+endmodule //fifo_4k_dffpipe_em2
+
+//synthesis_resources = lut 12 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fifo_4k_alt_synch_pipe_em2
+       ( 
+       clock,
+       clrn,
+       d,
+       q) /* synthesis synthesis_clearbox=1 */
+               /* synthesis ALTERA_ATTRIBUTE="X_ON_VIOLATION_OPTION=OFF" */;
+       input   clock;
+       input   clrn;
+       input   [11:0]  d;
+       output   [11:0]  q;
+
+       wire  [11:0]   wire_dffpipe5_q;
+
+       fifo_4k_dffpipe_em2   dffpipe5
+       ( 
+       .clock(clock),
+       .clrn(clrn),
+       .d(d),
+       .q(wire_dffpipe5_q));
+       assign
+               q = wire_dffpipe5_q;
+endmodule //fifo_4k_alt_synch_pipe_em2
+
+
+//lpm_add_sub DEVICE_FAMILY="Cyclone" LPM_DIRECTION="SUB" LPM_WIDTH=12 dataa datab result
+//VERSION_BEGIN 5.0 cbx_cycloneii 2004:12:20:14:28:52:SJ cbx_lpm_add_sub 2005:04:12:13:30:42:SJ cbx_mgl 2005:05:19:13:51:58:SJ cbx_stratix 2005:06:02:09:53:04:SJ cbx_stratixii 2004:12:22:13:27:12:SJ  VERSION_END
+
+//synthesis_resources = lut 12 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fifo_4k_add_sub_b18
+       ( 
+       dataa,
+       datab,
+       result) /* synthesis synthesis_clearbox=1 */;
+       input   [11:0]  dataa;
+       input   [11:0]  datab;
+       output   [11:0]  result;
+
+       wire  [11:0]   wire_add_sub_cella_combout;
+       wire  [0:0]   wire_add_sub_cella_0cout;
+       wire  [0:0]   wire_add_sub_cella_1cout;
+       wire  [0:0]   wire_add_sub_cella_2cout;
+       wire  [0:0]   wire_add_sub_cella_3cout;
+       wire  [0:0]   wire_add_sub_cella_4cout;
+       wire  [0:0]   wire_add_sub_cella_5cout;
+       wire  [0:0]   wire_add_sub_cella_6cout;
+       wire  [0:0]   wire_add_sub_cella_7cout;
+       wire  [0:0]   wire_add_sub_cella_8cout;
+       wire  [0:0]   wire_add_sub_cella_9cout;
+       wire  [0:0]   wire_add_sub_cella_10cout;
+       wire  [11:0]   wire_add_sub_cella_dataa;
+       wire  [11:0]   wire_add_sub_cella_datab;
+
+       cyclone_lcell   add_sub_cella_0
+       ( 
+       .cin(1'b1),
+       .combout(wire_add_sub_cella_combout[0:0]),
+       .cout(wire_add_sub_cella_0cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[0:0]),
+       .datab(wire_add_sub_cella_datab[0:0]),
+       .regout()
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aclr(1'b0),
+       .aload(1'b0),
+       .clk(1'b1),
+       .datac(1'b1),
+       .datad(1'b1),
+       .ena(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sclr(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               add_sub_cella_0.cin_used = "true",
+               add_sub_cella_0.lut_mask = "69b2",
+               add_sub_cella_0.operation_mode = "arithmetic",
+               add_sub_cella_0.sum_lutc_input = "cin",
+               add_sub_cella_0.lpm_type = "cyclone_lcell";
+       cyclone_lcell   add_sub_cella_1
+       ( 
+       .cin(wire_add_sub_cella_0cout[0:0]),
+       .combout(wire_add_sub_cella_combout[1:1]),
+       .cout(wire_add_sub_cella_1cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[1:1]),
+       .datab(wire_add_sub_cella_datab[1:1]),
+       .regout()
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aclr(1'b0),
+       .aload(1'b0),
+       .clk(1'b1),
+       .datac(1'b1),
+       .datad(1'b1),
+       .ena(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sclr(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               add_sub_cella_1.cin_used = "true",
+               add_sub_cella_1.lut_mask = "69b2",
+               add_sub_cella_1.operation_mode = "arithmetic",
+               add_sub_cella_1.sum_lutc_input = "cin",
+               add_sub_cella_1.lpm_type = "cyclone_lcell";
+       cyclone_lcell   add_sub_cella_2
+       ( 
+       .cin(wire_add_sub_cella_1cout[0:0]),
+       .combout(wire_add_sub_cella_combout[2:2]),
+       .cout(wire_add_sub_cella_2cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[2:2]),
+       .datab(wire_add_sub_cella_datab[2:2]),
+       .regout()
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aclr(1'b0),
+       .aload(1'b0),
+       .clk(1'b1),
+       .datac(1'b1),
+       .datad(1'b1),
+       .ena(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sclr(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               add_sub_cella_2.cin_used = "true",
+               add_sub_cella_2.lut_mask = "69b2",
+               add_sub_cella_2.operation_mode = "arithmetic",
+               add_sub_cella_2.sum_lutc_input = "cin",
+               add_sub_cella_2.lpm_type = "cyclone_lcell";
+       cyclone_lcell   add_sub_cella_3
+       ( 
+       .cin(wire_add_sub_cella_2cout[0:0]),
+       .combout(wire_add_sub_cella_combout[3:3]),
+       .cout(wire_add_sub_cella_3cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[3:3]),
+       .datab(wire_add_sub_cella_datab[3:3]),
+       .regout()
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aclr(1'b0),
+       .aload(1'b0),
+       .clk(1'b1),
+       .datac(1'b1),
+       .datad(1'b1),
+       .ena(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sclr(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               add_sub_cella_3.cin_used = "true",
+               add_sub_cella_3.lut_mask = "69b2",
+               add_sub_cella_3.operation_mode = "arithmetic",
+               add_sub_cella_3.sum_lutc_input = "cin",
+               add_sub_cella_3.lpm_type = "cyclone_lcell";
+       cyclone_lcell   add_sub_cella_4
+       ( 
+       .cin(wire_add_sub_cella_3cout[0:0]),
+       .combout(wire_add_sub_cella_combout[4:4]),
+       .cout(wire_add_sub_cella_4cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[4:4]),
+       .datab(wire_add_sub_cella_datab[4:4]),
+       .regout()
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aclr(1'b0),
+       .aload(1'b0),
+       .clk(1'b1),
+       .datac(1'b1),
+       .datad(1'b1),
+       .ena(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sclr(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               add_sub_cella_4.cin_used = "true",
+               add_sub_cella_4.lut_mask = "69b2",
+               add_sub_cella_4.operation_mode = "arithmetic",
+               add_sub_cella_4.sum_lutc_input = "cin",
+               add_sub_cella_4.lpm_type = "cyclone_lcell";
+       cyclone_lcell   add_sub_cella_5
+       ( 
+       .cin(wire_add_sub_cella_4cout[0:0]),
+       .combout(wire_add_sub_cella_combout[5:5]),
+       .cout(wire_add_sub_cella_5cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[5:5]),
+       .datab(wire_add_sub_cella_datab[5:5]),
+       .regout()
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aclr(1'b0),
+       .aload(1'b0),
+       .clk(1'b1),
+       .datac(1'b1),
+       .datad(1'b1),
+       .ena(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sclr(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               add_sub_cella_5.cin_used = "true",
+               add_sub_cella_5.lut_mask = "69b2",
+               add_sub_cella_5.operation_mode = "arithmetic",
+               add_sub_cella_5.sum_lutc_input = "cin",
+               add_sub_cella_5.lpm_type = "cyclone_lcell";
+       cyclone_lcell   add_sub_cella_6
+       ( 
+       .cin(wire_add_sub_cella_5cout[0:0]),
+       .combout(wire_add_sub_cella_combout[6:6]),
+       .cout(wire_add_sub_cella_6cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[6:6]),
+       .datab(wire_add_sub_cella_datab[6:6]),
+       .regout()
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aclr(1'b0),
+       .aload(1'b0),
+       .clk(1'b1),
+       .datac(1'b1),
+       .datad(1'b1),
+       .ena(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sclr(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               add_sub_cella_6.cin_used = "true",
+               add_sub_cella_6.lut_mask = "69b2",
+               add_sub_cella_6.operation_mode = "arithmetic",
+               add_sub_cella_6.sum_lutc_input = "cin",
+               add_sub_cella_6.lpm_type = "cyclone_lcell";
+       cyclone_lcell   add_sub_cella_7
+       ( 
+       .cin(wire_add_sub_cella_6cout[0:0]),
+       .combout(wire_add_sub_cella_combout[7:7]),
+       .cout(wire_add_sub_cella_7cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[7:7]),
+       .datab(wire_add_sub_cella_datab[7:7]),
+       .regout()
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aclr(1'b0),
+       .aload(1'b0),
+       .clk(1'b1),
+       .datac(1'b1),
+       .datad(1'b1),
+       .ena(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sclr(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               add_sub_cella_7.cin_used = "true",
+               add_sub_cella_7.lut_mask = "69b2",
+               add_sub_cella_7.operation_mode = "arithmetic",
+               add_sub_cella_7.sum_lutc_input = "cin",
+               add_sub_cella_7.lpm_type = "cyclone_lcell";
+       cyclone_lcell   add_sub_cella_8
+       ( 
+       .cin(wire_add_sub_cella_7cout[0:0]),
+       .combout(wire_add_sub_cella_combout[8:8]),
+       .cout(wire_add_sub_cella_8cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[8:8]),
+       .datab(wire_add_sub_cella_datab[8:8]),
+       .regout()
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aclr(1'b0),
+       .aload(1'b0),
+       .clk(1'b1),
+       .datac(1'b1),
+       .datad(1'b1),
+       .ena(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sclr(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               add_sub_cella_8.cin_used = "true",
+               add_sub_cella_8.lut_mask = "69b2",
+               add_sub_cella_8.operation_mode = "arithmetic",
+               add_sub_cella_8.sum_lutc_input = "cin",
+               add_sub_cella_8.lpm_type = "cyclone_lcell";
+       cyclone_lcell   add_sub_cella_9
+       ( 
+       .cin(wire_add_sub_cella_8cout[0:0]),
+       .combout(wire_add_sub_cella_combout[9:9]),
+       .cout(wire_add_sub_cella_9cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[9:9]),
+       .datab(wire_add_sub_cella_datab[9:9]),
+       .regout()
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aclr(1'b0),
+       .aload(1'b0),
+       .clk(1'b1),
+       .datac(1'b1),
+       .datad(1'b1),
+       .ena(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sclr(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               add_sub_cella_9.cin_used = "true",
+               add_sub_cella_9.lut_mask = "69b2",
+               add_sub_cella_9.operation_mode = "arithmetic",
+               add_sub_cella_9.sum_lutc_input = "cin",
+               add_sub_cella_9.lpm_type = "cyclone_lcell";
+       cyclone_lcell   add_sub_cella_10
+       ( 
+       .cin(wire_add_sub_cella_9cout[0:0]),
+       .combout(wire_add_sub_cella_combout[10:10]),
+       .cout(wire_add_sub_cella_10cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[10:10]),
+       .datab(wire_add_sub_cella_datab[10:10]),
+       .regout()
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aclr(1'b0),
+       .aload(1'b0),
+       .clk(1'b1),
+       .datac(1'b1),
+       .datad(1'b1),
+       .ena(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sclr(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               add_sub_cella_10.cin_used = "true",
+               add_sub_cella_10.lut_mask = "69b2",
+               add_sub_cella_10.operation_mode = "arithmetic",
+               add_sub_cella_10.sum_lutc_input = "cin",
+               add_sub_cella_10.lpm_type = "cyclone_lcell";
+       cyclone_lcell   add_sub_cella_11
+       ( 
+       .cin(wire_add_sub_cella_10cout[0:0]),
+       .combout(wire_add_sub_cella_combout[11:11]),
+       .cout(),
+       .dataa(wire_add_sub_cella_dataa[11:11]),
+       .datab(wire_add_sub_cella_datab[11:11]),
+       .regout()
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_off
+       `endif
+       ,
+       .aclr(1'b0),
+       .aload(1'b0),
+       .clk(1'b1),
+       .datac(1'b1),
+       .datad(1'b1),
+       .ena(1'b1),
+       .inverta(1'b0),
+       .regcascin(1'b0),
+       .sclr(1'b0),
+       .sload(1'b0)
+       `ifdef FORMAL_VERIFICATION
+       `else
+       // synopsys translate_on
+       `endif
+       // synopsys translate_off
+       ,
+       .cin0(),
+       .cin1(),
+       .cout0(),
+       .cout1(),
+       .devclrn(),
+       .devpor()
+       // synopsys translate_on
+       );
+       defparam
+               add_sub_cella_11.cin_used = "true",
+               add_sub_cella_11.lut_mask = "6969",
+               add_sub_cella_11.operation_mode = "normal",
+               add_sub_cella_11.sum_lutc_input = "cin",
+               add_sub_cella_11.lpm_type = "cyclone_lcell";
+       assign
+               wire_add_sub_cella_dataa = dataa,
+               wire_add_sub_cella_datab = datab;
+       assign
+               result = wire_add_sub_cella_combout;
+endmodule //fifo_4k_add_sub_b18
+
+
+//lpm_compare DEVICE_FAMILY="Cyclone" LPM_WIDTH=12 aeb dataa datab
+//VERSION_BEGIN 5.0 cbx_cycloneii 2004:12:20:14:28:52:SJ cbx_lpm_add_sub 2005:04:12:13:30:42:SJ cbx_lpm_compare 2004:11:30:11:30:40:SJ cbx_mgl 2005:05:19:13:51:58:SJ cbx_stratix 2005:06:02:09:53:04:SJ cbx_stratixii 2004:12:22:13:27:12:SJ  VERSION_END
+
+
+//lpm_compare DEVICE_FAMILY="Cyclone" LPM_WIDTH=12 aeb dataa datab
+//VERSION_BEGIN 5.0 cbx_cycloneii 2004:12:20:14:28:52:SJ cbx_lpm_add_sub 2005:04:12:13:30:42:SJ cbx_lpm_compare 2004:11:30:11:30:40:SJ cbx_mgl 2005:05:19:13:51:58:SJ cbx_stratix 2005:06:02:09:53:04:SJ cbx_stratixii 2004:12:22:13:27:12:SJ  VERSION_END
+
+//synthesis_resources = lut 104 M4K 16 
+//synopsys translate_off
+`timescale 1 ps / 1 ps
+//synopsys translate_on
+module  fifo_4k_dcfifo_6cq
+       ( 
+       aclr,
+       data,
+       q,
+       rdclk,
+       rdempty,
+       rdreq,
+       rdusedw,
+       wrclk,
+       wrfull,
+       wrreq,
+       wrusedw) /* synthesis synthesis_clearbox=1 */
+               /* synthesis ALTERA_ATTRIBUTE="AUTO_SHIFT_REGISTER_RECOGNITION=OFF;{ -from \"rdptr_g|power_modified_counter_values\" -to \"ws_dgrp|dffpipe5|dffe6a\" }CUT=ON;{ -from \"delayed_wrptr_g\" -to \"rs_dgwp|dffpipe5|dffe6a\" }CUT=ON" */;
+       input   aclr;
+       input   [15:0]  data;
+       output   [15:0]  q;
+       input   rdclk;
+       output   rdempty;
+       input   rdreq;
+       output   [11:0]  rdusedw;
+       input   wrclk;
+       output   wrfull;
+       input   wrreq;
+       output   [11:0]  wrusedw;
+
+       wire  [11:0]   wire_rdptr_g_gray2bin_bin;
+       wire  [11:0]   wire_rs_dgwp_gray2bin_bin;
+       wire  [11:0]   wire_wrptr_g_gray2bin_bin;
+       wire  [11:0]   wire_ws_dgrp_gray2bin_bin;
+       wire  [11:0]   wire_rdptr_g_q;
+       wire  [11:0]   wire_rdptr_g1p_q;
+       wire  [11:0]   wire_wrptr_g1p_q;
+       wire  [15:0]   wire_fifo_ram_q_b;
+       reg     [11:0]  delayed_wrptr_g;
+       reg     [11:0]  wrptr_g;
+       wire  [11:0]   wire_rs_brp_q;
+       wire  [11:0]   wire_rs_bwp_q;
+       wire  [11:0]   wire_rs_dgwp_q;
+       wire  [11:0]   wire_ws_brp_q;
+       wire  [11:0]   wire_ws_bwp_q;
+       wire  [11:0]   wire_ws_dgrp_q;
+       wire  [11:0]   wire_rdusedw_sub_result;
+       wire  [11:0]   wire_wrusedw_sub_result;
+       reg     wire_rdempty_eq_comp_aeb_int;
+       wire    wire_rdempty_eq_comp_aeb;
+       wire    [11:0]  wire_rdempty_eq_comp_dataa;
+       wire    [11:0]  wire_rdempty_eq_comp_datab;
+       reg     wire_wrfull_eq_comp_aeb_int;
+       wire    wire_wrfull_eq_comp_aeb;
+       wire    [11:0]  wire_wrfull_eq_comp_dataa;
+       wire    [11:0]  wire_wrfull_eq_comp_datab;
+       wire  int_rdempty;
+       wire  int_wrfull;
+       wire  valid_rdreq;
+       wire  valid_wrreq;
+
+       fifo_4k_a_gray2bin_9m4   rdptr_g_gray2bin
+       ( 
+       .bin(wire_rdptr_g_gray2bin_bin),
+       .gray(wire_rdptr_g_q));
+       fifo_4k_a_gray2bin_9m4   rs_dgwp_gray2bin
+       ( 
+       .bin(wire_rs_dgwp_gray2bin_bin),
+       .gray(wire_rs_dgwp_q));
+       fifo_4k_a_gray2bin_9m4   wrptr_g_gray2bin
+       ( 
+       .bin(wire_wrptr_g_gray2bin_bin),
+       .gray(wrptr_g));
+       fifo_4k_a_gray2bin_9m4   ws_dgrp_gray2bin
+       ( 
+       .bin(wire_ws_dgrp_gray2bin_bin),
+       .gray(wire_ws_dgrp_q));
+       fifo_4k_a_graycounter_826   rdptr_g
+       ( 
+       .aclr(aclr),
+       .clock(rdclk),
+       .cnt_en(valid_rdreq),
+       .q(wire_rdptr_g_q));
+       fifo_4k_a_graycounter_3r6   rdptr_g1p
+       ( 
+       .aclr(aclr),
+       .clock(rdclk),
+       .cnt_en(valid_rdreq),
+       .q(wire_rdptr_g1p_q));
+       fifo_4k_a_graycounter_3r6   wrptr_g1p
+       ( 
+       .aclr(aclr),
+       .clock(wrclk),
+       .cnt_en(valid_wrreq),
+       .q(wire_wrptr_g1p_q));
+       fifo_4k_altsyncram_8pl   fifo_ram
+       ( 
+       .address_a(wrptr_g),
+       .address_b(((wire_rdptr_g_q & {12{int_rdempty}}) | (wire_rdptr_g1p_q & {12{(~ int_rdempty)}}))),
+       .clock0(wrclk),
+       .clock1(rdclk),
+       .clocken1((valid_rdreq | int_rdempty)),
+       .data_a(data),
+       .q_b(wire_fifo_ram_q_b),
+       .wren_a(valid_wrreq));
+       // synopsys translate_off
+       initial
+               delayed_wrptr_g = 0;
+       // synopsys translate_on
+       always @ ( posedge wrclk or  posedge aclr)
+               if (aclr == 1'b1) delayed_wrptr_g <= 12'b0;
+               else  delayed_wrptr_g <= wrptr_g;
+       // synopsys translate_off
+       initial
+               wrptr_g = 0;
+       // synopsys translate_on
+       always @ ( posedge wrclk or  posedge aclr)
+               if (aclr == 1'b1) wrptr_g <= 12'b0;
+               else if  (valid_wrreq == 1'b1)   wrptr_g <= wire_wrptr_g1p_q;
+       fifo_4k_dffpipe_bb3   rs_brp
+       ( 
+       .clock(rdclk),
+       .clrn((~ aclr)),
+       .d(wire_rdptr_g_gray2bin_bin),
+       .q(wire_rs_brp_q));
+       fifo_4k_dffpipe_bb3   rs_bwp
+       ( 
+       .clock(rdclk),
+       .clrn((~ aclr)),
+       .d(wire_rs_dgwp_gray2bin_bin),
+       .q(wire_rs_bwp_q));
+       fifo_4k_alt_synch_pipe_em2   rs_dgwp
+       ( 
+       .clock(rdclk),
+       .clrn((~ aclr)),
+       .d(delayed_wrptr_g),
+       .q(wire_rs_dgwp_q));
+       fifo_4k_dffpipe_bb3   ws_brp
+       ( 
+       .clock(wrclk),
+       .clrn((~ aclr)),
+       .d(wire_ws_dgrp_gray2bin_bin),
+       .q(wire_ws_brp_q));
+       fifo_4k_dffpipe_bb3   ws_bwp
+       ( 
+       .clock(wrclk),
+       .clrn((~ aclr)),
+       .d(wire_wrptr_g_gray2bin_bin),
+       .q(wire_ws_bwp_q));
+       fifo_4k_alt_synch_pipe_em2   ws_dgrp
+       ( 
+       .clock(wrclk),
+       .clrn((~ aclr)),
+       .d(wire_rdptr_g_q),
+       .q(wire_ws_dgrp_q));
+       fifo_4k_add_sub_b18   rdusedw_sub
+       ( 
+       .dataa(wire_rs_bwp_q),
+       .datab(wire_rs_brp_q),
+       .result(wire_rdusedw_sub_result));
+       fifo_4k_add_sub_b18   wrusedw_sub
+       ( 
+       .dataa(wire_ws_bwp_q),
+       .datab(wire_ws_brp_q),
+       .result(wire_wrusedw_sub_result));
+       always @(wire_rdempty_eq_comp_dataa or wire_rdempty_eq_comp_datab)
+               if (wire_rdempty_eq_comp_dataa == wire_rdempty_eq_comp_datab) 
+                       begin
+                               wire_rdempty_eq_comp_aeb_int = 1'b1;
+                       end
+               else
+                       begin
+                               wire_rdempty_eq_comp_aeb_int = 1'b0;
+                       end
+       assign
+               wire_rdempty_eq_comp_aeb = wire_rdempty_eq_comp_aeb_int;
+       assign
+               wire_rdempty_eq_comp_dataa = wire_rs_dgwp_q,
+               wire_rdempty_eq_comp_datab = wire_rdptr_g_q;
+       always @(wire_wrfull_eq_comp_dataa or wire_wrfull_eq_comp_datab)
+               if (wire_wrfull_eq_comp_dataa == wire_wrfull_eq_comp_datab) 
+                       begin
+                               wire_wrfull_eq_comp_aeb_int = 1'b1;
+                       end
+               else
+                       begin
+                               wire_wrfull_eq_comp_aeb_int = 1'b0;
+                       end
+       assign
+               wire_wrfull_eq_comp_aeb = wire_wrfull_eq_comp_aeb_int;
+       assign
+               wire_wrfull_eq_comp_dataa = wire_ws_dgrp_q,
+               wire_wrfull_eq_comp_datab = wire_wrptr_g1p_q;
+       assign
+               int_rdempty = wire_rdempty_eq_comp_aeb,
+               int_wrfull = wire_wrfull_eq_comp_aeb,
+               q = wire_fifo_ram_q_b,
+               rdempty = int_rdempty,
+               rdusedw = wire_rdusedw_sub_result,
+               valid_rdreq = rdreq,
+               valid_wrreq = wrreq,
+               wrfull = int_wrfull,
+               wrusedw = wire_wrusedw_sub_result;
+endmodule //fifo_4k_dcfifo_6cq
+//VALID FILE
+
+
+// synopsys translate_off
+`timescale 1 ps / 1 ps
+// synopsys translate_on
+module fifo_4k (
+       data,
+       wrreq,
+       rdreq,
+       rdclk,
+       wrclk,
+       aclr,
+       q,
+       rdempty,
+       rdusedw,
+       wrfull,
+       wrusedw)/* synthesis synthesis_clearbox = 1 */;
+
+       input   [15:0]  data;
+       input     wrreq;
+       input     rdreq;
+       input     rdclk;
+       input     wrclk;
+       input     aclr;
+       output  [15:0]  q;
+       output    rdempty;
+       output  [11:0]  rdusedw;
+       output    wrfull;
+       output  [11:0]  wrusedw;
+
+       wire  sub_wire0;
+       wire [11:0] sub_wire1;
+       wire  sub_wire2;
+       wire [15:0] sub_wire3;
+       wire [11:0] sub_wire4;
+       wire  rdempty = sub_wire0;
+       wire [11:0] wrusedw = sub_wire1[11:0];
+       wire  wrfull = sub_wire2;
+       wire [15:0] q = sub_wire3[15:0];
+       wire [11:0] rdusedw = sub_wire4[11:0];
+
+       fifo_4k_dcfifo_6cq      fifo_4k_dcfifo_6cq_component (
+                               .wrclk (wrclk),
+                               .rdreq (rdreq),
+                               .aclr (aclr),
+                               .rdclk (rdclk),
+                               .wrreq (wrreq),
+                               .data (data),
+                               .rdempty (sub_wire0),
+                               .wrusedw (sub_wire1),
+                               .wrfull (sub_wire2),
+                               .q (sub_wire3),
+                               .rdusedw (sub_wire4));
+
+endmodule
+
+// ============================================================
+// CNX file retrieval info
+// ============================================================
+// Retrieval info: PRIVATE: Width NUMERIC "16"
+// Retrieval info: PRIVATE: Depth NUMERIC "4096"
+// Retrieval info: PRIVATE: Clock NUMERIC "4"
+// Retrieval info: PRIVATE: CLOCKS_ARE_SYNCHRONIZED NUMERIC "0"
+// Retrieval info: PRIVATE: Full NUMERIC "1"
+// Retrieval info: PRIVATE: Empty NUMERIC "1"
+// Retrieval info: PRIVATE: UsedW NUMERIC "1"
+// Retrieval info: PRIVATE: AlmostFull NUMERIC "0"
+// Retrieval info: PRIVATE: AlmostEmpty NUMERIC "0"
+// Retrieval info: PRIVATE: AlmostFullThr NUMERIC "-1"
+// Retrieval info: PRIVATE: AlmostEmptyThr NUMERIC "-1"
+// Retrieval info: PRIVATE: sc_aclr NUMERIC "0"
+// Retrieval info: PRIVATE: sc_sclr NUMERIC "0"
+// Retrieval info: PRIVATE: rsFull NUMERIC "0"
+// Retrieval info: PRIVATE: rsEmpty NUMERIC "1"
+// Retrieval info: PRIVATE: rsUsedW NUMERIC "1"
+// Retrieval info: PRIVATE: wsFull NUMERIC "1"
+// Retrieval info: PRIVATE: wsEmpty NUMERIC "0"
+// Retrieval info: PRIVATE: wsUsedW NUMERIC "1"
+// Retrieval info: PRIVATE: dc_aclr NUMERIC "1"
+// Retrieval info: PRIVATE: LegacyRREQ NUMERIC "0"
+// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
+// Retrieval info: PRIVATE: MAX_DEPTH_BY_9 NUMERIC "0"
+// Retrieval info: PRIVATE: LE_BasedFIFO NUMERIC "0"
+// Retrieval info: PRIVATE: Optimize NUMERIC "2"
+// Retrieval info: PRIVATE: OVERFLOW_CHECKING NUMERIC "1"
+// Retrieval info: PRIVATE: UNDERFLOW_CHECKING NUMERIC "1"
+// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone"
+// Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "16"
+// Retrieval info: CONSTANT: LPM_NUMWORDS NUMERIC "4096"
+// Retrieval info: CONSTANT: LPM_WIDTHU NUMERIC "12"
+// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone"
+// Retrieval info: CONSTANT: CLOCKS_ARE_SYNCHRONIZED STRING "FALSE"
+// Retrieval info: CONSTANT: LPM_TYPE STRING "dcfifo"
+// Retrieval info: CONSTANT: LPM_SHOWAHEAD STRING "ON"
+// Retrieval info: CONSTANT: OVERFLOW_CHECKING STRING "OFF"
+// Retrieval info: CONSTANT: UNDERFLOW_CHECKING STRING "OFF"
+// Retrieval info: CONSTANT: USE_EAB STRING "ON"
+// Retrieval info: CONSTANT: ADD_RAM_OUTPUT_REGISTER STRING "OFF"
+// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone"
+// Retrieval info: USED_PORT: data 0 0 16 0 INPUT NODEFVAL data[15..0]
+// Retrieval info: USED_PORT: q 0 0 16 0 OUTPUT NODEFVAL q[15..0]
+// Retrieval info: USED_PORT: wrreq 0 0 0 0 INPUT NODEFVAL wrreq
+// Retrieval info: USED_PORT: rdreq 0 0 0 0 INPUT NODEFVAL rdreq
+// Retrieval info: USED_PORT: rdclk 0 0 0 0 INPUT NODEFVAL rdclk
+// Retrieval info: USED_PORT: wrclk 0 0 0 0 INPUT NODEFVAL wrclk
+// Retrieval info: USED_PORT: rdempty 0 0 0 0 OUTPUT NODEFVAL rdempty
+// Retrieval info: USED_PORT: rdusedw 0 0 12 0 OUTPUT NODEFVAL rdusedw[11..0]
+// Retrieval info: USED_PORT: wrfull 0 0 0 0 OUTPUT NODEFVAL wrfull
+// Retrieval info: USED_PORT: wrusedw 0 0 12 0 OUTPUT NODEFVAL wrusedw[11..0]
+// Retrieval info: USED_PORT: aclr 0 0 0 0 INPUT GND aclr
+// Retrieval info: CONNECT: @data 0 0 16 0 data 0 0 16 0
+// Retrieval info: CONNECT: q 0 0 16 0 @q 0 0 16 0
+// Retrieval info: CONNECT: @wrreq 0 0 0 0 wrreq 0 0 0 0
+// Retrieval info: CONNECT: @rdreq 0 0 0 0 rdreq 0 0 0 0
+// Retrieval info: CONNECT: @rdclk 0 0 0 0 rdclk 0 0 0 0
+// Retrieval info: CONNECT: @wrclk 0 0 0 0 wrclk 0 0 0 0
+// Retrieval info: CONNECT: rdempty 0 0 0 0 @rdempty 0 0 0 0
+// Retrieval info: CONNECT: rdusedw 0 0 12 0 @rdusedw 0 0 12 0
+// Retrieval info: CONNECT: wrfull 0 0 0 0 @wrfull 0 0 0 0
+// Retrieval info: CONNECT: wrusedw 0 0 12 0 @wrusedw 0 0 12 0
+// Retrieval info: CONNECT: @aclr 0 0 0 0 aclr 0 0 0 0
+// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_4k.v TRUE
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_4k.inc FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_4k.cmp FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_4k.bsf FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_4k_inst.v FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_4k_bb.v TRUE
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_4k_waveforms.html TRUE
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_4k_wave*.jpg FALSE
diff --git a/usrp/fpga/megacells/fifo_4k_18.v b/usrp/fpga/megacells/fifo_4k_18.v
new file mode 100755 (executable)
index 0000000..ad76121
--- /dev/null
@@ -0,0 +1,186 @@
+// megafunction wizard: %FIFO%\r
+// GENERATION: STANDARD\r
+// VERSION: WM1.0\r
+// MODULE: dcfifo \r
+\r
+// ============================================================\r
+// File Name: fifo_4k_18.v\r
+// Megafunction Name(s):\r
+//                     dcfifo\r
+//\r
+// Simulation Library Files(s):\r
+//                     altera_mf\r
+// ============================================================\r
+// ************************************************************\r
+// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!\r
+//\r
+// 7.1 Build 178 06/25/2007 SP 1 SJ Web Edition\r
+// ************************************************************\r
+\r
+\r
+//Copyright (C) 1991-2007 Altera Corporation\r
+//Your use of Altera Corporation's design tools, logic functions \r
+//and other software and tools, and its AMPP partner logic \r
+//functions, and any output files from any of the foregoing \r
+//(including device programming or simulation files), and any \r
+//associated documentation or information are expressly subject \r
+//to the terms and conditions of the Altera Program License \r
+//Subscription Agreement, Altera MegaCore Function License \r
+//Agreement, or other applicable license agreement, including, \r
+//without limitation, that your use is for the sole purpose of \r
+//programming logic devices manufactured by Altera and sold by \r
+//Altera or its authorized distributors.  Please refer to the \r
+//applicable agreement for further details.\r
+\r
+\r
+// synopsys translate_off\r
+`timescale 1 ps / 1 ps\r
+// synopsys translate_on\r
+module fifo_4k_18 (\r
+       aclr,\r
+       data,\r
+       rdclk,\r
+       rdreq,\r
+       wrclk,\r
+       wrreq,\r
+       q,\r
+       rdempty,\r
+       rdusedw,\r
+       wrfull,\r
+       wrusedw);\r
+\r
+       input     aclr;\r
+       input   [17:0]  data;\r
+       input     rdclk;\r
+       input     rdreq;\r
+       input     wrclk;\r
+       input     wrreq;\r
+       output  [17:0]  q;\r
+       output    rdempty;\r
+       output  [11:0]  rdusedw;\r
+       output    wrfull;\r
+       output  [11:0]  wrusedw;\r
+\r
+       wire  sub_wire0;\r
+       wire [11:0] sub_wire1;\r
+       wire  sub_wire2;\r
+       wire [17:0] sub_wire3;\r
+       wire [11:0] sub_wire4;\r
+       wire  rdempty = sub_wire0;\r
+       wire [11:0] wrusedw = sub_wire1[11:0];\r
+       wire  wrfull = sub_wire2;\r
+       wire [17:0] q = sub_wire3[17:0];\r
+       wire [11:0] rdusedw = sub_wire4[11:0];\r
+\r
+       dcfifo  dcfifo_component (\r
+                               .wrclk (wrclk),\r
+                               .rdreq (rdreq),\r
+                               .aclr (aclr),\r
+                               .rdclk (rdclk),\r
+                               .wrreq (wrreq),\r
+                               .data (data),\r
+                               .rdempty (sub_wire0),\r
+                               .wrusedw (sub_wire1),\r
+                               .wrfull (sub_wire2),\r
+                               .q (sub_wire3),\r
+                               .rdusedw (sub_wire4)\r
+                               // synopsys translate_off\r
+                               ,\r
+                               .rdfull (),\r
+                               .wrempty ()\r
+                               // synopsys translate_on\r
+                               );\r
+       defparam\r
+               dcfifo_component.add_ram_output_register = "OFF",\r
+               dcfifo_component.clocks_are_synchronized = "FALSE",\r
+               dcfifo_component.intended_device_family = "Cyclone",\r
+               dcfifo_component.lpm_numwords = 4096,\r
+               dcfifo_component.lpm_showahead = "ON",\r
+               dcfifo_component.lpm_type = "dcfifo",\r
+               dcfifo_component.lpm_width = 18,\r
+               dcfifo_component.lpm_widthu = 12,\r
+               dcfifo_component.overflow_checking = "OFF",\r
+               dcfifo_component.underflow_checking = "OFF",\r
+               dcfifo_component.use_eab = "ON";\r
+\r
+\r
+endmodule\r
+\r
+// ============================================================\r
+// CNX file retrieval info\r
+// ============================================================\r
+// Retrieval info: PRIVATE: AlmostEmpty NUMERIC "0"\r
+// Retrieval info: PRIVATE: AlmostEmptyThr NUMERIC "-1"\r
+// Retrieval info: PRIVATE: AlmostFull NUMERIC "0"\r
+// Retrieval info: PRIVATE: AlmostFullThr NUMERIC "-1"\r
+// Retrieval info: PRIVATE: CLOCKS_ARE_SYNCHRONIZED NUMERIC "0"\r
+// Retrieval info: PRIVATE: Clock NUMERIC "4"\r
+// Retrieval info: PRIVATE: Depth NUMERIC "4096"\r
+// Retrieval info: PRIVATE: Empty NUMERIC "1"\r
+// Retrieval info: PRIVATE: Full NUMERIC "1"\r
+// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone"\r
+// Retrieval info: PRIVATE: LE_BasedFIFO NUMERIC "0"\r
+// Retrieval info: PRIVATE: LegacyRREQ NUMERIC "0"\r
+// Retrieval info: PRIVATE: MAX_DEPTH_BY_9 NUMERIC "0"\r
+// Retrieval info: PRIVATE: OVERFLOW_CHECKING NUMERIC "1"\r
+// Retrieval info: PRIVATE: Optimize NUMERIC "2"\r
+// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"\r
+// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"\r
+// Retrieval info: PRIVATE: UNDERFLOW_CHECKING NUMERIC "1"\r
+// Retrieval info: PRIVATE: UsedW NUMERIC "1"\r
+// Retrieval info: PRIVATE: Width NUMERIC "18"\r
+// Retrieval info: PRIVATE: dc_aclr NUMERIC "1"\r
+// Retrieval info: PRIVATE: diff_widths NUMERIC "0"\r
+// Retrieval info: PRIVATE: msb_usedw NUMERIC "0"\r
+// Retrieval info: PRIVATE: output_width NUMERIC "18"\r
+// Retrieval info: PRIVATE: rsEmpty NUMERIC "1"\r
+// Retrieval info: PRIVATE: rsFull NUMERIC "0"\r
+// Retrieval info: PRIVATE: rsUsedW NUMERIC "1"\r
+// Retrieval info: PRIVATE: sc_aclr NUMERIC "0"\r
+// Retrieval info: PRIVATE: sc_sclr NUMERIC "0"\r
+// Retrieval info: PRIVATE: wsEmpty NUMERIC "0"\r
+// Retrieval info: PRIVATE: wsFull NUMERIC "1"\r
+// Retrieval info: PRIVATE: wsUsedW NUMERIC "1"\r
+// Retrieval info: CONSTANT: ADD_RAM_OUTPUT_REGISTER STRING "OFF"\r
+// Retrieval info: CONSTANT: CLOCKS_ARE_SYNCHRONIZED STRING "FALSE"\r
+// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone"\r
+// Retrieval info: CONSTANT: LPM_NUMWORDS NUMERIC "4096"\r
+// Retrieval info: CONSTANT: LPM_SHOWAHEAD STRING "ON"\r
+// Retrieval info: CONSTANT: LPM_TYPE STRING "dcfifo"\r
+// Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "18"\r
+// Retrieval info: CONSTANT: LPM_WIDTHU NUMERIC "12"\r
+// Retrieval info: CONSTANT: OVERFLOW_CHECKING STRING "OFF"\r
+// Retrieval info: CONSTANT: UNDERFLOW_CHECKING STRING "OFF"\r
+// Retrieval info: CONSTANT: USE_EAB STRING "ON"\r
+// Retrieval info: USED_PORT: aclr 0 0 0 0 INPUT GND aclr\r
+// Retrieval info: USED_PORT: data 0 0 18 0 INPUT NODEFVAL data[17..0]\r
+// Retrieval info: USED_PORT: q 0 0 18 0 OUTPUT NODEFVAL q[17..0]\r
+// Retrieval info: USED_PORT: rdclk 0 0 0 0 INPUT NODEFVAL rdclk\r
+// Retrieval info: USED_PORT: rdempty 0 0 0 0 OUTPUT NODEFVAL rdempty\r
+// Retrieval info: USED_PORT: rdreq 0 0 0 0 INPUT NODEFVAL rdreq\r
+// Retrieval info: USED_PORT: rdusedw 0 0 12 0 OUTPUT NODEFVAL rdusedw[11..0]\r
+// Retrieval info: USED_PORT: wrclk 0 0 0 0 INPUT NODEFVAL wrclk\r
+// Retrieval info: USED_PORT: wrfull 0 0 0 0 OUTPUT NODEFVAL wrfull\r
+// Retrieval info: USED_PORT: wrreq 0 0 0 0 INPUT NODEFVAL wrreq\r
+// Retrieval info: USED_PORT: wrusedw 0 0 12 0 OUTPUT NODEFVAL wrusedw[11..0]\r
+// Retrieval info: CONNECT: @data 0 0 18 0 data 0 0 18 0\r
+// Retrieval info: CONNECT: q 0 0 18 0 @q 0 0 18 0\r
+// Retrieval info: CONNECT: @wrreq 0 0 0 0 wrreq 0 0 0 0\r
+// Retrieval info: CONNECT: @rdreq 0 0 0 0 rdreq 0 0 0 0\r
+// Retrieval info: CONNECT: @rdclk 0 0 0 0 rdclk 0 0 0 0\r
+// Retrieval info: CONNECT: @wrclk 0 0 0 0 wrclk 0 0 0 0\r
+// Retrieval info: CONNECT: rdempty 0 0 0 0 @rdempty 0 0 0 0\r
+// Retrieval info: CONNECT: rdusedw 0 0 12 0 @rdusedw 0 0 12 0\r
+// Retrieval info: CONNECT: wrfull 0 0 0 0 @wrfull 0 0 0 0\r
+// Retrieval info: CONNECT: wrusedw 0 0 12 0 @wrusedw 0 0 12 0\r
+// Retrieval info: CONNECT: @aclr 0 0 0 0 aclr 0 0 0 0\r
+// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all\r
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_4k_18.v TRUE\r
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_4k_18.inc FALSE\r
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_4k_18.cmp FALSE\r
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_4k_18.bsf FALSE\r
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_4k_18_inst.v FALSE\r
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_4k_18_bb.v FALSE\r
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_4k_18_waveforms.html FALSE\r
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_4k_18_wave*.jpg FALSE\r
+// Retrieval info: LIB_FILE: altera_mf\r
diff --git a/usrp/fpga/megacells/fifo_4k_bb.v b/usrp/fpga/megacells/fifo_4k_bb.v
new file mode 100644 (file)
index 0000000..fc4ca97
--- /dev/null
@@ -0,0 +1,131 @@
+// megafunction wizard: %FIFO%VBB%
+// GENERATION: STANDARD
+// VERSION: WM1.0
+// MODULE: dcfifo 
+
+// ============================================================
+// File Name: fifo_4k.v
+// Megafunction Name(s):
+//                     dcfifo
+// ============================================================
+// ************************************************************
+// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
+//
+// 5.0 Build 168 06/22/2005 SP 1 SJ Web Edition
+// ************************************************************
+
+//Copyright (C) 1991-2005 Altera Corporation
+//Your use of Altera Corporation's design tools, logic functions 
+//and other software and tools, and its AMPP partner logic       
+//functions, and any output files any of the foregoing           
+//(including device programming or simulation files), and any    
+//associated documentation or information are expressly subject  
+//to the terms and conditions of the Altera Program License      
+//Subscription Agreement, Altera MegaCore Function License       
+//Agreement, or other applicable license agreement, including,   
+//without limitation, that your use is for the sole purpose of   
+//programming logic devices manufactured by Altera and sold by   
+//Altera or its authorized distributors.  Please refer to the    
+//applicable agreement for further details.
+
+module fifo_4k (
+       data,
+       wrreq,
+       rdreq,
+       rdclk,
+       wrclk,
+       aclr,
+       q,
+       rdempty,
+       rdusedw,
+       wrfull,
+       wrusedw)/* synthesis synthesis_clearbox = 1 */;
+
+       input   [15:0]  data;
+       input     wrreq;
+       input     rdreq;
+       input     rdclk;
+       input     wrclk;
+       input     aclr;
+       output  [15:0]  q;
+       output    rdempty;
+       output  [11:0]  rdusedw;
+       output    wrfull;
+       output  [11:0]  wrusedw;
+
+endmodule
+
+// ============================================================
+// CNX file retrieval info
+// ============================================================
+// Retrieval info: PRIVATE: Width NUMERIC "16"
+// Retrieval info: PRIVATE: Depth NUMERIC "4096"
+// Retrieval info: PRIVATE: Clock NUMERIC "4"
+// Retrieval info: PRIVATE: CLOCKS_ARE_SYNCHRONIZED NUMERIC "0"
+// Retrieval info: PRIVATE: Full NUMERIC "1"
+// Retrieval info: PRIVATE: Empty NUMERIC "1"
+// Retrieval info: PRIVATE: UsedW NUMERIC "1"
+// Retrieval info: PRIVATE: AlmostFull NUMERIC "0"
+// Retrieval info: PRIVATE: AlmostEmpty NUMERIC "0"
+// Retrieval info: PRIVATE: AlmostFullThr NUMERIC "-1"
+// Retrieval info: PRIVATE: AlmostEmptyThr NUMERIC "-1"
+// Retrieval info: PRIVATE: sc_aclr NUMERIC "0"
+// Retrieval info: PRIVATE: sc_sclr NUMERIC "0"
+// Retrieval info: PRIVATE: rsFull NUMERIC "0"
+// Retrieval info: PRIVATE: rsEmpty NUMERIC "1"
+// Retrieval info: PRIVATE: rsUsedW NUMERIC "1"
+// Retrieval info: PRIVATE: wsFull NUMERIC "1"
+// Retrieval info: PRIVATE: wsEmpty NUMERIC "0"
+// Retrieval info: PRIVATE: wsUsedW NUMERIC "1"
+// Retrieval info: PRIVATE: dc_aclr NUMERIC "1"
+// Retrieval info: PRIVATE: LegacyRREQ NUMERIC "0"
+// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
+// Retrieval info: PRIVATE: MAX_DEPTH_BY_9 NUMERIC "0"
+// Retrieval info: PRIVATE: LE_BasedFIFO NUMERIC "0"
+// Retrieval info: PRIVATE: Optimize NUMERIC "2"
+// Retrieval info: PRIVATE: OVERFLOW_CHECKING NUMERIC "1"
+// Retrieval info: PRIVATE: UNDERFLOW_CHECKING NUMERIC "1"
+// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone"
+// Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "16"
+// Retrieval info: CONSTANT: LPM_NUMWORDS NUMERIC "4096"
+// Retrieval info: CONSTANT: LPM_WIDTHU NUMERIC "12"
+// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone"
+// Retrieval info: CONSTANT: CLOCKS_ARE_SYNCHRONIZED STRING "FALSE"
+// Retrieval info: CONSTANT: LPM_TYPE STRING "dcfifo"
+// Retrieval info: CONSTANT: LPM_SHOWAHEAD STRING "ON"
+// Retrieval info: CONSTANT: OVERFLOW_CHECKING STRING "OFF"
+// Retrieval info: CONSTANT: UNDERFLOW_CHECKING STRING "OFF"
+// Retrieval info: CONSTANT: USE_EAB STRING "ON"
+// Retrieval info: CONSTANT: ADD_RAM_OUTPUT_REGISTER STRING "OFF"
+// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone"
+// Retrieval info: USED_PORT: data 0 0 16 0 INPUT NODEFVAL data[15..0]
+// Retrieval info: USED_PORT: q 0 0 16 0 OUTPUT NODEFVAL q[15..0]
+// Retrieval info: USED_PORT: wrreq 0 0 0 0 INPUT NODEFVAL wrreq
+// Retrieval info: USED_PORT: rdreq 0 0 0 0 INPUT NODEFVAL rdreq
+// Retrieval info: USED_PORT: rdclk 0 0 0 0 INPUT NODEFVAL rdclk
+// Retrieval info: USED_PORT: wrclk 0 0 0 0 INPUT NODEFVAL wrclk
+// Retrieval info: USED_PORT: rdempty 0 0 0 0 OUTPUT NODEFVAL rdempty
+// Retrieval info: USED_PORT: rdusedw 0 0 12 0 OUTPUT NODEFVAL rdusedw[11..0]
+// Retrieval info: USED_PORT: wrfull 0 0 0 0 OUTPUT NODEFVAL wrfull
+// Retrieval info: USED_PORT: wrusedw 0 0 12 0 OUTPUT NODEFVAL wrusedw[11..0]
+// Retrieval info: USED_PORT: aclr 0 0 0 0 INPUT GND aclr
+// Retrieval info: CONNECT: @data 0 0 16 0 data 0 0 16 0
+// Retrieval info: CONNECT: q 0 0 16 0 @q 0 0 16 0
+// Retrieval info: CONNECT: @wrreq 0 0 0 0 wrreq 0 0 0 0
+// Retrieval info: CONNECT: @rdreq 0 0 0 0 rdreq 0 0 0 0
+// Retrieval info: CONNECT: @rdclk 0 0 0 0 rdclk 0 0 0 0
+// Retrieval info: CONNECT: @wrclk 0 0 0 0 wrclk 0 0 0 0
+// Retrieval info: CONNECT: rdempty 0 0 0 0 @rdempty 0 0 0 0
+// Retrieval info: CONNECT: rdusedw 0 0 12 0 @rdusedw 0 0 12 0
+// Retrieval info: CONNECT: wrfull 0 0 0 0 @wrfull 0 0 0 0
+// Retrieval info: CONNECT: wrusedw 0 0 12 0 @wrusedw 0 0 12 0
+// Retrieval info: CONNECT: @aclr 0 0 0 0 aclr 0 0 0 0
+// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_4k.v TRUE
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_4k.inc FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_4k.cmp FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_4k.bsf FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_4k_inst.v FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_4k_bb.v TRUE
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_4k_waveforms.html TRUE
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_4k_wave*.jpg FALSE
diff --git a/usrp/fpga/megacells/fifo_4kx16_dc.bsf b/usrp/fpga/megacells/fifo_4kx16_dc.bsf
new file mode 100755 (executable)
index 0000000..b80add8
--- /dev/null
@@ -0,0 +1,117 @@
+/*\r
+WARNING: Do NOT edit the input and output ports in this file in a text\r
+editor if you plan to continue editing the block that represents it in\r
+the Block Editor! File corruption is VERY likely to occur.\r
+*/\r
+/*\r
+Copyright (C) 1991-2006 Altera Corporation\r
+Your use of Altera Corporation's design tools, logic functions \r
+and other software and tools, and its AMPP partner logic \r
+functions, and any output files any of the foregoing \r
+(including device programming or simulation files), and any \r
+associated documentation or information are expressly subject \r
+to the terms and conditions of the Altera Program License \r
+Subscription Agreement, Altera MegaCore Function License \r
+Agreement, or other applicable license agreement, including, \r
+without limitation, that your use is for the sole purpose of \r
+programming logic devices manufactured by Altera and sold by \r
+Altera or its authorized distributors.  Please refer to the \r
+applicable agreement for further details.\r
+*/\r
+(header "symbol" (version "1.1"))\r
+(symbol\r
+       (rect 0 0 160 184)\r
+       (text "fifo_4kx16_dc" (rect 41 1 134 17)(font "Arial" (font_size 10)))\r
+       (text "inst" (rect 8 168 25 180)(font "Arial" ))\r
+       (port\r
+               (pt 0 32)\r
+               (input)\r
+               (text "data[15..0]" (rect 0 0 60 14)(font "Arial" (font_size 8)))\r
+               (text "data[15..0]" (rect 20 26 71 39)(font "Arial" (font_size 8)))\r
+               (line (pt 0 32)(pt 16 32)(line_width 3))\r
+       )\r
+       (port\r
+               (pt 0 56)\r
+               (input)\r
+               (text "wrreq" (rect 0 0 35 14)(font "Arial" (font_size 8)))\r
+               (text "wrreq" (rect 20 50 45 63)(font "Arial" (font_size 8)))\r
+               (line (pt 0 56)(pt 16 56)(line_width 1))\r
+       )\r
+       (port\r
+               (pt 0 72)\r
+               (input)\r
+               (text "wrclk" (rect 0 0 31 14)(font "Arial" (font_size 8)))\r
+               (text "wrclk" (rect 26 66 48 79)(font "Arial" (font_size 8)))\r
+               (line (pt 0 72)(pt 16 72)(line_width 1))\r
+       )\r
+       (port\r
+               (pt 0 104)\r
+               (input)\r
+               (text "rdreq" (rect 0 0 30 14)(font "Arial" (font_size 8)))\r
+               (text "rdreq" (rect 20 98 44 111)(font "Arial" (font_size 8)))\r
+               (line (pt 0 104)(pt 16 104)(line_width 1))\r
+       )\r
+       (port\r
+               (pt 0 120)\r
+               (input)\r
+               (text "rdclk" (rect 0 0 27 14)(font "Arial" (font_size 8)))\r
+               (text "rdclk" (rect 26 114 47 127)(font "Arial" (font_size 8)))\r
+               (line (pt 0 120)(pt 16 120)(line_width 1))\r
+       )\r
+       (port\r
+               (pt 0 160)\r
+               (input)\r
+               (text "aclr" (rect 0 0 21 14)(font "Arial" (font_size 8)))\r
+               (text "aclr" (rect 20 154 37 167)(font "Arial" (font_size 8)))\r
+               (line (pt 0 160)(pt 16 160)(line_width 1))\r
+       )\r
+       (port\r
+               (pt 160 40)\r
+               (output)\r
+               (text "wrfull" (rect 0 0 33 14)(font "Arial" (font_size 8)))\r
+               (text "wrfull" (rect 113 34 138 47)(font "Arial" (font_size 8)))\r
+               (line (pt 160 40)(pt 144 40)(line_width 1))\r
+       )\r
+       (port\r
+               (pt 160 72)\r
+               (output)\r
+               (text "wrusedw[11..0]" (rect 0 0 92 14)(font "Arial" (font_size 8)))\r
+               (text "wrusedw[11..0]" (rect 63 66 132 79)(font "Arial" (font_size 8)))\r
+               (line (pt 160 72)(pt 144 72)(line_width 3))\r
+       )\r
+       (port\r
+               (pt 160 96)\r
+               (output)\r
+               (text "q[15..0]" (rect 0 0 42 14)(font "Arial" (font_size 8)))\r
+               (text "q[15..0]" (rect 105 90 141 103)(font "Arial" (font_size 8)))\r
+               (line (pt 160 96)(pt 144 96)(line_width 3))\r
+       )\r
+       (port\r
+               (pt 160 120)\r
+               (output)\r
+               (text "rdempty" (rect 0 0 46 14)(font "Arial" (font_size 8)))\r
+               (text "rdempty" (rect 102 114 140 127)(font "Arial" (font_size 8)))\r
+               (line (pt 160 120)(pt 144 120)(line_width 1))\r
+       )\r
+       (port\r
+               (pt 160 136)\r
+               (output)\r
+               (text "rdusedw[11..0]" (rect 0 0 87 14)(font "Arial" (font_size 8)))\r
+               (text "rdusedw[11..0]" (rect 67 130 135 143)(font "Arial" (font_size 8)))\r
+               (line (pt 160 136)(pt 144 136)(line_width 3))\r
+       )\r
+       (drawing\r
+               (text "(ack)" (rect 51 99 72 111)(font "Arial" ))\r
+               (text "16 bits x 4096 words" (rect 58 156 144 168)(font "Arial" ))\r
+               (line (pt 16 16)(pt 144 16)(line_width 1))\r
+               (line (pt 144 16)(pt 144 168)(line_width 1))\r
+               (line (pt 144 168)(pt 16 168)(line_width 1))\r
+               (line (pt 16 168)(pt 16 16)(line_width 1))\r
+               (line (pt 16 84)(pt 144 84)(line_width 1))\r
+               (line (pt 16 148)(pt 144 148)(line_width 1))\r
+               (line (pt 16 66)(pt 22 72)(line_width 1))\r
+               (line (pt 22 72)(pt 16 78)(line_width 1))\r
+               (line (pt 16 114)(pt 22 120)(line_width 1))\r
+               (line (pt 22 120)(pt 16 126)(line_width 1))\r
+       )\r
+)\r
diff --git a/usrp/fpga/megacells/fifo_4kx16_dc.cmp b/usrp/fpga/megacells/fifo_4kx16_dc.cmp
new file mode 100755 (executable)
index 0000000..356de4d
--- /dev/null
@@ -0,0 +1,31 @@
+--Copyright (C) 1991-2006 Altera Corporation\r
+--Your use of Altera Corporation's design tools, logic functions \r
+--and other software and tools, and its AMPP partner logic \r
+--functions, and any output files any of the foregoing \r
+--(including device programming or simulation files), and any \r
+--associated documentation or information are expressly subject \r
+--to the terms and conditions of the Altera Program License \r
+--Subscription Agreement, Altera MegaCore Function License \r
+--Agreement, or other applicable license agreement, including, \r
+--without limitation, that your use is for the sole purpose of \r
+--programming logic devices manufactured by Altera and sold by \r
+--Altera or its authorized distributors.  Please refer to the \r
+--applicable agreement for further details.\r
+\r
+\r
+component fifo_4kx16_dc\r
+       PORT\r
+       (\r
+               aclr            : IN STD_LOGIC  := '0';\r
+               data            : IN STD_LOGIC_VECTOR (15 DOWNTO 0);\r
+               rdclk           : IN STD_LOGIC ;\r
+               rdreq           : IN STD_LOGIC ;\r
+               wrclk           : IN STD_LOGIC ;\r
+               wrreq           : IN STD_LOGIC ;\r
+               q               : OUT STD_LOGIC_VECTOR (15 DOWNTO 0);\r
+               rdempty         : OUT STD_LOGIC ;\r
+               rdusedw         : OUT STD_LOGIC_VECTOR (11 DOWNTO 0);\r
+               wrfull          : OUT STD_LOGIC ;\r
+               wrusedw         : OUT STD_LOGIC_VECTOR (11 DOWNTO 0)\r
+       );\r
+end component;\r
diff --git a/usrp/fpga/megacells/fifo_4kx16_dc.inc b/usrp/fpga/megacells/fifo_4kx16_dc.inc
new file mode 100755 (executable)
index 0000000..c14c018
--- /dev/null
@@ -0,0 +1,32 @@
+--Copyright (C) 1991-2006 Altera Corporation\r
+--Your use of Altera Corporation's design tools, logic functions \r
+--and other software and tools, and its AMPP partner logic \r
+--functions, and any output files any of the foregoing \r
+--(including device programming or simulation files), and any \r
+--associated documentation or information are expressly subject \r
+--to the terms and conditions of the Altera Program License \r
+--Subscription Agreement, Altera MegaCore Function License \r
+--Agreement, or other applicable license agreement, including, \r
+--without limitation, that your use is for the sole purpose of \r
+--programming logic devices manufactured by Altera and sold by \r
+--Altera or its authorized distributors.  Please refer to the \r
+--applicable agreement for further details.\r
+\r
+\r
+FUNCTION fifo_4kx16_dc \r
+(\r
+       aclr,\r
+       data[15..0],\r
+       rdclk,\r
+       rdreq,\r
+       wrclk,\r
+       wrreq\r
+)\r
+\r
+RETURNS (\r
+       q[15..0],\r
+       rdempty,\r
+       rdusedw[11..0],\r
+       wrfull,\r
+       wrusedw[11..0]\r
+);\r
diff --git a/usrp/fpga/megacells/fifo_4kx16_dc.v b/usrp/fpga/megacells/fifo_4kx16_dc.v
new file mode 100755 (executable)
index 0000000..1f09000
--- /dev/null
@@ -0,0 +1,178 @@
+// megafunction wizard: %FIFO%\r
+// GENERATION: STANDARD\r
+// VERSION: WM1.0\r
+// MODULE: dcfifo \r
+\r
+// ============================================================\r
+// File Name: fifo_4kx16_dc.v\r
+// Megafunction Name(s):\r
+//                     dcfifo\r
+// ============================================================\r
+// ************************************************************\r
+// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!\r
+//\r
+// 5.1 Build 213 01/19/2006 SP 1 SJ Web Edition\r
+// ************************************************************\r
+\r
+\r
+//Copyright (C) 1991-2006 Altera Corporation\r
+//Your use of Altera Corporation's design tools, logic functions \r
+//and other software and tools, and its AMPP partner logic \r
+//functions, and any output files any of the foregoing \r
+//(including device programming or simulation files), and any \r
+//associated documentation or information are expressly subject \r
+//to the terms and conditions of the Altera Program License \r
+//Subscription Agreement, Altera MegaCore Function License \r
+//Agreement, or other applicable license agreement, including, \r
+//without limitation, that your use is for the sole purpose of \r
+//programming logic devices manufactured by Altera and sold by \r
+//Altera or its authorized distributors.  Please refer to the \r
+//applicable agreement for further details.\r
+\r
+\r
+// synopsys translate_off\r
+`timescale 1 ps / 1 ps\r
+// synopsys translate_on\r
+module fifo_4kx16_dc (\r
+       aclr,\r
+       data,\r
+       rdclk,\r
+       rdreq,\r
+       wrclk,\r
+       wrreq,\r
+       q,\r
+       rdempty,\r
+       rdusedw,\r
+       wrfull,\r
+       wrusedw);\r
+\r
+       input     aclr;\r
+       input   [15:0]  data;\r
+       input     rdclk;\r
+       input     rdreq;\r
+       input     wrclk;\r
+       input     wrreq;\r
+       output  [15:0]  q;\r
+       output    rdempty;\r
+       output  [11:0]  rdusedw;\r
+       output    wrfull;\r
+       output  [11:0]  wrusedw;\r
+\r
+       wire  sub_wire0;\r
+       wire [11:0] sub_wire1;\r
+       wire  sub_wire2;\r
+       wire [15:0] sub_wire3;\r
+       wire [11:0] sub_wire4;\r
+       wire  rdempty = sub_wire0;\r
+       wire [11:0] wrusedw = sub_wire1[11:0];\r
+       wire  wrfull = sub_wire2;\r
+       wire [15:0] q = sub_wire3[15:0];\r
+       wire [11:0] rdusedw = sub_wire4[11:0];\r
+\r
+       dcfifo  dcfifo_component (\r
+                               .wrclk (wrclk),\r
+                               .rdreq (rdreq),\r
+                               .aclr (aclr),\r
+                               .rdclk (rdclk),\r
+                               .wrreq (wrreq),\r
+                               .data (data),\r
+                               .rdempty (sub_wire0),\r
+                               .wrusedw (sub_wire1),\r
+                               .wrfull (sub_wire2),\r
+                               .q (sub_wire3),\r
+                               .rdusedw (sub_wire4)\r
+                               // synopsys translate_off\r
+                               ,\r
+                               .wrempty (),\r
+                               .rdfull ()\r
+                               // synopsys translate_on\r
+                               );\r
+       defparam\r
+               dcfifo_component.add_ram_output_register = "OFF",\r
+               dcfifo_component.clocks_are_synchronized = "FALSE",\r
+               dcfifo_component.intended_device_family = "Cyclone",\r
+               dcfifo_component.lpm_numwords = 4096,\r
+               dcfifo_component.lpm_showahead = "ON",\r
+               dcfifo_component.lpm_type = "dcfifo",\r
+               dcfifo_component.lpm_width = 16,\r
+               dcfifo_component.lpm_widthu = 12,\r
+               dcfifo_component.overflow_checking = "OFF",\r
+               dcfifo_component.underflow_checking = "OFF",\r
+               dcfifo_component.use_eab = "ON";\r
+\r
+\r
+endmodule\r
+\r
+// ============================================================\r
+// CNX file retrieval info\r
+// ============================================================\r
+// Retrieval info: PRIVATE: AlmostEmpty NUMERIC "0"\r
+// Retrieval info: PRIVATE: AlmostEmptyThr NUMERIC "-1"\r
+// Retrieval info: PRIVATE: AlmostFull NUMERIC "0"\r
+// Retrieval info: PRIVATE: AlmostFullThr NUMERIC "-1"\r
+// Retrieval info: PRIVATE: CLOCKS_ARE_SYNCHRONIZED NUMERIC "0"\r
+// Retrieval info: PRIVATE: Clock NUMERIC "4"\r
+// Retrieval info: PRIVATE: Depth NUMERIC "4096"\r
+// Retrieval info: PRIVATE: Empty NUMERIC "1"\r
+// Retrieval info: PRIVATE: Full NUMERIC "1"\r
+// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone"\r
+// Retrieval info: PRIVATE: LE_BasedFIFO NUMERIC "0"\r
+// Retrieval info: PRIVATE: LegacyRREQ NUMERIC "0"\r
+// Retrieval info: PRIVATE: MAX_DEPTH_BY_9 NUMERIC "0"\r
+// Retrieval info: PRIVATE: OVERFLOW_CHECKING NUMERIC "1"\r
+// Retrieval info: PRIVATE: Optimize NUMERIC "2"\r
+// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"\r
+// Retrieval info: PRIVATE: UNDERFLOW_CHECKING NUMERIC "1"\r
+// Retrieval info: PRIVATE: UsedW NUMERIC "1"\r
+// Retrieval info: PRIVATE: Width NUMERIC "16"\r
+// Retrieval info: PRIVATE: dc_aclr NUMERIC "1"\r
+// Retrieval info: PRIVATE: rsEmpty NUMERIC "1"\r
+// Retrieval info: PRIVATE: rsFull NUMERIC "0"\r
+// Retrieval info: PRIVATE: rsUsedW NUMERIC "1"\r
+// Retrieval info: PRIVATE: sc_aclr NUMERIC "0"\r
+// Retrieval info: PRIVATE: sc_sclr NUMERIC "0"\r
+// Retrieval info: PRIVATE: wsEmpty NUMERIC "0"\r
+// Retrieval info: PRIVATE: wsFull NUMERIC "1"\r
+// Retrieval info: PRIVATE: wsUsedW NUMERIC "1"\r
+// Retrieval info: CONSTANT: ADD_RAM_OUTPUT_REGISTER STRING "OFF"\r
+// Retrieval info: CONSTANT: CLOCKS_ARE_SYNCHRONIZED STRING "FALSE"\r
+// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone"\r
+// Retrieval info: CONSTANT: LPM_NUMWORDS NUMERIC "4096"\r
+// Retrieval info: CONSTANT: LPM_SHOWAHEAD STRING "ON"\r
+// Retrieval info: CONSTANT: LPM_TYPE STRING "dcfifo"\r
+// Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "16"\r
+// Retrieval info: CONSTANT: LPM_WIDTHU NUMERIC "12"\r
+// Retrieval info: CONSTANT: OVERFLOW_CHECKING STRING "OFF"\r
+// Retrieval info: CONSTANT: UNDERFLOW_CHECKING STRING "OFF"\r
+// Retrieval info: CONSTANT: USE_EAB STRING "ON"\r
+// Retrieval info: USED_PORT: aclr 0 0 0 0 INPUT GND aclr\r
+// Retrieval info: USED_PORT: data 0 0 16 0 INPUT NODEFVAL data[15..0]\r
+// Retrieval info: USED_PORT: q 0 0 16 0 OUTPUT NODEFVAL q[15..0]\r
+// Retrieval info: USED_PORT: rdclk 0 0 0 0 INPUT NODEFVAL rdclk\r
+// Retrieval info: USED_PORT: rdempty 0 0 0 0 OUTPUT NODEFVAL rdempty\r
+// Retrieval info: USED_PORT: rdreq 0 0 0 0 INPUT NODEFVAL rdreq\r
+// Retrieval info: USED_PORT: rdusedw 0 0 12 0 OUTPUT NODEFVAL rdusedw[11..0]\r
+// Retrieval info: USED_PORT: wrclk 0 0 0 0 INPUT NODEFVAL wrclk\r
+// Retrieval info: USED_PORT: wrfull 0 0 0 0 OUTPUT NODEFVAL wrfull\r
+// Retrieval info: USED_PORT: wrreq 0 0 0 0 INPUT NODEFVAL wrreq\r
+// Retrieval info: USED_PORT: wrusedw 0 0 12 0 OUTPUT NODEFVAL wrusedw[11..0]\r
+// Retrieval info: CONNECT: @data 0 0 16 0 data 0 0 16 0\r
+// Retrieval info: CONNECT: q 0 0 16 0 @q 0 0 16 0\r
+// Retrieval info: CONNECT: @wrreq 0 0 0 0 wrreq 0 0 0 0\r
+// Retrieval info: CONNECT: @rdreq 0 0 0 0 rdreq 0 0 0 0\r
+// Retrieval info: CONNECT: @rdclk 0 0 0 0 rdclk 0 0 0 0\r
+// Retrieval info: CONNECT: @wrclk 0 0 0 0 wrclk 0 0 0 0\r
+// Retrieval info: CONNECT: rdempty 0 0 0 0 @rdempty 0 0 0 0\r
+// Retrieval info: CONNECT: rdusedw 0 0 12 0 @rdusedw 0 0 12 0\r
+// Retrieval info: CONNECT: wrfull 0 0 0 0 @wrfull 0 0 0 0\r
+// Retrieval info: CONNECT: wrusedw 0 0 12 0 @wrusedw 0 0 12 0\r
+// Retrieval info: CONNECT: @aclr 0 0 0 0 aclr 0 0 0 0\r
+// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all\r
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_4kx16_dc.v TRUE\r
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_4kx16_dc.inc TRUE\r
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_4kx16_dc.cmp TRUE\r
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_4kx16_dc.bsf TRUE\r
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_4kx16_dc_inst.v TRUE\r
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_4kx16_dc_bb.v TRUE\r
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_4kx16_dc_waveforms.html FALSE\r
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_4kx16_dc_wave*.jpg FALSE\r
diff --git a/usrp/fpga/megacells/fifo_4kx16_dc_bb.v b/usrp/fpga/megacells/fifo_4kx16_dc_bb.v
new file mode 100755 (executable)
index 0000000..91c3c32
--- /dev/null
@@ -0,0 +1,130 @@
+// megafunction wizard: %FIFO%VBB%\r
+// GENERATION: STANDARD\r
+// VERSION: WM1.0\r
+// MODULE: dcfifo \r
+\r
+// ============================================================\r
+// File Name: fifo_4kx16_dc.v\r
+// Megafunction Name(s):\r
+//                     dcfifo\r
+// ============================================================\r
+// ************************************************************\r
+// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!\r
+//\r
+// 5.1 Build 213 01/19/2006 SP 1 SJ Web Edition\r
+// ************************************************************\r
+\r
+//Copyright (C) 1991-2006 Altera Corporation\r
+//Your use of Altera Corporation's design tools, logic functions \r
+//and other software and tools, and its AMPP partner logic \r
+//functions, and any output files any of the foregoing \r
+//(including device programming or simulation files), and any \r
+//associated documentation or information are expressly subject \r
+//to the terms and conditions of the Altera Program License \r
+//Subscription Agreement, Altera MegaCore Function License \r
+//Agreement, or other applicable license agreement, including, \r
+//without limitation, that your use is for the sole purpose of \r
+//programming logic devices manufactured by Altera and sold by \r
+//Altera or its authorized distributors.  Please refer to the \r
+//applicable agreement for further details.\r
+\r
+module fifo_4kx16_dc (\r
+       aclr,\r
+       data,\r
+       rdclk,\r
+       rdreq,\r
+       wrclk,\r
+       wrreq,\r
+       q,\r
+       rdempty,\r
+       rdusedw,\r
+       wrfull,\r
+       wrusedw);\r
+\r
+       input     aclr;\r
+       input   [15:0]  data;\r
+       input     rdclk;\r
+       input     rdreq;\r
+       input     wrclk;\r
+       input     wrreq;\r
+       output  [15:0]  q;\r
+       output    rdempty;\r
+       output  [11:0]  rdusedw;\r
+       output    wrfull;\r
+       output  [11:0]  wrusedw;\r
+\r
+endmodule\r
+\r
+// ============================================================\r
+// CNX file retrieval info\r
+// ============================================================\r
+// Retrieval info: PRIVATE: AlmostEmpty NUMERIC "0"\r
+// Retrieval info: PRIVATE: AlmostEmptyThr NUMERIC "-1"\r
+// Retrieval info: PRIVATE: AlmostFull NUMERIC "0"\r
+// Retrieval info: PRIVATE: AlmostFullThr NUMERIC "-1"\r
+// Retrieval info: PRIVATE: CLOCKS_ARE_SYNCHRONIZED NUMERIC "0"\r
+// Retrieval info: PRIVATE: Clock NUMERIC "4"\r
+// Retrieval info: PRIVATE: Depth NUMERIC "4096"\r
+// Retrieval info: PRIVATE: Empty NUMERIC "1"\r
+// Retrieval info: PRIVATE: Full NUMERIC "1"\r
+// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone"\r
+// Retrieval info: PRIVATE: LE_BasedFIFO NUMERIC "0"\r
+// Retrieval info: PRIVATE: LegacyRREQ NUMERIC "0"\r
+// Retrieval info: PRIVATE: MAX_DEPTH_BY_9 NUMERIC "0"\r
+// Retrieval info: PRIVATE: OVERFLOW_CHECKING NUMERIC "1"\r
+// Retrieval info: PRIVATE: Optimize NUMERIC "2"\r
+// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"\r
+// Retrieval info: PRIVATE: UNDERFLOW_CHECKING NUMERIC "1"\r
+// Retrieval info: PRIVATE: UsedW NUMERIC "1"\r
+// Retrieval info: PRIVATE: Width NUMERIC "16"\r
+// Retrieval info: PRIVATE: dc_aclr NUMERIC "1"\r
+// Retrieval info: PRIVATE: rsEmpty NUMERIC "1"\r
+// Retrieval info: PRIVATE: rsFull NUMERIC "0"\r
+// Retrieval info: PRIVATE: rsUsedW NUMERIC "1"\r
+// Retrieval info: PRIVATE: sc_aclr NUMERIC "0"\r
+// Retrieval info: PRIVATE: sc_sclr NUMERIC "0"\r
+// Retrieval info: PRIVATE: wsEmpty NUMERIC "0"\r
+// Retrieval info: PRIVATE: wsFull NUMERIC "1"\r
+// Retrieval info: PRIVATE: wsUsedW NUMERIC "1"\r
+// Retrieval info: CONSTANT: ADD_RAM_OUTPUT_REGISTER STRING "OFF"\r
+// Retrieval info: CONSTANT: CLOCKS_ARE_SYNCHRONIZED STRING "FALSE"\r
+// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone"\r
+// Retrieval info: CONSTANT: LPM_NUMWORDS NUMERIC "4096"\r
+// Retrieval info: CONSTANT: LPM_SHOWAHEAD STRING "ON"\r
+// Retrieval info: CONSTANT: LPM_TYPE STRING "dcfifo"\r
+// Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "16"\r
+// Retrieval info: CONSTANT: LPM_WIDTHU NUMERIC "12"\r
+// Retrieval info: CONSTANT: OVERFLOW_CHECKING STRING "OFF"\r
+// Retrieval info: CONSTANT: UNDERFLOW_CHECKING STRING "OFF"\r
+// Retrieval info: CONSTANT: USE_EAB STRING "ON"\r
+// Retrieval info: USED_PORT: aclr 0 0 0 0 INPUT GND aclr\r
+// Retrieval info: USED_PORT: data 0 0 16 0 INPUT NODEFVAL data[15..0]\r
+// Retrieval info: USED_PORT: q 0 0 16 0 OUTPUT NODEFVAL q[15..0]\r
+// Retrieval info: USED_PORT: rdclk 0 0 0 0 INPUT NODEFVAL rdclk\r
+// Retrieval info: USED_PORT: rdempty 0 0 0 0 OUTPUT NODEFVAL rdempty\r
+// Retrieval info: USED_PORT: rdreq 0 0 0 0 INPUT NODEFVAL rdreq\r
+// Retrieval info: USED_PORT: rdusedw 0 0 12 0 OUTPUT NODEFVAL rdusedw[11..0]\r
+// Retrieval info: USED_PORT: wrclk 0 0 0 0 INPUT NODEFVAL wrclk\r
+// Retrieval info: USED_PORT: wrfull 0 0 0 0 OUTPUT NODEFVAL wrfull\r
+// Retrieval info: USED_PORT: wrreq 0 0 0 0 INPUT NODEFVAL wrreq\r
+// Retrieval info: USED_PORT: wrusedw 0 0 12 0 OUTPUT NODEFVAL wrusedw[11..0]\r
+// Retrieval info: CONNECT: @data 0 0 16 0 data 0 0 16 0\r
+// Retrieval info: CONNECT: q 0 0 16 0 @q 0 0 16 0\r
+// Retrieval info: CONNECT: @wrreq 0 0 0 0 wrreq 0 0 0 0\r
+// Retrieval info: CONNECT: @rdreq 0 0 0 0 rdreq 0 0 0 0\r
+// Retrieval info: CONNECT: @rdclk 0 0 0 0 rdclk 0 0 0 0\r
+// Retrieval info: CONNECT: @wrclk 0 0 0 0 wrclk 0 0 0 0\r
+// Retrieval info: CONNECT: rdempty 0 0 0 0 @rdempty 0 0 0 0\r
+// Retrieval info: CONNECT: rdusedw 0 0 12 0 @rdusedw 0 0 12 0\r
+// Retrieval info: CONNECT: wrfull 0 0 0 0 @wrfull 0 0 0 0\r
+// Retrieval info: CONNECT: wrusedw 0 0 12 0 @wrusedw 0 0 12 0\r
+// Retrieval info: CONNECT: @aclr 0 0 0 0 aclr 0 0 0 0\r
+// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all\r
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_4kx16_dc.v TRUE\r
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_4kx16_dc.inc TRUE\r
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_4kx16_dc.cmp TRUE\r
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_4kx16_dc.bsf TRUE\r
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_4kx16_dc_inst.v TRUE\r
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_4kx16_dc_bb.v TRUE\r
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_4kx16_dc_waveforms.html FALSE\r
+// Retrieval info: GEN_FILE: TYPE_NORMAL fifo_4kx16_dc_wave*.jpg FALSE\r
diff --git a/usrp/fpga/megacells/fifo_4kx16_dc_inst.v b/usrp/fpga/megacells/fifo_4kx16_dc_inst.v
new file mode 100755 (executable)
index 0000000..566f27a
--- /dev/null
@@ -0,0 +1,13 @@
+fifo_4kx16_dc  fifo_4kx16_dc_inst (\r
+       .aclr ( aclr_sig ),\r
+       .data ( data_sig ),\r
+       .rdclk ( rdclk_sig ),\r
+       .rdreq ( rdreq_sig ),\r
+       .wrclk ( wrclk_sig ),\r
+       .wrreq ( wrreq_sig ),\r
+       .q ( q_sig ),\r
+       .rdempty ( rdempty_sig ),\r
+       .rdusedw ( rdusedw_sig ),\r
+       .wrfull ( wrfull_sig ),\r
+       .wrusedw ( wrusedw_sig )\r
+       );\r
diff --git a/usrp/fpga/megacells/mylpm_addsub.bsf b/usrp/fpga/megacells/mylpm_addsub.bsf
new file mode 100755 (executable)
index 0000000..e5c1ded
--- /dev/null
@@ -0,0 +1,80 @@
+/*
+WARNING: Do NOT edit the input and output ports in this file in a text
+editor if you plan to continue editing the block that represents it in
+the Block Editor! File corruption is VERY likely to occur.
+*/
+/*
+Copyright (C) 1991-2003 Altera Corporation
+Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
+support information,  device programming or simulation file,  and any other
+associated  documentation or information  provided by  Altera  or a partner
+under  Altera's   Megafunction   Partnership   Program  may  be  used  only
+to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
+other  use  of such  megafunction  design,  netlist,  support  information,
+device programming or simulation file,  or any other  related documentation
+or information  is prohibited  for  any  other purpose,  including, but not
+limited to  modification,  reverse engineering,  de-compiling, or use  with
+any other  silicon devices,  unless such use is  explicitly  licensed under
+a separate agreement with  Altera  or a megafunction partner.  Title to the
+intellectual property,  including patents,  copyrights,  trademarks,  trade
+secrets,  or maskworks,  embodied in any such megafunction design, netlist,
+support  information,  device programming or simulation file,  or any other
+related documentation or information provided by  Altera  or a megafunction
+partner, remains with Altera, the megafunction partner, or their respective
+licensors. No other licenses, including any licenses needed under any third
+party's intellectual property, are provided herein.
+*/
+(header "symbol" (version "1.1"))
+(symbol
+       (rect 0 0 160 112)
+       (text "mylpm_addsub" (rect 26 2 145 21)(font "Arial" (font_size 10)))
+       (text "inst" (rect 8 93 30 108)(font "Arial" ))
+       (port
+               (pt 0 56)
+               (input)
+               (text "dataa[15..0]" (rect 0 0 75 16)(font "Arial" (font_size 8)))
+               (text "dataa[15..0]" (rect 4 40 73 56)(font "Arial" (font_size 8)))
+               (line (pt 0 56)(pt 64 56)(line_width 3))
+       )
+       (port
+               (pt 0 88)
+               (input)
+               (text "datab[15..0]" (rect 0 0 75 16)(font "Arial" (font_size 8)))
+               (text "datab[15..0]" (rect 4 72 73 88)(font "Arial" (font_size 8)))
+               (line (pt 0 88)(pt 64 88)(line_width 3))
+       )
+       (port
+               (pt 0 72)
+               (input)
+               (text "clock" (rect 0 0 34 16)(font "Arial" (font_size 8)))
+               (text "clock" (rect 4 56 35 72)(font "Arial" (font_size 8)))
+               (line (pt 0 72)(pt 64 72)(line_width 1))
+       )
+       (port
+               (pt 0 32)
+               (input)
+               (text "add_sub" (rect 0 0 53 16)(font "Arial" (font_size 8)))
+               (text "add_sub" (rect 4 16 53 32)(font "Arial" (font_size 8)))
+               (line (pt 0 32)(pt 80 32)(line_width 1))
+       )
+       (port
+               (pt 160 72)
+               (output)
+               (text "result[15..0]" (rect 0 0 75 16)(font "Arial" (font_size 8)))
+               (text "result[15..0]" (rect 88 56 157 72)(font "Arial" (font_size 8)))
+               (line (pt 160 72)(pt 96 72)(line_width 3))
+       )
+       (drawing
+               (text "A" (rect 66 48 75 64)(font "Arial" (font_size 8)))
+               (text "B" (rect 66 80 75 96)(font "Arial" (font_size 8)))
+               (text "A+B/A-B" (rect 82 37 134 53)(font "Arial" (font_size 8)))
+               (line (pt 64 48)(pt 96 56)(line_width 1))
+               (line (pt 96 56)(pt 96 88)(line_width 1))
+               (line (pt 96 88)(pt 64 96)(line_width 1))
+               (line (pt 64 96)(pt 64 48)(line_width 1))
+               (line (pt 80 32)(pt 80 52)(line_width 1))
+               (line (pt 106 40)(pt 125 40)(line_width 1))
+               (line (pt 64 66)(pt 70 72)(line_width 1))
+               (line (pt 70 72)(pt 64 78)(line_width 1))
+       )
+)
diff --git a/usrp/fpga/megacells/mylpm_addsub.cmp b/usrp/fpga/megacells/mylpm_addsub.cmp
new file mode 100755 (executable)
index 0000000..311c54a
--- /dev/null
@@ -0,0 +1,31 @@
+--Copyright (C) 1991-2003 Altera Corporation
+--Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
+--support information,  device programming or simulation file,  and any other
+--associated  documentation or information  provided by  Altera  or a partner
+--under  Altera's   Megafunction   Partnership   Program  may  be  used  only
+--to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
+--other  use  of such  megafunction  design,  netlist,  support  information,
+--device programming or simulation file,  or any other  related documentation
+--or information  is prohibited  for  any  other purpose,  including, but not
+--limited to  modification,  reverse engineering,  de-compiling, or use  with
+--any other  silicon devices,  unless such use is  explicitly  licensed under
+--a separate agreement with  Altera  or a megafunction partner.  Title to the
+--intellectual property,  including patents,  copyrights,  trademarks,  trade
+--secrets,  or maskworks,  embodied in any such megafunction design, netlist,
+--support  information,  device programming or simulation file,  or any other
+--related documentation or information provided by  Altera  or a megafunction
+--partner, remains with Altera, the megafunction partner, or their respective
+--licensors. No other licenses, including any licenses needed under any third
+--party's intellectual property, are provided herein.
+
+
+component mylpm_addsub
+       PORT
+       (
+               add_sub         : IN STD_LOGIC ;
+               dataa           : IN STD_LOGIC_VECTOR (15 DOWNTO 0);
+               datab           : IN STD_LOGIC_VECTOR (15 DOWNTO 0);
+               clock           : IN STD_LOGIC ;
+               result          : OUT STD_LOGIC_VECTOR (15 DOWNTO 0)
+       );
+end component;
diff --git a/usrp/fpga/megacells/mylpm_addsub.inc b/usrp/fpga/megacells/mylpm_addsub.inc
new file mode 100755 (executable)
index 0000000..d8b283f
--- /dev/null
@@ -0,0 +1,32 @@
+--Copyright (C) 1991-2003 Altera Corporation
+--Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
+--support information,  device programming or simulation file,  and any other
+--associated  documentation or information  provided by  Altera  or a partner
+--under  Altera's   Megafunction   Partnership   Program  may  be  used  only
+--to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
+--other  use  of such  megafunction  design,  netlist,  support  information,
+--device programming or simulation file,  or any other  related documentation
+--or information  is prohibited  for  any  other purpose,  including, but not
+--limited to  modification,  reverse engineering,  de-compiling, or use  with
+--any other  silicon devices,  unless such use is  explicitly  licensed under
+--a separate agreement with  Altera  or a megafunction partner.  Title to the
+--intellectual property,  including patents,  copyrights,  trademarks,  trade
+--secrets,  or maskworks,  embodied in any such megafunction design, netlist,
+--support  information,  device programming or simulation file,  or any other
+--related documentation or information provided by  Altera  or a megafunction
+--partner, remains with Altera, the megafunction partner, or their respective
+--licensors. No other licenses, including any licenses needed under any third
+--party's intellectual property, are provided herein.
+
+
+FUNCTION mylpm_addsub 
+(
+       add_sub,
+       dataa[15..0],
+       datab[15..0],
+       clock
+)
+
+RETURNS (
+       result[15..0]
+);
diff --git a/usrp/fpga/megacells/mylpm_addsub.v b/usrp/fpga/megacells/mylpm_addsub.v
new file mode 100755 (executable)
index 0000000..0566f7e
--- /dev/null
@@ -0,0 +1,102 @@
+// megafunction wizard: %LPM_ADD_SUB%
+// GENERATION: STANDARD
+// VERSION: WM1.0
+// MODULE: lpm_add_sub 
+
+// ============================================================
+// File Name: mylpm_addsub.v
+// Megafunction Name(s):
+//                     lpm_add_sub
+// ============================================================
+// ************************************************************
+// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
+// ************************************************************
+
+
+//Copyright (C) 1991-2003 Altera Corporation
+//Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
+//support information,  device programming or simulation file,  and any other
+//associated  documentation or information  provided by  Altera  or a partner
+//under  Altera's   Megafunction   Partnership   Program  may  be  used  only
+//to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
+//other  use  of such  megafunction  design,  netlist,  support  information,
+//device programming or simulation file,  or any other  related documentation
+//or information  is prohibited  for  any  other purpose,  including, but not
+//limited to  modification,  reverse engineering,  de-compiling, or use  with
+//any other  silicon devices,  unless such use is  explicitly  licensed under
+//a separate agreement with  Altera  or a megafunction partner.  Title to the
+//intellectual property,  including patents,  copyrights,  trademarks,  trade
+//secrets,  or maskworks,  embodied in any such megafunction design, netlist,
+//support  information,  device programming or simulation file,  or any other
+//related documentation or information provided by  Altera  or a megafunction
+//partner, remains with Altera, the megafunction partner, or their respective
+//licensors. No other licenses, including any licenses needed under any third
+//party's intellectual property, are provided herein.
+
+
+module mylpm_addsub (
+       add_sub,
+       dataa,
+       datab,
+       clock,
+       result);
+
+       input     add_sub;
+       input   [15:0]  dataa;
+       input   [15:0]  datab;
+       input     clock;
+       output  [15:0]  result;
+
+       wire [15:0] sub_wire0;
+       wire [15:0] result = sub_wire0[15:0];
+
+       lpm_add_sub     lpm_add_sub_component (
+                               .dataa (dataa),
+                               .add_sub (add_sub),
+                               .datab (datab),
+                               .clock (clock),
+                               .result (sub_wire0));
+       defparam
+               lpm_add_sub_component.lpm_width = 16,
+               lpm_add_sub_component.lpm_direction = "UNUSED",
+               lpm_add_sub_component.lpm_type = "LPM_ADD_SUB",
+               lpm_add_sub_component.lpm_hint = "ONE_INPUT_IS_CONSTANT=NO",
+               lpm_add_sub_component.lpm_pipeline = 1;
+
+
+endmodule
+
+// ============================================================
+// CNX file retrieval info
+// ============================================================
+// Retrieval info: PRIVATE: nBit NUMERIC "16"
+// Retrieval info: PRIVATE: Function NUMERIC "2"
+// Retrieval info: PRIVATE: WhichConstant NUMERIC "0"
+// Retrieval info: PRIVATE: ConstantA NUMERIC "0"
+// Retrieval info: PRIVATE: ConstantB NUMERIC "0"
+// Retrieval info: PRIVATE: ValidCtA NUMERIC "0"
+// Retrieval info: PRIVATE: ValidCtB NUMERIC "0"
+// Retrieval info: PRIVATE: CarryIn NUMERIC "0"
+// Retrieval info: PRIVATE: CarryOut NUMERIC "0"
+// Retrieval info: PRIVATE: Overflow NUMERIC "0"
+// Retrieval info: PRIVATE: Latency NUMERIC "1"
+// Retrieval info: PRIVATE: aclr NUMERIC "0"
+// Retrieval info: PRIVATE: clken NUMERIC "0"
+// Retrieval info: PRIVATE: LPM_PIPELINE NUMERIC "1"
+// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone"
+// Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "16"
+// Retrieval info: CONSTANT: LPM_DIRECTION STRING "UNUSED"
+// Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_ADD_SUB"
+// Retrieval info: CONSTANT: LPM_HINT STRING "ONE_INPUT_IS_CONSTANT=NO"
+// Retrieval info: CONSTANT: LPM_PIPELINE NUMERIC "1"
+// Retrieval info: USED_PORT: add_sub 0 0 0 0 INPUT NODEFVAL add_sub
+// Retrieval info: USED_PORT: result 0 0 16 0 OUTPUT NODEFVAL result[15..0]
+// Retrieval info: USED_PORT: dataa 0 0 16 0 INPUT NODEFVAL dataa[15..0]
+// Retrieval info: USED_PORT: datab 0 0 16 0 INPUT NODEFVAL datab[15..0]
+// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL clock
+// Retrieval info: CONNECT: @add_sub 0 0 0 0 add_sub 0 0 0 0
+// Retrieval info: CONNECT: result 0 0 16 0 @result 0 0 16 0
+// Retrieval info: CONNECT: @dataa 0 0 16 0 dataa 0 0 16 0
+// Retrieval info: CONNECT: @datab 0 0 16 0 datab 0 0 16 0
+// Retrieval info: CONNECT: @clock 0 0 0 0 clock 0 0 0 0
+// Retrieval info: LIBRARY: lpm lpm.lpm_components.all
diff --git a/usrp/fpga/megacells/mylpm_addsub_bb.v b/usrp/fpga/megacells/mylpm_addsub_bb.v
new file mode 100755 (executable)
index 0000000..598d3da
--- /dev/null
@@ -0,0 +1,35 @@
+//Copyright (C) 1991-2003 Altera Corporation
+//Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
+//support information,  device programming or simulation file,  and any other
+//associated  documentation or information  provided by  Altera  or a partner
+//under  Altera's   Megafunction   Partnership   Program  may  be  used  only
+//to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
+//other  use  of such  megafunction  design,  netlist,  support  information,
+//device programming or simulation file,  or any other  related documentation
+//or information  is prohibited  for  any  other purpose,  including, but not
+//limited to  modification,  reverse engineering,  de-compiling, or use  with
+//any other  silicon devices,  unless such use is  explicitly  licensed under
+//a separate agreement with  Altera  or a megafunction partner.  Title to the
+//intellectual property,  including patents,  copyrights,  trademarks,  trade
+//secrets,  or maskworks,  embodied in any such megafunction design, netlist,
+//support  information,  device programming or simulation file,  or any other
+//related documentation or information provided by  Altera  or a megafunction
+//partner, remains with Altera, the megafunction partner, or their respective
+//licensors. No other licenses, including any licenses needed under any third
+//party's intellectual property, are provided herein.
+
+module mylpm_addsub (
+       add_sub,
+       dataa,
+       datab,
+       clock,
+       result);
+
+       input     add_sub;
+       input   [15:0]  dataa;
+       input   [15:0]  datab;
+       input     clock;
+       output  [15:0]  result;
+
+endmodule
+
diff --git a/usrp/fpga/megacells/mylpm_addsub_inst.v b/usrp/fpga/megacells/mylpm_addsub_inst.v
new file mode 100755 (executable)
index 0000000..dd732bd
--- /dev/null
@@ -0,0 +1,7 @@
+mylpm_addsub   mylpm_addsub_inst (
+       .add_sub ( add_sub_sig ),
+       .dataa ( dataa_sig ),
+       .datab ( datab_sig ),
+       .clock ( clock_sig ),
+       .result ( result_sig )
+       );
diff --git a/usrp/fpga/megacells/pll.v b/usrp/fpga/megacells/pll.v
new file mode 100644 (file)
index 0000000..dacd11f
--- /dev/null
@@ -0,0 +1,207 @@
+// megafunction wizard: %ALTPLL%
+// GENERATION: STANDARD
+// VERSION: WM1.0
+// MODULE: altpll 
+
+// ============================================================
+// File Name: pll.v
+// Megafunction Name(s):
+//                     altpll
+// ============================================================
+// ************************************************************
+// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
+//
+// 4.0 Build 214 3/25/2004 SP 1 SJ Web Edition
+// ************************************************************
+
+
+//Copyright (C) 1991-2004 Altera Corporation
+//Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
+//support information,  device programming or simulation file,  and any other
+//associated  documentation or information  provided by  Altera  or a partner
+//under  Altera's   Megafunction   Partnership   Program  may  be  used  only
+//to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
+//other  use  of such  megafunction  design,  netlist,  support  information,
+//device programming or simulation file,  or any other  related documentation
+//or information  is prohibited  for  any  other purpose,  including, but not
+//limited to  modification,  reverse engineering,  de-compiling, or use  with
+//any other  silicon devices,  unless such use is  explicitly  licensed under
+//a separate agreement with  Altera  or a megafunction partner.  Title to the
+//intellectual property,  including patents,  copyrights,  trademarks,  trade
+//secrets,  or maskworks,  embodied in any such megafunction design, netlist,
+//support  information,  device programming or simulation file,  or any other
+//related documentation or information provided by  Altera  or a megafunction
+//partner, remains with Altera, the megafunction partner, or their respective
+//licensors. No other licenses, including any licenses needed under any third
+//party's intellectual property, are provided herein.
+
+
+// synopsys translate_off
+`timescale 1 ps / 1 ps
+// synopsys translate_on
+module pll (
+       inclk0,
+       c0);
+
+       input     inclk0;
+       output    c0;
+
+       wire [5:0] sub_wire0;
+       wire [0:0] sub_wire4 = 1'h0;
+       wire [0:0] sub_wire1 = sub_wire0[0:0];
+       wire  c0 = sub_wire1;
+       wire  sub_wire2 = inclk0;
+       wire [1:0] sub_wire3 = {sub_wire4, sub_wire2};
+
+       altpll  altpll_component (
+                               .inclk (sub_wire3),
+                               .clk (sub_wire0)
+                               // synopsys translate_off
+,
+                               .fbin (),
+                               .pllena (),
+                               .clkswitch (),
+                               .areset (),
+                               .pfdena (),
+                               .clkena (),
+                               .extclkena (),
+                               .scanclk (),
+                               .scanaclr (),
+                               .scandata (),
+                               .scanread (),
+                               .scanwrite (),
+                               .extclk (),
+                               .clkbad (),
+                               .activeclock (),
+                               .locked (),
+                               .clkloss (),
+                               .scandataout (),
+                               .scandone (),
+                               .sclkout1 (),
+                               .sclkout0 (),
+                               .enable0 (),
+                               .enable1 ()
+                               // synopsys translate_on
+
+);
+       defparam
+               altpll_component.clk0_duty_cycle = 50,
+               altpll_component.lpm_type = "altpll",
+               altpll_component.clk0_multiply_by = 1,
+               altpll_component.inclk0_input_frequency = 20833,
+               altpll_component.clk0_divide_by = 1,
+               altpll_component.pll_type = "AUTO",
+               altpll_component.clk0_time_delay = "0",
+               altpll_component.intended_device_family = "Cyclone",
+               altpll_component.operation_mode = "NORMAL",
+               altpll_component.compensate_clock = "CLK0",
+               altpll_component.clk0_phase_shift = "-3000";
+
+
+endmodule
+
+// ============================================================
+// CNX file retrieval info
+// ============================================================
+// Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0"
+// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "ns"
+// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz"
+// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz"
+// Retrieval info: PRIVATE: SPREAD_USE STRING "0"
+// Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0"
+// Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1"
+// Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575"
+// Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0"
+// Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000"
+// Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "-3.00000000"
+// Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "1"
+// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "0"
+// Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500"
+// Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "0"
+// Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "0"
+// Retrieval info: PRIVATE: TIME_SHIFT0 STRING "0.00000000"
+// Retrieval info: PRIVATE: STICKY_CLK0 STRING "1"
+// Retrieval info: PRIVATE: BANDWIDTH STRING "1.000"
+// Retrieval info: PRIVATE: BANDWIDTH_USE_CUSTOM STRING "0"
+// Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "8"
+// Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000"
+// Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "0"
+// Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1"
+// Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0"
+// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0"
+// Retrieval info: PRIVATE: USE_CLK0 STRING "1"
+// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1"
+// Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "0"
+// Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0"
+// Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0"
+// Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0"
+// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000"
+// Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0"
+// Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0"
+// Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0"
+// Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz"
+// Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz"
+// Retrieval info: PRIVATE: MEGAFN_PORT_INFO_0 STRING "inclk;fbin;pllena;clkswitch;areset"
+// Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0"
+// Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1"
+// Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "e0"
+// Retrieval info: PRIVATE: MEGAFN_PORT_INFO_1 STRING "pfdena;clkena;extclkena;scanclk;scanaclr"
+// Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1"
+// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1"
+// Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1"
+// Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0"
+// Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1"
+// Retrieval info: PRIVATE: MEGAFN_PORT_INFO_2 STRING "scandata;scanread;scanwrite;clk;extclk"
+// Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0"
+// Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1"
+// Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0"
+// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "528.000"
+// Retrieval info: PRIVATE: MEGAFN_PORT_INFO_3 STRING "clkbad;activeclock;locked;clkloss;scandataout"
+// Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "0"
+// Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz"
+// Retrieval info: PRIVATE: PLL_ENA_CHECK STRING "0"
+// Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "48.000"
+// Retrieval info: PRIVATE: MEGAFN_PORT_INFO_4 STRING "scandone;sclkout1;sclkout0;enable0;enable1"
+// Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0"
+// Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1"
+// Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "100.000"
+// Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0"
+// Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0"
+// Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0"
+// Retrieval info: PRIVATE: DEV_FAMILY STRING "Cyclone"
+// Retrieval info: PRIVATE: LOCK_LOSS_SWITCHOVER_CHECK STRING "0"
+// Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1"
+// Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "0"
+// Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low"
+// Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "0"
+// Retrieval info: PRIVATE: USE_CLKENA0 STRING "0"
+// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg"
+// Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0"
+// Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0"
+// Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0"
+// Retrieval info: PRIVATE: DEVICE_FAMILY NUMERIC "11"
+// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
+// Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50"
+// Retrieval info: CONSTANT: LPM_TYPE STRING "altpll"
+// Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "1"
+// Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "20833"
+// Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "1"
+// Retrieval info: CONSTANT: PLL_TYPE STRING "AUTO"
+// Retrieval info: CONSTANT: CLK0_TIME_DELAY STRING "0"
+// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone"
+// Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL"
+// Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0"
+// Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "-3000"
+// Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT VCC "c0"
+// Retrieval info: USED_PORT: @clk 0 0 6 0 OUTPUT VCC "@clk[5..0]"
+// Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT GND "inclk0"
+// Retrieval info: USED_PORT: @extclk 0 0 4 0 OUTPUT VCC "@extclk[3..0]"
+// Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0
+// Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0
+// Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0
+// Retrieval info: GEN_FILE: TYPE_NORMAL pll.v TRUE FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL pll.inc FALSE FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL pll.cmp FALSE FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL pll.bsf FALSE FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL pll_inst.v TRUE FALSE
+// Retrieval info: GEN_FILE: TYPE_NORMAL pll_bb.v TRUE FALSE
diff --git a/usrp/fpga/megacells/pll_bb.v b/usrp/fpga/megacells/pll_bb.v
new file mode 100644 (file)
index 0000000..debadaa
--- /dev/null
@@ -0,0 +1,29 @@
+//Copyright (C) 1991-2004 Altera Corporation
+//Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
+//support information,  device programming or simulation file,  and any other
+//associated  documentation or information  provided by  Altera  or a partner
+//under  Altera's   Megafunction   Partnership   Program  may  be  used  only
+//to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
+//other  use  of such  megafunction  design,  netlist,  support  information,
+//device programming or simulation file,  or any other  related documentation
+//or information  is prohibited  for  any  other purpose,  including, but not
+//limited to  modification,  reverse engineering,  de-compiling, or use  with
+//any other  silicon devices,  unless such use is  explicitly  licensed under
+//a separate agreement with  Altera  or a megafunction partner.  Title to the
+//intellectual property,  including patents,  copyrights,  trademarks,  trade
+//secrets,  or maskworks,  embodied in any such megafunction design, netlist,
+//support  information,  device programming or simulation file,  or any other
+//related documentation or information provided by  Altera  or a megafunction
+//partner, remains with Altera, the megafunction partner, or their respective
+//licensors. No other licenses, including any licenses needed under any third
+//party's intellectual property, are provided herein.
+
+module pll (
+       inclk0,
+       c0);
+
+       input     inclk0;
+       output    c0;
+
+endmodule
+
diff --git a/usrp/fpga/megacells/pll_inst.v b/usrp/fpga/megacells/pll_inst.v
new file mode 100644 (file)
index 0000000..97db58b
--- /dev/null
@@ -0,0 +1,4 @@
+pll    pll_inst (
+       .inclk0 ( inclk0_sig ),
+       .c0 ( c0_sig )
+       );
diff --git a/usrp/fpga/megacells/sub32.bsf b/usrp/fpga/megacells/sub32.bsf
new file mode 100755 (executable)
index 0000000..753fdc7
--- /dev/null
@@ -0,0 +1,87 @@
+/*
+WARNING: Do NOT edit the input and output ports in this file in a text
+editor if you plan to continue editing the block that represents it in
+the Block Editor! File corruption is VERY likely to occur.
+*/
+/*
+Copyright (C) 1991-2003 Altera Corporation
+Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
+support information,  device programming or simulation file,  and any other
+associated  documentation or information  provided by  Altera  or a partner
+under  Altera's   Megafunction   Partnership   Program  may  be  used  only
+to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
+other  use  of such  megafunction  design,  netlist,  support  information,
+device programming or simulation file,  or any other  related documentation
+or information  is prohibited  for  any  other purpose,  including, but not
+limited to  modification,  reverse engineering,  de-compiling, or use  with
+any other  silicon devices,  unless such use is  explicitly  licensed under
+a separate agreement with  Altera  or a megafunction partner.  Title to the
+intellectual property,  including patents,  copyrights,  trademarks,  trade
+secrets,  or maskworks,  embodied in any such megafunction design, netlist,
+support  information,  device programming or simulation file,  or any other
+related documentation or information provided by  Altera  or a megafunction
+partner, remains with Altera, the megafunction partner, or their respective
+licensors. No other licenses, including any licenses needed under any third
+party's intellectual property, are provided herein.
+*/
+(header "symbol" (version "1.1"))
+(symbol
+       (rect 0 0 160 128)
+       (text "sub32" (rect 58 2 109 21)(font "Arial" (font_size 10)))
+       (text "inst" (rect 8 109 31 124)(font "Arial" ))
+       (port
+               (pt 0 40)
+               (input)
+               (text "dataa[31..0]" (rect 0 0 81 16)(font "Arial" (font_size 8)))
+               (text "dataa[31..0]" (rect 4 24 73 40)(font "Arial" (font_size 8)))
+               (line (pt 0 40)(pt 64 40)(line_width 3))
+       )
+       (port
+               (pt 0 72)
+               (input)
+               (text "datab[31..0]" (rect 0 0 81 16)(font "Arial" (font_size 8)))
+               (text "datab[31..0]" (rect 4 56 73 72)(font "Arial" (font_size 8)))
+               (line (pt 0 72)(pt 64 72)(line_width 3))
+       )
+       (port
+               (pt 0 56)
+               (input)
+               (text "clock" (rect 0 0 36 16)(font "Arial" (font_size 8)))
+               (text "clock" (rect 4 40 35 56)(font "Arial" (font_size 8)))
+               (line (pt 0 56)(pt 64 56)(line_width 1))
+       )
+       (port
+               (pt 0 96)
+               (input)
+               (text "clken" (rect 0 0 36 16)(font "Arial" (font_size 8)))
+               (text "clken" (rect 4 80 35 96)(font "Arial" (font_size 8)))
+               (line (pt 0 96)(pt 74 96)(line_width 1))
+       )
+       (port
+               (pt 0 112)
+               (input)
+               (text "aclr" (rect 0 0 24 16)(font "Arial" (font_size 8)))
+               (text "aclr" (rect 4 96 25 112)(font "Arial" (font_size 8)))
+               (line (pt 0 112)(pt 85 112)(line_width 1))
+       )
+       (port
+               (pt 160 56)
+               (output)
+               (text "result[31..0]" (rect 0 0 81 16)(font "Arial" (font_size 8)))
+               (text "result[31..0]" (rect 88 40 157 56)(font "Arial" (font_size 8)))
+               (line (pt 160 56)(pt 96 56)(line_width 3))
+       )
+       (drawing
+               (text "A" (rect 66 32 75 48)(font "Arial" (font_size 8)))
+               (text "B" (rect 66 64 75 80)(font "Arial" (font_size 8)))
+               (text "A-B" (rect 72 48 94 64)(font "Arial" (font_size 8)))
+               (line (pt 64 32)(pt 96 40)(line_width 1))
+               (line (pt 96 40)(pt 96 72)(line_width 1))
+               (line (pt 96 72)(pt 64 80)(line_width 1))
+               (line (pt 64 80)(pt 64 32)(line_width 1))
+               (line (pt 74 96)(pt 74 77)(line_width 1))
+               (line (pt 85 112)(pt 85 74)(line_width 1))
+               (line (pt 64 50)(pt 70 56)(line_width 1))
+               (line (pt 70 56)(pt 64 62)(line_width 1))
+       )
+)
diff --git a/usrp/fpga/megacells/sub32.cmp b/usrp/fpga/megacells/sub32.cmp
new file mode 100755 (executable)
index 0000000..0d5b62e
--- /dev/null
@@ -0,0 +1,32 @@
+--Copyright (C) 1991-2003 Altera Corporation
+--Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
+--support information,  device programming or simulation file,  and any other
+--associated  documentation or information  provided by  Altera  or a partner
+--under  Altera's   Megafunction   Partnership   Program  may  be  used  only
+--to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
+--other  use  of such  megafunction  design,  netlist,  support  information,
+--device programming or simulation file,  or any other  related documentation
+--or information  is prohibited  for  any  other purpose,  including, but not
+--limited to  modification,  reverse engineering,  de-compiling, or use  with
+--any other  silicon devices,  unless such use is  explicitly  licensed under
+--a separate agreement with  Altera  or a megafunction partner.  Title to the
+--intellectual property,  including patents,  copyrights,  trademarks,  trade
+--secrets,  or maskworks,  embodied in any such megafunction design, netlist,
+--support  information,  device programming or simulation file,  or any other
+--related documentation or information provided by  Altera  or a megafunction
+--partner, remains with Altera, the megafunction partner, or their respective
+--licensors. No other licenses, including any licenses needed under any third
+--party's intellectual property, are provided herein.
+
+
+component sub32
+       PORT
+       (
+               dataa           : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
+               datab           : IN STD_LOGIC_VECTOR (31 DOWNTO 0);
+               clock           : IN STD_LOGIC ;
+               aclr            : IN STD_LOGIC ;
+               clken           : IN STD_LOGIC ;
+               result          : OUT STD_LOGIC_VECTOR (31 DOWNTO 0)
+       );
+end component;
diff --git a/usrp/fpga/megacells/sub32.inc b/usrp/fpga/megacells/sub32.inc
new file mode 100755 (executable)
index 0000000..3c64e21
--- /dev/null
@@ -0,0 +1,33 @@
+--Copyright (C) 1991-2003 Altera Corporation
+--Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
+--support information,  device programming or simulation file,  and any other
+--associated  documentation or information  provided by  Altera  or a partner
+--under  Altera's   Megafunction   Partnership   Program  may  be  used  only
+--to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
+--other  use  of such  megafunction  design,  netlist,  support  information,
+--device programming or simulation file,  or any other  related documentation
+--or information  is prohibited  for  any  other purpose,  including, but not
+--limited to  modification,  reverse engineering,  de-compiling, or use  with
+--any other  silicon devices,  unless such use is  explicitly  licensed under
+--a separate agreement with  Altera  or a megafunction partner.  Title to the
+--intellectual property,  including patents,  copyrights,  trademarks,  trade
+--secrets,  or maskworks,  embodied in any such megafunction design, netlist,
+--support  information,  device programming or simulation file,  or any other
+--related documentation or information provided by  Altera  or a megafunction
+--partner, remains with Altera, the megafunction partner, or their respective
+--licensors. No other licenses, including any licenses needed under any third
+--party's intellectual property, are provided herein.
+
+
+FUNCTION sub32 
+(
+       dataa[31..0],
+       datab[31..0],
+       clock,
+       aclr,
+       clken
+)
+
+RETURNS (
+       result[31..0]
+);
diff --git a/usrp/fpga/megacells/sub32.v b/usrp/fpga/megacells/sub32.v
new file mode 100755 (executable)
index 0000000..dd825d9
--- /dev/null
@@ -0,0 +1,675 @@
+// megafunction wizard: %LPM_ADD_SUB%CBX%
+// GENERATION: STANDARD
+// VERSION: WM1.0
+// MODULE: lpm_add_sub 
+
+// ============================================================
+// File Name: sub32.v
+// Megafunction Name(s):
+//                     lpm_add_sub
+// ============================================================
+// ************************************************************
+// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
+// ************************************************************
+
+
+//Copyright (C) 1991-2003 Altera Corporation
+//Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
+//support information,  device programming or simulation file,  and any other
+//associated  documentation or information  provided by  Altera  or a partner
+//under  Altera's   Megafunction   Partnership   Program  may  be  used  only
+//to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
+//other  use  of such  megafunction  design,  netlist,  support  information,
+//device programming or simulation file,  or any other  related documentation
+//or information  is prohibited  for  any  other purpose,  including, but not
+//limited to  modification,  reverse engineering,  de-compiling, or use  with
+//any other  silicon devices,  unless such use is  explicitly  licensed under
+//a separate agreement with  Altera  or a megafunction partner.  Title to the
+//intellectual property,  including patents,  copyrights,  trademarks,  trade
+//secrets,  or maskworks,  embodied in any such megafunction design, netlist,
+//support  information,  device programming or simulation file,  or any other
+//related documentation or information provided by  Altera  or a megafunction
+//partner, remains with Altera, the megafunction partner, or their respective
+//licensors. No other licenses, including any licenses needed under any third
+//party's intellectual property, are provided herein.
+
+
+//lpm_add_sub DEVICE_FAMILY=Cyclone LPM_DIRECTION=SUB LPM_PIPELINE=1 LPM_WIDTH=32 aclr clken clock dataa datab result
+//VERSION_BEGIN 3.0 cbx_lpm_add_sub 2003:04:10:18:28:42:SJ cbx_mgl 2003:06:11:11:00:44:SJ cbx_stratix 2003:05:16:10:26:50:SJ  VERSION_END
+
+//synthesis_resources = lut 32 
+module  sub32_add_sub_cqa
+       ( 
+       aclr,
+       clken,
+       clock,
+       dataa,
+       datab,
+       result) /* synthesis synthesis_clearbox=1 */;
+       input   aclr;
+       input   clken;
+       input   clock;
+       input   [31:0]  dataa;
+       input   [31:0]  datab;
+       output   [31:0]  result;
+
+       wire  [0:0]   wire_add_sub_cella_0cout;
+       wire  [0:0]   wire_add_sub_cella_1cout;
+       wire  [0:0]   wire_add_sub_cella_2cout;
+       wire  [0:0]   wire_add_sub_cella_3cout;
+       wire  [0:0]   wire_add_sub_cella_4cout;
+       wire  [0:0]   wire_add_sub_cella_5cout;
+       wire  [0:0]   wire_add_sub_cella_6cout;
+       wire  [0:0]   wire_add_sub_cella_7cout;
+       wire  [0:0]   wire_add_sub_cella_8cout;
+       wire  [0:0]   wire_add_sub_cella_9cout;
+       wire  [0:0]   wire_add_sub_cella_10cout;
+       wire  [0:0]   wire_add_sub_cella_11cout;
+       wire  [0:0]   wire_add_sub_cella_12cout;
+       wire  [0:0]   wire_add_sub_cella_13cout;
+       wire  [0:0]   wire_add_sub_cella_14cout;
+       wire  [0:0]   wire_add_sub_cella_15cout;
+       wire  [0:0]   wire_add_sub_cella_16cout;
+       wire  [0:0]   wire_add_sub_cella_17cout;
+       wire  [0:0]   wire_add_sub_cella_18cout;
+       wire  [0:0]   wire_add_sub_cella_19cout;
+       wire  [0:0]   wire_add_sub_cella_20cout;
+       wire  [0:0]   wire_add_sub_cella_21cout;
+       wire  [0:0]   wire_add_sub_cella_22cout;
+       wire  [0:0]   wire_add_sub_cella_23cout;
+       wire  [0:0]   wire_add_sub_cella_24cout;
+       wire  [0:0]   wire_add_sub_cella_25cout;
+       wire  [0:0]   wire_add_sub_cella_26cout;
+       wire  [0:0]   wire_add_sub_cella_27cout;
+       wire  [0:0]   wire_add_sub_cella_28cout;
+       wire  [0:0]   wire_add_sub_cella_29cout;
+       wire  [0:0]   wire_add_sub_cella_30cout;
+       wire  [31:0]   wire_add_sub_cella_dataa;
+       wire  [31:0]   wire_add_sub_cella_datab;
+       wire  [31:0]   wire_add_sub_cella_regout;
+
+       stratix_lcell   add_sub_cella_0
+       ( 
+       .aclr(aclr),
+       .cin(1'b1),
+       .clk(clock),
+       .cout(wire_add_sub_cella_0cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[0:0]),
+       .datab(wire_add_sub_cella_datab[0:0]),
+       .ena(clken),
+       .regout(wire_add_sub_cella_regout[0:0]));
+       defparam
+               add_sub_cella_0.cin_used = "true",
+               add_sub_cella_0.lut_mask = "69b2",
+               add_sub_cella_0.operation_mode = "arithmetic",
+               add_sub_cella_0.sum_lutc_input = "cin",
+               add_sub_cella_0.lpm_type = "stratix_lcell";
+       stratix_lcell   add_sub_cella_1
+       ( 
+       .aclr(aclr),
+       .cin(wire_add_sub_cella_0cout[0:0]),
+       .clk(clock),
+       .cout(wire_add_sub_cella_1cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[1:1]),
+       .datab(wire_add_sub_cella_datab[1:1]),
+       .ena(clken),
+       .regout(wire_add_sub_cella_regout[1:1]));
+       defparam
+               add_sub_cella_1.cin_used = "true",
+               add_sub_cella_1.lut_mask = "69b2",
+               add_sub_cella_1.operation_mode = "arithmetic",
+               add_sub_cella_1.sum_lutc_input = "cin",
+               add_sub_cella_1.lpm_type = "stratix_lcell";
+       stratix_lcell   add_sub_cella_2
+       ( 
+       .aclr(aclr),
+       .cin(wire_add_sub_cella_1cout[0:0]),
+       .clk(clock),
+       .cout(wire_add_sub_cella_2cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[2:2]),
+       .datab(wire_add_sub_cella_datab[2:2]),
+       .ena(clken),
+       .regout(wire_add_sub_cella_regout[2:2]));
+       defparam
+               add_sub_cella_2.cin_used = "true",
+               add_sub_cella_2.lut_mask = "69b2",
+               add_sub_cella_2.operation_mode = "arithmetic",
+               add_sub_cella_2.sum_lutc_input = "cin",
+               add_sub_cella_2.lpm_type = "stratix_lcell";
+       stratix_lcell   add_sub_cella_3
+       ( 
+       .aclr(aclr),
+       .cin(wire_add_sub_cella_2cout[0:0]),
+       .clk(clock),
+       .cout(wire_add_sub_cella_3cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[3:3]),
+       .datab(wire_add_sub_cella_datab[3:3]),
+       .ena(clken),
+       .regout(wire_add_sub_cella_regout[3:3]));
+       defparam
+               add_sub_cella_3.cin_used = "true",
+               add_sub_cella_3.lut_mask = "69b2",
+               add_sub_cella_3.operation_mode = "arithmetic",
+               add_sub_cella_3.sum_lutc_input = "cin",
+               add_sub_cella_3.lpm_type = "stratix_lcell";
+       stratix_lcell   add_sub_cella_4
+       ( 
+       .aclr(aclr),
+       .cin(wire_add_sub_cella_3cout[0:0]),
+       .clk(clock),
+       .cout(wire_add_sub_cella_4cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[4:4]),
+       .datab(wire_add_sub_cella_datab[4:4]),
+       .ena(clken),
+       .regout(wire_add_sub_cella_regout[4:4]));
+       defparam
+               add_sub_cella_4.cin_used = "true",
+               add_sub_cella_4.lut_mask = "69b2",
+               add_sub_cella_4.operation_mode = "arithmetic",
+               add_sub_cella_4.sum_lutc_input = "cin",
+               add_sub_cella_4.lpm_type = "stratix_lcell";
+       stratix_lcell   add_sub_cella_5
+       ( 
+       .aclr(aclr),
+       .cin(wire_add_sub_cella_4cout[0:0]),
+       .clk(clock),
+       .cout(wire_add_sub_cella_5cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[5:5]),
+       .datab(wire_add_sub_cella_datab[5:5]),
+       .ena(clken),
+       .regout(wire_add_sub_cella_regout[5:5]));
+       defparam
+               add_sub_cella_5.cin_used = "true",
+               add_sub_cella_5.lut_mask = "69b2",
+               add_sub_cella_5.operation_mode = "arithmetic",
+               add_sub_cella_5.sum_lutc_input = "cin",
+               add_sub_cella_5.lpm_type = "stratix_lcell";
+       stratix_lcell   add_sub_cella_6
+       ( 
+       .aclr(aclr),
+       .cin(wire_add_sub_cella_5cout[0:0]),
+       .clk(clock),
+       .cout(wire_add_sub_cella_6cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[6:6]),
+       .datab(wire_add_sub_cella_datab[6:6]),
+       .ena(clken),
+       .regout(wire_add_sub_cella_regout[6:6]));
+       defparam
+               add_sub_cella_6.cin_used = "true",
+               add_sub_cella_6.lut_mask = "69b2",
+               add_sub_cella_6.operation_mode = "arithmetic",
+               add_sub_cella_6.sum_lutc_input = "cin",
+               add_sub_cella_6.lpm_type = "stratix_lcell";
+       stratix_lcell   add_sub_cella_7
+       ( 
+       .aclr(aclr),
+       .cin(wire_add_sub_cella_6cout[0:0]),
+       .clk(clock),
+       .cout(wire_add_sub_cella_7cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[7:7]),
+       .datab(wire_add_sub_cella_datab[7:7]),
+       .ena(clken),
+       .regout(wire_add_sub_cella_regout[7:7]));
+       defparam
+               add_sub_cella_7.cin_used = "true",
+               add_sub_cella_7.lut_mask = "69b2",
+               add_sub_cella_7.operation_mode = "arithmetic",
+               add_sub_cella_7.sum_lutc_input = "cin",
+               add_sub_cella_7.lpm_type = "stratix_lcell";
+       stratix_lcell   add_sub_cella_8
+       ( 
+       .aclr(aclr),
+       .cin(wire_add_sub_cella_7cout[0:0]),
+       .clk(clock),
+       .cout(wire_add_sub_cella_8cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[8:8]),
+       .datab(wire_add_sub_cella_datab[8:8]),
+       .ena(clken),
+       .regout(wire_add_sub_cella_regout[8:8]));
+       defparam
+               add_sub_cella_8.cin_used = "true",
+               add_sub_cella_8.lut_mask = "69b2",
+               add_sub_cella_8.operation_mode = "arithmetic",
+               add_sub_cella_8.sum_lutc_input = "cin",
+               add_sub_cella_8.lpm_type = "stratix_lcell";
+       stratix_lcell   add_sub_cella_9
+       ( 
+       .aclr(aclr),
+       .cin(wire_add_sub_cella_8cout[0:0]),
+       .clk(clock),
+       .cout(wire_add_sub_cella_9cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[9:9]),
+       .datab(wire_add_sub_cella_datab[9:9]),
+       .ena(clken),
+       .regout(wire_add_sub_cella_regout[9:9]));
+       defparam
+               add_sub_cella_9.cin_used = "true",
+               add_sub_cella_9.lut_mask = "69b2",
+               add_sub_cella_9.operation_mode = "arithmetic",
+               add_sub_cella_9.sum_lutc_input = "cin",
+               add_sub_cella_9.lpm_type = "stratix_lcell";
+       stratix_lcell   add_sub_cella_10
+       ( 
+       .aclr(aclr),
+       .cin(wire_add_sub_cella_9cout[0:0]),
+       .clk(clock),
+       .cout(wire_add_sub_cella_10cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[10:10]),
+       .datab(wire_add_sub_cella_datab[10:10]),
+       .ena(clken),
+       .regout(wire_add_sub_cella_regout[10:10]));
+       defparam
+               add_sub_cella_10.cin_used = "true",
+               add_sub_cella_10.lut_mask = "69b2",
+               add_sub_cella_10.operation_mode = "arithmetic",
+               add_sub_cella_10.sum_lutc_input = "cin",
+               add_sub_cella_10.lpm_type = "stratix_lcell";
+       stratix_lcell   add_sub_cella_11
+       ( 
+       .aclr(aclr),
+       .cin(wire_add_sub_cella_10cout[0:0]),
+       .clk(clock),
+       .cout(wire_add_sub_cella_11cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[11:11]),
+       .datab(wire_add_sub_cella_datab[11:11]),
+       .ena(clken),
+       .regout(wire_add_sub_cella_regout[11:11]));
+       defparam
+               add_sub_cella_11.cin_used = "true",
+               add_sub_cella_11.lut_mask = "69b2",
+               add_sub_cella_11.operation_mode = "arithmetic",
+               add_sub_cella_11.sum_lutc_input = "cin",
+               add_sub_cella_11.lpm_type = "stratix_lcell";
+       stratix_lcell   add_sub_cella_12
+       ( 
+       .aclr(aclr),
+       .cin(wire_add_sub_cella_11cout[0:0]),
+       .clk(clock),
+       .cout(wire_add_sub_cella_12cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[12:12]),
+       .datab(wire_add_sub_cella_datab[12:12]),
+       .ena(clken),
+       .regout(wire_add_sub_cella_regout[12:12]));
+       defparam
+               add_sub_cella_12.cin_used = "true",
+               add_sub_cella_12.lut_mask = "69b2",
+               add_sub_cella_12.operation_mode = "arithmetic",
+               add_sub_cella_12.sum_lutc_input = "cin",
+               add_sub_cella_12.lpm_type = "stratix_lcell";
+       stratix_lcell   add_sub_cella_13
+       ( 
+       .aclr(aclr),
+       .cin(wire_add_sub_cella_12cout[0:0]),
+       .clk(clock),
+       .cout(wire_add_sub_cella_13cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[13:13]),
+       .datab(wire_add_sub_cella_datab[13:13]),
+       .ena(clken),
+       .regout(wire_add_sub_cella_regout[13:13]));
+       defparam
+               add_sub_cella_13.cin_used = "true",
+               add_sub_cella_13.lut_mask = "69b2",
+               add_sub_cella_13.operation_mode = "arithmetic",
+               add_sub_cella_13.sum_lutc_input = "cin",
+               add_sub_cella_13.lpm_type = "stratix_lcell";
+       stratix_lcell   add_sub_cella_14
+       ( 
+       .aclr(aclr),
+       .cin(wire_add_sub_cella_13cout[0:0]),
+       .clk(clock),
+       .cout(wire_add_sub_cella_14cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[14:14]),
+       .datab(wire_add_sub_cella_datab[14:14]),
+       .ena(clken),
+       .regout(wire_add_sub_cella_regout[14:14]));
+       defparam
+               add_sub_cella_14.cin_used = "true",
+               add_sub_cella_14.lut_mask = "69b2",
+               add_sub_cella_14.operation_mode = "arithmetic",
+               add_sub_cella_14.sum_lutc_input = "cin",
+               add_sub_cella_14.lpm_type = "stratix_lcell";
+       stratix_lcell   add_sub_cella_15
+       ( 
+       .aclr(aclr),
+       .cin(wire_add_sub_cella_14cout[0:0]),
+       .clk(clock),
+       .cout(wire_add_sub_cella_15cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[15:15]),
+       .datab(wire_add_sub_cella_datab[15:15]),
+       .ena(clken),
+       .regout(wire_add_sub_cella_regout[15:15]));
+       defparam
+               add_sub_cella_15.cin_used = "true",
+               add_sub_cella_15.lut_mask = "69b2",
+               add_sub_cella_15.operation_mode = "arithmetic",
+               add_sub_cella_15.sum_lutc_input = "cin",
+               add_sub_cella_15.lpm_type = "stratix_lcell";
+       stratix_lcell   add_sub_cella_16
+       ( 
+       .aclr(aclr),
+       .cin(wire_add_sub_cella_15cout[0:0]),
+       .clk(clock),
+       .cout(wire_add_sub_cella_16cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[16:16]),
+       .datab(wire_add_sub_cella_datab[16:16]),
+       .ena(clken),
+       .regout(wire_add_sub_cella_regout[16:16]));
+       defparam
+               add_sub_cella_16.cin_used = "true",
+               add_sub_cella_16.lut_mask = "69b2",
+               add_sub_cella_16.operation_mode = "arithmetic",
+               add_sub_cella_16.sum_lutc_input = "cin",
+               add_sub_cella_16.lpm_type = "stratix_lcell";
+       stratix_lcell   add_sub_cella_17
+       ( 
+       .aclr(aclr),
+       .cin(wire_add_sub_cella_16cout[0:0]),
+       .clk(clock),
+       .cout(wire_add_sub_cella_17cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[17:17]),
+       .datab(wire_add_sub_cella_datab[17:17]),
+       .ena(clken),
+       .regout(wire_add_sub_cella_regout[17:17]));
+       defparam
+               add_sub_cella_17.cin_used = "true",
+               add_sub_cella_17.lut_mask = "69b2",
+               add_sub_cella_17.operation_mode = "arithmetic",
+               add_sub_cella_17.sum_lutc_input = "cin",
+               add_sub_cella_17.lpm_type = "stratix_lcell";
+       stratix_lcell   add_sub_cella_18
+       ( 
+       .aclr(aclr),
+       .cin(wire_add_sub_cella_17cout[0:0]),
+       .clk(clock),
+       .cout(wire_add_sub_cella_18cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[18:18]),
+       .datab(wire_add_sub_cella_datab[18:18]),
+       .ena(clken),
+       .regout(wire_add_sub_cella_regout[18:18]));
+       defparam
+               add_sub_cella_18.cin_used = "true",
+               add_sub_cella_18.lut_mask = "69b2",
+               add_sub_cella_18.operation_mode = "arithmetic",
+               add_sub_cella_18.sum_lutc_input = "cin",
+               add_sub_cella_18.lpm_type = "stratix_lcell";
+       stratix_lcell   add_sub_cella_19
+       ( 
+       .aclr(aclr),
+       .cin(wire_add_sub_cella_18cout[0:0]),
+       .clk(clock),
+       .cout(wire_add_sub_cella_19cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[19:19]),
+       .datab(wire_add_sub_cella_datab[19:19]),
+       .ena(clken),
+       .regout(wire_add_sub_cella_regout[19:19]));
+       defparam
+               add_sub_cella_19.cin_used = "true",
+               add_sub_cella_19.lut_mask = "69b2",
+               add_sub_cella_19.operation_mode = "arithmetic",
+               add_sub_cella_19.sum_lutc_input = "cin",
+               add_sub_cella_19.lpm_type = "stratix_lcell";
+       stratix_lcell   add_sub_cella_20
+       ( 
+       .aclr(aclr),
+       .cin(wire_add_sub_cella_19cout[0:0]),
+       .clk(clock),
+       .cout(wire_add_sub_cella_20cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[20:20]),
+       .datab(wire_add_sub_cella_datab[20:20]),
+       .ena(clken),
+       .regout(wire_add_sub_cella_regout[20:20]));
+       defparam
+               add_sub_cella_20.cin_used = "true",
+               add_sub_cella_20.lut_mask = "69b2",
+               add_sub_cella_20.operation_mode = "arithmetic",
+               add_sub_cella_20.sum_lutc_input = "cin",
+               add_sub_cella_20.lpm_type = "stratix_lcell";
+       stratix_lcell   add_sub_cella_21
+       ( 
+       .aclr(aclr),
+       .cin(wire_add_sub_cella_20cout[0:0]),
+       .clk(clock),
+       .cout(wire_add_sub_cella_21cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[21:21]),
+       .datab(wire_add_sub_cella_datab[21:21]),
+       .ena(clken),
+       .regout(wire_add_sub_cella_regout[21:21]));
+       defparam
+               add_sub_cella_21.cin_used = "true",
+               add_sub_cella_21.lut_mask = "69b2",
+               add_sub_cella_21.operation_mode = "arithmetic",
+               add_sub_cella_21.sum_lutc_input = "cin",
+               add_sub_cella_21.lpm_type = "stratix_lcell";
+       stratix_lcell   add_sub_cella_22
+       ( 
+       .aclr(aclr),
+       .cin(wire_add_sub_cella_21cout[0:0]),
+       .clk(clock),
+       .cout(wire_add_sub_cella_22cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[22:22]),
+       .datab(wire_add_sub_cella_datab[22:22]),
+       .ena(clken),
+       .regout(wire_add_sub_cella_regout[22:22]));
+       defparam
+               add_sub_cella_22.cin_used = "true",
+               add_sub_cella_22.lut_mask = "69b2",
+               add_sub_cella_22.operation_mode = "arithmetic",
+               add_sub_cella_22.sum_lutc_input = "cin",
+               add_sub_cella_22.lpm_type = "stratix_lcell";
+       stratix_lcell   add_sub_cella_23
+       ( 
+       .aclr(aclr),
+       .cin(wire_add_sub_cella_22cout[0:0]),
+       .clk(clock),
+       .cout(wire_add_sub_cella_23cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[23:23]),
+       .datab(wire_add_sub_cella_datab[23:23]),
+       .ena(clken),
+       .regout(wire_add_sub_cella_regout[23:23]));
+       defparam
+               add_sub_cella_23.cin_used = "true",
+               add_sub_cella_23.lut_mask = "69b2",
+               add_sub_cella_23.operation_mode = "arithmetic",
+               add_sub_cella_23.sum_lutc_input = "cin",
+               add_sub_cella_23.lpm_type = "stratix_lcell";
+       stratix_lcell   add_sub_cella_24
+       ( 
+       .aclr(aclr),
+       .cin(wire_add_sub_cella_23cout[0:0]),
+       .clk(clock),
+       .cout(wire_add_sub_cella_24cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[24:24]),
+       .datab(wire_add_sub_cella_datab[24:24]),
+       .ena(clken),
+       .regout(wire_add_sub_cella_regout[24:24]));
+       defparam
+               add_sub_cella_24.cin_used = "true",
+               add_sub_cella_24.lut_mask = "69b2",
+               add_sub_cella_24.operation_mode = "arithmetic",
+               add_sub_cella_24.sum_lutc_input = "cin",
+               add_sub_cella_24.lpm_type = "stratix_lcell";
+       stratix_lcell   add_sub_cella_25
+       ( 
+       .aclr(aclr),
+       .cin(wire_add_sub_cella_24cout[0:0]),
+       .clk(clock),
+       .cout(wire_add_sub_cella_25cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[25:25]),
+       .datab(wire_add_sub_cella_datab[25:25]),
+       .ena(clken),
+       .regout(wire_add_sub_cella_regout[25:25]));
+       defparam
+               add_sub_cella_25.cin_used = "true",
+               add_sub_cella_25.lut_mask = "69b2",
+               add_sub_cella_25.operation_mode = "arithmetic",
+               add_sub_cella_25.sum_lutc_input = "cin",
+               add_sub_cella_25.lpm_type = "stratix_lcell";
+       stratix_lcell   add_sub_cella_26
+       ( 
+       .aclr(aclr),
+       .cin(wire_add_sub_cella_25cout[0:0]),
+       .clk(clock),
+       .cout(wire_add_sub_cella_26cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[26:26]),
+       .datab(wire_add_sub_cella_datab[26:26]),
+       .ena(clken),
+       .regout(wire_add_sub_cella_regout[26:26]));
+       defparam
+               add_sub_cella_26.cin_used = "true",
+               add_sub_cella_26.lut_mask = "69b2",
+               add_sub_cella_26.operation_mode = "arithmetic",
+               add_sub_cella_26.sum_lutc_input = "cin",
+               add_sub_cella_26.lpm_type = "stratix_lcell";
+       stratix_lcell   add_sub_cella_27
+       ( 
+       .aclr(aclr),
+       .cin(wire_add_sub_cella_26cout[0:0]),
+       .clk(clock),
+       .cout(wire_add_sub_cella_27cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[27:27]),
+       .datab(wire_add_sub_cella_datab[27:27]),
+       .ena(clken),
+       .regout(wire_add_sub_cella_regout[27:27]));
+       defparam
+               add_sub_cella_27.cin_used = "true",
+               add_sub_cella_27.lut_mask = "69b2",
+               add_sub_cella_27.operation_mode = "arithmetic",
+               add_sub_cella_27.sum_lutc_input = "cin",
+               add_sub_cella_27.lpm_type = "stratix_lcell";
+       stratix_lcell   add_sub_cella_28
+       ( 
+       .aclr(aclr),
+       .cin(wire_add_sub_cella_27cout[0:0]),
+       .clk(clock),
+       .cout(wire_add_sub_cella_28cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[28:28]),
+       .datab(wire_add_sub_cella_datab[28:28]),
+       .ena(clken),
+       .regout(wire_add_sub_cella_regout[28:28]));
+       defparam
+               add_sub_cella_28.cin_used = "true",
+               add_sub_cella_28.lut_mask = "69b2",
+               add_sub_cella_28.operation_mode = "arithmetic",
+               add_sub_cella_28.sum_lutc_input = "cin",
+               add_sub_cella_28.lpm_type = "stratix_lcell";
+       stratix_lcell   add_sub_cella_29
+       ( 
+       .aclr(aclr),
+       .cin(wire_add_sub_cella_28cout[0:0]),
+       .clk(clock),
+       .cout(wire_add_sub_cella_29cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[29:29]),
+       .datab(wire_add_sub_cella_datab[29:29]),
+       .ena(clken),
+       .regout(wire_add_sub_cella_regout[29:29]));
+       defparam
+               add_sub_cella_29.cin_used = "true",
+               add_sub_cella_29.lut_mask = "69b2",
+               add_sub_cella_29.operation_mode = "arithmetic",
+               add_sub_cella_29.sum_lutc_input = "cin",
+               add_sub_cella_29.lpm_type = "stratix_lcell";
+       stratix_lcell   add_sub_cella_30
+       ( 
+       .aclr(aclr),
+       .cin(wire_add_sub_cella_29cout[0:0]),
+       .clk(clock),
+       .cout(wire_add_sub_cella_30cout[0:0]),
+       .dataa(wire_add_sub_cella_dataa[30:30]),
+       .datab(wire_add_sub_cella_datab[30:30]),
+       .ena(clken),
+       .regout(wire_add_sub_cella_regout[30:30]));
+       defparam
+               add_sub_cella_30.cin_used = "true",
+               add_sub_cella_30.lut_mask = "69b2",
+               add_sub_cella_30.operation_mode = "arithmetic",
+               add_sub_cella_30.sum_lutc_input = "cin",
+               add_sub_cella_30.lpm_type = "stratix_lcell";
+       stratix_lcell   add_sub_cella_31
+       ( 
+       .aclr(aclr),
+       .cin(wire_add_sub_cella_30cout[0:0]),
+       .clk(clock),
+       .dataa(wire_add_sub_cella_dataa[31:31]),
+       .datab(wire_add_sub_cella_datab[31:31]),
+       .ena(clken),
+       .regout(wire_add_sub_cella_regout[31:31]));
+       defparam
+               add_sub_cella_31.cin_used = "true",
+               add_sub_cella_31.lut_mask = "6969",
+               add_sub_cella_31.operation_mode = "normal",
+               add_sub_cella_31.sum_lutc_input = "cin",
+               add_sub_cella_31.lpm_type = "stratix_lcell";
+       assign
+               wire_add_sub_cella_dataa = dataa,
+               wire_add_sub_cella_datab = datab;
+       assign
+               result = wire_add_sub_cella_regout;
+endmodule //sub32_add_sub_cqa
+//VALID FILE
+
+
+module sub32 (
+       dataa,
+       datab,
+       clock,
+       aclr,
+       clken,
+       result)/* synthesis synthesis_clearbox = 1 */;
+
+       input   [31:0]  dataa;
+       input   [31:0]  datab;
+       input     clock;
+       input     aclr;
+       input     clken;
+       output  [31:0]  result;
+
+       wire [31:0] sub_wire0;
+       wire [31:0] result = sub_wire0[31:0];
+
+       sub32_add_sub_cqa       sub32_add_sub_cqa_component (
+                               .dataa (dataa),
+                               .datab (datab),
+                               .clken (clken),
+                               .aclr (aclr),
+                               .clock (clock),
+                               .result (sub_wire0));
+
+endmodule
+
+// ============================================================
+// CNX file retrieval info
+// ============================================================
+// Retrieval info: PRIVATE: nBit NUMERIC "32"
+// Retrieval info: PRIVATE: Function NUMERIC "1"
+// Retrieval info: PRIVATE: WhichConstant NUMERIC "0"
+// Retrieval info: PRIVATE: ConstantA NUMERIC "0"
+// Retrieval info: PRIVATE: ConstantB NUMERIC "0"
+// Retrieval info: PRIVATE: ValidCtA NUMERIC "0"
+// Retrieval info: PRIVATE: ValidCtB NUMERIC "0"
+// Retrieval info: PRIVATE: CarryIn NUMERIC "0"
+// Retrieval info: PRIVATE: CarryOut NUMERIC "0"
+// Retrieval info: PRIVATE: Overflow NUMERIC "0"
+// Retrieval info: PRIVATE: Latency NUMERIC "1"
+// Retrieval info: PRIVATE: aclr NUMERIC "1"
+// Retrieval info: PRIVATE: clken NUMERIC "1"
+// Retrieval info: PRIVATE: LPM_PIPELINE NUMERIC "1"
+// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone"
+// Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "32"
+// Retrieval info: CONSTANT: LPM_DIRECTION STRING "SUB"
+// Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_ADD_SUB"
+// Retrieval info: CONSTANT: LPM_HINT STRING "ONE_INPUT_IS_CONSTANT=NO"
+// Retrieval info: CONSTANT: LPM_PIPELINE NUMERIC "1"
+// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone"
+// Retrieval info: USED_PORT: result 0 0 32 0 OUTPUT NODEFVAL result[31..0]
+// Retrieval info: USED_PORT: dataa 0 0 32 0 INPUT NODEFVAL dataa[31..0]
+// Retrieval info: USED_PORT: datab 0 0 32 0 INPUT NODEFVAL datab[31..0]
+// Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL clock
+// Retrieval info: USED_PORT: aclr 0 0 0 0 INPUT NODEFVAL aclr
+// Retrieval info: USED_PORT: clken 0 0 0 0 INPUT NODEFVAL clken
+// Retrieval info: CONNECT: result 0 0 32 0 @result 0 0 32 0
+// Retrieval info: CONNECT: @dataa 0 0 32 0 dataa 0 0 32 0
+// Retrieval info: CONNECT: @datab 0 0 32 0 datab 0 0 32 0
+// Retrieval info: CONNECT: @clock 0 0 0 0 clock 0 0 0 0
+// Retrieval info: CONNECT: @aclr 0 0 0 0 aclr 0 0 0 0
+// Retrieval info: CONNECT: @clken 0 0 0 0 clken 0 0 0 0
+// Retrieval info: LIBRARY: lpm lpm.lpm_components.all
diff --git a/usrp/fpga/megacells/sub32_bb.v b/usrp/fpga/megacells/sub32_bb.v
new file mode 100755 (executable)
index 0000000..488ab51
--- /dev/null
@@ -0,0 +1,37 @@
+//Copyright (C) 1991-2003 Altera Corporation
+//Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
+//support information,  device programming or simulation file,  and any other
+//associated  documentation or information  provided by  Altera  or a partner
+//under  Altera's   Megafunction   Partnership   Program  may  be  used  only
+//to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
+//other  use  of such  megafunction  design,  netlist,  support  information,
+//device programming or simulation file,  or any other  related documentation
+//or information  is prohibited  for  any  other purpose,  including, but not
+//limited to  modification,  reverse engineering,  de-compiling, or use  with
+//any other  silicon devices,  unless such use is  explicitly  licensed under
+//a separate agreement with  Altera  or a megafunction partner.  Title to the
+//intellectual property,  including patents,  copyrights,  trademarks,  trade
+//secrets,  or maskworks,  embodied in any such megafunction design, netlist,
+//support  information,  device programming or simulation file,  or any other
+//related documentation or information provided by  Altera  or a megafunction
+//partner, remains with Altera, the megafunction partner, or their respective
+//licensors. No other licenses, including any licenses needed under any third
+//party's intellectual property, are provided herein.
+
+module sub32 (
+       dataa,
+       datab,
+       clock,
+       aclr,
+       clken,
+       result)/* synthesis synthesis_clearbox = 1 */;
+
+       input   [31:0]  dataa;
+       input   [31:0]  datab;
+       input     clock;
+       input     aclr;
+       input     clken;
+       output  [31:0]  result;
+
+endmodule
+
diff --git a/usrp/fpga/megacells/sub32_inst.v b/usrp/fpga/megacells/sub32_inst.v
new file mode 100755 (executable)
index 0000000..1916fc5
--- /dev/null
@@ -0,0 +1,8 @@
+sub32  sub32_inst (
+       .dataa ( dataa_sig ),
+       .datab ( datab_sig ),
+       .clock ( clock_sig ),
+       .aclr ( aclr_sig ),
+       .clken ( clken_sig ),
+       .result ( result_sig )
+       );
diff --git a/usrp/fpga/models/bustri.v b/usrp/fpga/models/bustri.v
new file mode 100644 (file)
index 0000000..6e5a0f7
--- /dev/null
@@ -0,0 +1,17 @@
+
+// Model for tristate bus on altera
+// FIXME do we really need to use a megacell for this?
+
+module bustri (data,
+              enabledt,
+              tridata);
+   
+   input [15:0]  data;
+   input        enabledt;
+   inout [15:0]  tridata;
+   
+   assign       tridata = enabledt ? data :16'bz;
+   
+endmodule // bustri
+
+
diff --git a/usrp/fpga/models/fifo.v b/usrp/fpga/models/fifo.v
new file mode 100644 (file)
index 0000000..0ade49e
--- /dev/null
@@ -0,0 +1,82 @@
+// Model of FIFO in Altera
+
+module fifo( data, wrreq, rdreq, rdclk, wrclk, aclr, q,
+                   rdfull, rdempty, rdusedw, wrfull, wrempty, wrusedw);
+   
+   parameter width = 16;
+   parameter depth = 1024;
+   parameter addr_bits = 10;
+   
+   //`define rd_req 0;  // Set this to 0 for rd_ack, 1 for rd_req
+   
+   input [width-1:0] data;
+   input            wrreq;
+   input            rdreq;
+   input            rdclk;
+   input            wrclk;
+   input            aclr;
+   output [width-1:0] q;
+   output            rdfull;
+   output            rdempty;
+   output reg [addr_bits-1:0]  rdusedw;
+   output wrfull;
+   output wrempty;
+   output reg [addr_bits-1:0]  wrusedw;
+   
+   reg [width-1:0] mem [0:depth-1];
+   reg [addr_bits-1:0]               rdptr;
+   reg [addr_bits-1:0]               wrptr;
+   
+`ifdef rd_req
+   reg [width-1:0]    q;
+`else
+   wire [width-1:0]   q;
+`endif
+   
+   integer           i;
+   
+   always @( aclr)
+     begin
+       wrptr <= #1 0;
+       rdptr <= #1 0;
+       for(i=0;i<depth;i=i+1)
+         mem[i] <= #1 0;
+     end
+   
+   always @(posedge wrclk)
+     if(wrreq)
+       begin
+         wrptr <= #1 wrptr+1;
+         mem[wrptr] <= #1 data;
+       end
+   
+   always @(posedge rdclk)
+     if(rdreq)
+       begin
+         rdptr <= #1 rdptr+1;
+`ifdef rd_req
+         q <= #1 mem[rdptr];
+`endif
+       end
+   
+`ifdef rd_req
+`else
+   assign q = mem[rdptr];
+`endif
+   
+   // Fix these
+   always @(posedge wrclk)
+     wrusedw <= #1 wrptr - rdptr;
+   
+   always @(posedge rdclk)
+     rdusedw <= #1 wrptr - rdptr;
+   
+   assign wrempty = (wrusedw == 0);
+   assign wrfull = (wrusedw == depth-1);
+   
+   assign rdempty = (rdusedw == 0);
+   assign rdfull = (rdusedw == depth-1);
+   
+endmodule // fifo
+
+
diff --git a/usrp/fpga/models/fifo_1c_1k.v b/usrp/fpga/models/fifo_1c_1k.v
new file mode 100644 (file)
index 0000000..d11040b
--- /dev/null
@@ -0,0 +1,81 @@
+// Model of FIFO in Altera
+
+module fifo_1c_1k ( data, wrreq, rdreq, rdclk, wrclk, aclr, q,
+                   rdfull, rdempty, rdusedw, wrfull, wrempty, wrusedw);
+
+   parameter width = 32;
+   parameter depth = 1024;
+   //`define rd_req 0;  // Set this to 0 for rd_ack, 1 for rd_req
+      
+   input [31:0] data;
+   input       wrreq;
+   input       rdreq;
+   input       rdclk;
+   input       wrclk;
+   input       aclr;
+   output [31:0] q;
+   output       rdfull;
+   output       rdempty;
+   output [9:0]  rdusedw;
+   output       wrfull;
+   output       wrempty;
+   output [9:0]  wrusedw;
+   
+   reg [width-1:0] mem [0:depth-1];
+   reg [7:0]      rdptr;
+   reg [7:0]      wrptr;
+   
+`ifdef rd_req
+   reg [width-1:0] q;
+`else
+   wire [width-1:0] q;
+`endif
+   
+   reg [9:0]       rdusedw;
+   reg [9:0]       wrusedw;
+   
+   integer         i;
+
+   always @( aclr)
+     begin
+       wrptr <= #1 0;
+       rdptr <= #1 0;
+       for(i=0;i<depth;i=i+1)
+         mem[i] <= #1 0;
+     end
+   
+   always @(posedge wrclk)
+     if(wrreq)
+       begin
+         wrptr <= #1 wrptr+1;
+         mem[wrptr] <= #1 data;
+       end
+   
+   always @(posedge rdclk)
+     if(rdreq)
+       begin
+         rdptr <= #1 rdptr+1;
+`ifdef rd_req
+         q <= #1 mem[rdptr];
+`endif
+       end
+   
+`ifdef rd_req
+`else
+   assign q = mem[rdptr];
+`endif
+   
+   // Fix these
+   always @(posedge wrclk)
+     wrusedw <= #1 wrptr - rdptr;
+   
+   always @(posedge rdclk)
+     rdusedw <= #1 wrptr - rdptr;
+
+   assign wrempty = (wrusedw == 0);
+   assign wrfull = (wrusedw == depth-1);
+
+   assign rdempty = (rdusedw == 0);
+   assign rdfull = (rdusedw == depth-1);
+   
+endmodule // fifo_1c_1k
diff --git a/usrp/fpga/models/fifo_1c_2k.v b/usrp/fpga/models/fifo_1c_2k.v
new file mode 100644 (file)
index 0000000..5c3acfe
--- /dev/null
@@ -0,0 +1,81 @@
+// Model of FIFO in Altera
+
+module fifo_1c_2k ( data, wrreq, rdreq, rdclk, wrclk, aclr, q,
+                   rdfull, rdempty, rdusedw, wrfull, wrempty, wrusedw);
+
+   parameter width = 32;
+   parameter depth = 2048;
+   //`define rd_req 0;  // Set this to 0 for rd_ack, 1 for rd_req
+      
+   input [31:0] data;
+   input       wrreq;
+   input       rdreq;
+   input       rdclk;
+   input       wrclk;
+   input       aclr;
+   output [31:0] q;
+   output       rdfull;
+   output       rdempty;
+   output [10:0]  rdusedw;
+   output       wrfull;
+   output       wrempty;
+   output [10:0]  wrusedw;
+   
+   reg [width-1:0] mem [0:depth-1];
+   reg [7:0]      rdptr;
+   reg [7:0]      wrptr;
+   
+`ifdef rd_req
+   reg [width-1:0] q;
+`else
+   wire [width-1:0] q;
+`endif
+   
+   reg [10:0]      rdusedw;
+   reg [10:0]      wrusedw;
+   
+   integer         i;
+
+   always @( aclr)
+     begin
+       wrptr <= #1 0;
+       rdptr <= #1 0;
+       for(i=0;i<depth;i=i+1)
+         mem[i] <= #1 0;
+     end
+   
+   always @(posedge wrclk)
+     if(wrreq)
+       begin
+         wrptr <= #1 wrptr+1;
+         mem[wrptr] <= #1 data;
+       end
+   
+   always @(posedge rdclk)
+     if(rdreq)
+       begin
+         rdptr <= #1 rdptr+1;
+`ifdef rd_req
+         q <= #1 mem[rdptr];
+`endif
+       end
+   
+`ifdef rd_req
+`else
+   assign q = mem[rdptr];
+`endif
+   
+   // Fix these
+   always @(posedge wrclk)
+     wrusedw <= #1 wrptr - rdptr;
+   
+   always @(posedge rdclk)
+     rdusedw <= #1 wrptr - rdptr;
+
+   assign wrempty = (wrusedw == 0);
+   assign wrfull = (wrusedw == depth-1);
+   
+   assign rdempty = (rdusedw == 0);
+   assign rdfull = (rdusedw == depth-1);
+   
+endmodule // fifo_1c_2k
diff --git a/usrp/fpga/models/fifo_1c_4k.v b/usrp/fpga/models/fifo_1c_4k.v
new file mode 100644 (file)
index 0000000..3e5ddd0
--- /dev/null
@@ -0,0 +1,76 @@
+// Model of FIFO in Altera
+
+module fifo_1c_4k ( data, wrreq, rdreq, rdclk, wrclk, aclr, q,
+                   rdfull, rdempty, rdusedw, wrfull, wrempty, wrusedw);
+
+   parameter width = 32;
+   parameter depth = 4096;
+   //`define rd_req 0;  // Set this to 0 for rd_ack, 1 for rd_req
+      
+   input [31:0] data;
+   input       wrreq;
+   input       rdreq;
+   input       rdclk;
+   input       wrclk;
+   input       aclr;
+   output [31:0] q;
+   output       rdfull;
+   output       rdempty;
+   output [7:0]  rdusedw;
+   output       wrfull;
+   output       wrempty;
+   output [7:0]  wrusedw;
+   
+   reg [width-1:0] mem [0:depth-1];
+   reg [7:0]      rdptr;
+   reg [7:0]      wrptr;
+   
+`ifdef rd_req
+   reg [width-1:0] q;
+`else
+   wire [width-1:0] q;
+`endif
+   
+   reg [7:0]       rdusedw;
+   reg [7:0]       wrusedw;
+   
+   integer         i;
+
+   always @( aclr)
+     begin
+       wrptr <= #1 0;
+       rdptr <= #1 0;
+       for(i=0;i<depth;i=i+1)
+         mem[i] <= #1 0;
+     end
+   
+   always @(posedge wrclk)
+     if(wrreq)
+       begin
+         wrptr <= #1 wrptr+1;
+         mem[wrptr] <= #1 data;
+       end
+   
+   always @(posedge rdclk)
+     if(rdreq)
+       begin
+         rdptr <= #1 rdptr+1;
+`ifdef rd_req
+         q <= #1 mem[rdptr];
+`endif
+       end
+   
+`ifdef rd_req
+`else
+   assign q = mem[rdptr];
+`endif
+   
+   // Fix these
+   always @(posedge wrclk)
+     wrusedw <= #1 wrptr - rdptr;
+   
+   always @(posedge rdclk)
+     rdusedw <= #1 wrptr - rdptr;
+   
+   
+endmodule // fifo_1c_4k
diff --git a/usrp/fpga/models/fifo_1k.v b/usrp/fpga/models/fifo_1k.v
new file mode 100644 (file)
index 0000000..acfa4d1
--- /dev/null
@@ -0,0 +1,24 @@
+
+
+module fifo_1k
+  (  input [15:0] data,
+     input     wrreq,
+     input     rdreq,
+     input     rdclk,
+     input     wrclk,
+     input     aclr,
+     output [15:0] q,
+     output     rdfull,
+     output     rdempty,
+     output [9:0] rdusedw,
+     output     wrfull,
+     output     wrempty,
+     output [9:0]  wrusedw
+     );
+
+fifo #(.width(16),.depth(1024),.addr_bits(10)) fifo_1k 
+  ( data, wrreq, rdreq, rdclk, wrclk, aclr, q,
+    rdfull, rdempty, rdusedw, wrfull, wrempty, wrusedw);
+   
+endmodule // fifo_1k
+   
diff --git a/usrp/fpga/models/fifo_2k.v b/usrp/fpga/models/fifo_2k.v
new file mode 100644 (file)
index 0000000..50cd781
--- /dev/null
@@ -0,0 +1,24 @@
+
+
+module fifo_2k
+  (  input [15:0] data,
+     input     wrreq,
+     input     rdreq,
+     input     rdclk,
+     input     wrclk,
+     input     aclr,
+     output [15:0] q,
+     output     rdfull,
+     output     rdempty,
+     output [10:0] rdusedw,
+     output     wrfull,
+     output     wrempty,
+     output [10:0]  wrusedw
+     );
+
+fifo #(.width(16),.depth(2048),.addr_bits(11)) fifo_2k 
+  ( data, wrreq, rdreq, rdclk, wrclk, aclr, q,
+    rdfull, rdempty, rdusedw, wrfull, wrempty, wrusedw);
+   
+endmodule // fifo_1k
+   
diff --git a/usrp/fpga/models/fifo_4k.v b/usrp/fpga/models/fifo_4k.v
new file mode 100644 (file)
index 0000000..1fa4ba0
--- /dev/null
@@ -0,0 +1,24 @@
+
+
+module fifo_4k
+  (  input [15:0] data,
+     input     wrreq,
+     input     rdreq,
+     input     rdclk,
+     input     wrclk,
+     input     aclr,
+     output [15:0] q,
+     output     rdfull,
+     output     rdempty,
+     output [11:0] rdusedw,
+     output     wrfull,
+     output     wrempty,
+     output [11:0]  wrusedw
+     );
+
+fifo #(.width(16),.depth(4096),.addr_bits(12)) fifo_4k 
+  ( data, wrreq, rdreq, rdclk, wrclk, aclr, q,
+    rdfull, rdempty, rdusedw, wrfull, wrempty, wrusedw);
+   
+endmodule // fifo_1k
+   
diff --git a/usrp/fpga/models/fifo_4k_18.v b/usrp/fpga/models/fifo_4k_18.v
new file mode 100644 (file)
index 0000000..3efbf74
--- /dev/null
@@ -0,0 +1,26 @@
+
+
+module fifo_4k_18
+  (input  [17:0] data,
+   input         wrreq,
+   input         wrclk,
+   output       wrfull,
+   output       wrempty,
+   output [11:0] wrusedw,
+
+   output [17:0] q,
+   input         rdreq,
+   input         rdclk,
+   output       rdfull,
+   output       rdempty,
+   output [11:0] rdusedw,
+
+   input        aclr );
+
+fifo #(.width(18),.depth(4096),.addr_bits(12)) fifo_4k 
+  ( data, wrreq, rdreq, rdclk, wrclk, aclr, q,
+    rdfull, rdempty, rdusedw, wrfull, wrempty, wrusedw);
+   
+endmodule // fifo_4k_18
+
+   
diff --git a/usrp/fpga/models/pll.v b/usrp/fpga/models/pll.v
new file mode 100644 (file)
index 0000000..1d0cc79
--- /dev/null
@@ -0,0 +1,33 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2003 Matt Ettus
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+// Very simple model for the PLL in the RX buffer
+
+module pll (inclk0,c0);
+   
+   input         inclk0;
+   output        c0;
+
+   assign        c0 = #9 inclk0;
+      
+endmodule // pll
+
+
diff --git a/usrp/fpga/models/ssram.v b/usrp/fpga/models/ssram.v
new file mode 100644 (file)
index 0000000..fd73399
--- /dev/null
@@ -0,0 +1,38 @@
+
+// Model of Pipelined [ZBT] Synchronous SRAM
+
+module ssram(clock,addr,data,wen,ce);
+   parameter addrbits = 19;
+   parameter depth = 524288;
+
+   input clock;
+   input [addrbits-1:0] addr;
+   inout [35:0] data;
+   input wen;
+   input ce;
+
+   reg [35:0] ram [0:depth-1];
+   
+   reg read_d1,read_d2;
+   reg write_d1,write_d2;
+   reg [addrbits-1:0] addr_d1,addr_d2;
+   
+   always @(posedge clock)
+     begin
+       read_d1 <= #1 ce & ~wen;
+       write_d1 <= #1 ce & wen;
+       addr_d1 <= #1 addr;
+       read_d2 <= #1 read_d1;
+       write_d2 <= #1 write_d1;
+       addr_d2 <= #1 addr_d1;
+       if(write_d2)
+         ram[addr_d2] = data;
+     end // always @ (posedge clock)
+
+   data = (ce & read_d2) ? ram[addr_d2] : 36'bz;
+
+   always @(posedge clock)
+     if(~ce & (write_d2 | write_d1 | wen))
+       $display("$time ERROR:  RAM CE not asserted during write cycle");
+   
+endmodule // ssram
diff --git a/usrp/fpga/rbf/Makefile.in b/usrp/fpga/rbf/Makefile.in
new file mode 100644 (file)
index 0000000..e4a56a8
--- /dev/null
@@ -0,0 +1,896 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2005,2006,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+subdir = usrp/fpga/rbf
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+SUBDIRS = rev2 rev4
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am  $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  usrp/fpga/rbf/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  usrp/fpga/rbf/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+check-am: all-am
+check: check-recursive
+all-am: Makefile
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am
+
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/usrp/fpga/rbf/rev2/Makefile.in b/usrp/fpga/rbf/rev2/Makefile.in
new file mode 100644 (file)
index 0000000..23d98c0
--- /dev/null
@@ -0,0 +1,911 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(dist_rbf2data_DATA) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(top_srcdir)/Makefile.common
+subdir = usrp/fpga/rbf/rev2
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(rbf2datadir)"
+dist_rbf2dataDATA_INSTALL = $(INSTALL_DATA)
+DATA = $(dist_rbf2data_DATA)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+rbf2datadir = $(prefix)/share/usrp/rev2
+dist_rbf2data_DATA = \
+       std_2rxhb_2tx.rbf               \
+       std_4rx_0tx.rbf         \
+       inband_1rxhb_1tx.rbf    \
+       inband_2rxhb_2tx.rbf    \
+       multi_2rxhb_2tx.rbf
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  usrp/fpga/rbf/rev2/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  usrp/fpga/rbf/rev2/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-dist_rbf2dataDATA: $(dist_rbf2data_DATA)
+       @$(NORMAL_INSTALL)
+       test -z "$(rbf2datadir)" || $(MKDIR_P) "$(DESTDIR)$(rbf2datadir)"
+       @list='$(dist_rbf2data_DATA)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(dist_rbf2dataDATA_INSTALL) '$$d$$p' '$(DESTDIR)$(rbf2datadir)/$$f'"; \
+         $(dist_rbf2dataDATA_INSTALL) "$$d$$p" "$(DESTDIR)$(rbf2datadir)/$$f"; \
+       done
+
+uninstall-dist_rbf2dataDATA:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_rbf2data_DATA)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(rbf2datadir)/$$f'"; \
+         rm -f "$(DESTDIR)$(rbf2datadir)/$$f"; \
+       done
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile $(DATA)
+installdirs:
+       for dir in "$(DESTDIR)$(rbf2datadir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-dist_rbf2dataDATA
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-dist_rbf2dataDATA
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am \
+       install-dist_rbf2dataDATA install-dvi install-dvi-am \
+       install-exec install-exec-am install-html install-html-am \
+       install-info install-info-am install-man install-pdf \
+       install-pdf-am install-ps install-ps-am install-strip \
+       installcheck installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am uninstall uninstall-am \
+       uninstall-dist_rbf2dataDATA
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/usrp/fpga/rbf/rev4/Makefile.in b/usrp/fpga/rbf/rev4/Makefile.in
new file mode 100644 (file)
index 0000000..faa0a6c
--- /dev/null
@@ -0,0 +1,911 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(dist_rbf4data_DATA) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(top_srcdir)/Makefile.common
+subdir = usrp/fpga/rbf/rev4
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(rbf4datadir)"
+dist_rbf4dataDATA_INSTALL = $(INSTALL_DATA)
+DATA = $(dist_rbf4data_DATA)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+rbf4datadir = $(prefix)/share/usrp/rev4
+dist_rbf4data_DATA = \
+       std_2rxhb_2tx.rbf               \
+       std_4rx_0tx.rbf         \
+       inband_1rxhb_1tx.rbf    \
+       inband_2rxhb_2tx.rbf    \
+       multi_2rxhb_2tx.rbf
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  usrp/fpga/rbf/rev4/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  usrp/fpga/rbf/rev4/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-dist_rbf4dataDATA: $(dist_rbf4data_DATA)
+       @$(NORMAL_INSTALL)
+       test -z "$(rbf4datadir)" || $(MKDIR_P) "$(DESTDIR)$(rbf4datadir)"
+       @list='$(dist_rbf4data_DATA)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(dist_rbf4dataDATA_INSTALL) '$$d$$p' '$(DESTDIR)$(rbf4datadir)/$$f'"; \
+         $(dist_rbf4dataDATA_INSTALL) "$$d$$p" "$(DESTDIR)$(rbf4datadir)/$$f"; \
+       done
+
+uninstall-dist_rbf4dataDATA:
+       @$(NORMAL_UNINSTALL)
+       @list='$(dist_rbf4data_DATA)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(rbf4datadir)/$$f'"; \
+         rm -f "$(DESTDIR)$(rbf4datadir)/$$f"; \
+       done
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile $(DATA)
+installdirs:
+       for dir in "$(DESTDIR)$(rbf4datadir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-dist_rbf4dataDATA
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-dist_rbf4dataDATA
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic clean-libtool \
+       dist-hook distclean distclean-generic distclean-libtool \
+       distdir dvi dvi-am html html-am info info-am install \
+       install-am install-data install-data-am \
+       install-dist_rbf4dataDATA install-dvi install-dvi-am \
+       install-exec install-exec-am install-html install-html-am \
+       install-info install-info-am install-man install-pdf \
+       install-pdf-am install-ps install-ps-am install-strip \
+       installcheck installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am uninstall uninstall-am \
+       uninstall-dist_rbf4dataDATA
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/usrp/fpga/sdr_lib/adc_interface.v b/usrp/fpga/sdr_lib/adc_interface.v
new file mode 100644 (file)
index 0000000..f18ffc1
--- /dev/null
@@ -0,0 +1,71 @@
+
+
+`include "../../firmware/include/fpga_regs_common.v"
+`include "../../firmware/include/fpga_regs_standard.v"
+
+module adc_interface
+  (input clock, input reset, input enable,
+   input wire [6:0] serial_addr, input wire [31:0] serial_data, input serial_strobe,
+   input wire [11:0] rx_a_a, input wire [11:0] rx_b_a, input wire [11:0] rx_a_b, input wire [11:0] rx_b_b,
+   output wire [31:0] rssi_0, output wire [31:0] rssi_1, output wire [31:0] rssi_2, output wire [31:0] rssi_3,
+   output reg [15:0] ddc0_in_i, output reg [15:0] ddc0_in_q, 
+   output reg [15:0] ddc1_in_i, output reg [15:0] ddc1_in_q, 
+   output reg [15:0] ddc2_in_i, output reg [15:0] ddc2_in_q, 
+   output reg [15:0] ddc3_in_i, output reg [15:0] ddc3_in_q,
+   output wire [3:0] rx_numchan);
+      
+    // Buffer at input to chip
+   reg [11:0] adc0,adc1,adc2,adc3;
+   always @(posedge clock)
+     begin
+       adc0 <= #1 rx_a_a;
+       adc1 <= #1 rx_b_a;
+       adc2 <= #1 rx_a_b;
+       adc3 <= #1 rx_b_b;
+     end
+   
+   // then scale and subtract dc offset
+   wire [3:0] dco_en;
+   wire [15:0]         adc0_corr,adc1_corr,adc2_corr,adc3_corr;
+   
+   setting_reg #(`FR_DC_OFFSET_CL_EN) sr_dco_en(.clock(clock),.reset(reset),.strobe(serial_strobe),.addr(serial_addr),.in(serial_data),
+                                .out(dco_en));
+
+   rx_dcoffset #(`FR_ADC_OFFSET_0) rx_dcoffset0(.clock(clock),.enable(dco_en[0]),.reset(reset),.adc_in({adc0[11],adc0,3'b0}),.adc_out(adc0_corr),
+                                               .serial_addr(serial_addr),.serial_data(serial_data),.serial_strobe(serial_strobe));
+   rx_dcoffset #(`FR_ADC_OFFSET_1) rx_dcoffset1(.clock(clock),.enable(dco_en[1]),.reset(reset),.adc_in({adc1[11],adc1,3'b0}),.adc_out(adc1_corr),
+                                               .serial_addr(serial_addr),.serial_data(serial_data),.serial_strobe(serial_strobe));
+   rx_dcoffset #(`FR_ADC_OFFSET_2) rx_dcoffset2(.clock(clock),.enable(dco_en[2]),.reset(reset),.adc_in({adc2[11],adc2,3'b0}),.adc_out(adc2_corr),
+                                               .serial_addr(serial_addr),.serial_data(serial_data),.serial_strobe(serial_strobe));
+   rx_dcoffset #(`FR_ADC_OFFSET_3) rx_dcoffset3(.clock(clock),.enable(dco_en[3]),.reset(reset),.adc_in({adc3[11],adc3,3'b0}),.adc_out(adc3_corr),
+                                               .serial_addr(serial_addr),.serial_data(serial_data),.serial_strobe(serial_strobe));
+
+   // Level sensing for AGC
+   rssi rssi_block_0 (.clock(clock),.reset(reset),.enable(enable),.adc(adc0),.rssi(rssi_0[15:0]),.over_count(rssi_0[31:16]));
+   rssi rssi_block_1 (.clock(clock),.reset(reset),.enable(enable),.adc(adc1),.rssi(rssi_1[15:0]),.over_count(rssi_1[31:16]));
+   rssi rssi_block_2 (.clock(clock),.reset(reset),.enable(enable),.adc(adc2),.rssi(rssi_2[15:0]),.over_count(rssi_2[31:16]));
+   rssi rssi_block_3 (.clock(clock),.reset(reset),.enable(enable),.adc(adc3),.rssi(rssi_3[15:0]),.over_count(rssi_3[31:16]));
+   
+   // And mux to the appropriate outputs
+   wire [3:0]  ddc3mux,ddc2mux,ddc1mux,ddc0mux;
+   wire        rx_realsignals;
+   
+   setting_reg #(`FR_RX_MUX) sr_rxmux(.clock(clock),.reset(reset),.strobe(serial_strobe),.addr(serial_addr),
+                                     .in(serial_data),.out({ddc3mux,ddc2mux,ddc1mux,ddc0mux,rx_realsignals,rx_numchan[3:1]}));
+   assign      rx_numchan[0] = 1'b0;
+   
+   always @(posedge clock)
+     begin
+       ddc0_in_i <= #1 ddc0mux[1] ? (ddc0mux[0] ? adc3_corr : adc2_corr) : (ddc0mux[0] ? adc1_corr : adc0_corr);
+       ddc0_in_q <= #1 rx_realsignals ? 16'd0 : ddc0mux[3] ? (ddc0mux[2] ? adc3_corr : adc2_corr) : (ddc0mux[2] ? adc1_corr : adc0_corr);
+       ddc1_in_i <= #1 ddc1mux[1] ? (ddc1mux[0] ? adc3_corr : adc2_corr) : (ddc1mux[0] ? adc1_corr : adc0_corr);
+       ddc1_in_q <= #1 rx_realsignals ? 16'd0 : ddc1mux[3] ? (ddc1mux[2] ? adc3_corr : adc2_corr) : (ddc1mux[2] ? adc1_corr : adc0_corr);
+       ddc2_in_i <= #1 ddc2mux[1] ? (ddc2mux[0] ? adc3_corr : adc2_corr) : (ddc2mux[0] ? adc1_corr : adc0_corr);
+       ddc2_in_q <= #1 rx_realsignals ? 16'd0 : ddc2mux[3] ? (ddc2mux[2] ? adc3_corr : adc2_corr) : (ddc2mux[2] ? adc1_corr : adc0_corr);
+       ddc3_in_i <= #1 ddc3mux[1] ? (ddc3mux[0] ? adc3_corr : adc2_corr) : (ddc3mux[0] ? adc1_corr : adc0_corr);
+       ddc3_in_q <= #1 rx_realsignals ? 16'd0 : ddc3mux[3] ? (ddc3mux[2] ? adc3_corr : adc2_corr) : (ddc3mux[2] ? adc1_corr : adc0_corr);
+     end
+
+endmodule // adc_interface
+
+   
diff --git a/usrp/fpga/sdr_lib/atr_delay.v b/usrp/fpga/sdr_lib/atr_delay.v
new file mode 100644 (file)
index 0000000..bbba9e2
--- /dev/null
@@ -0,0 +1,83 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2007 Corgan Enterprises LLC
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+module atr_delay(clk_i,rst_i,ena_i,tx_empty_i,tx_delay_i,rx_delay_i,atr_tx_o);
+   input        clk_i;
+   input        rst_i;
+   input        ena_i;
+   input        tx_empty_i;
+   input [11:0] tx_delay_i;
+   input [11:0] rx_delay_i;
+   output       atr_tx_o;
+
+   reg [3:0]   state;
+   reg [11:0]  count;
+
+   `define ST_RX_DELAY 4'b0001
+   `define ST_RX       4'b0010
+   `define ST_TX_DELAY 4'b0100
+   `define ST_TX       4'b1000
+
+   always @(posedge clk_i)
+     if (rst_i | ~ena_i)
+       begin
+         state <= `ST_RX;
+         count <= 12'b0;
+       end
+     else
+       case (state)
+        `ST_RX:
+          if (!tx_empty_i)
+            begin
+               state <= `ST_TX_DELAY;
+               count <= tx_delay_i;
+            end
+
+        `ST_TX_DELAY:
+          if (count == 0)
+            state <= `ST_TX;
+          else
+            count <= count - 1;
+
+        `ST_TX:
+          if (tx_empty_i)
+            begin
+               state <= `ST_RX_DELAY;
+               count <= rx_delay_i;
+            end
+
+        `ST_RX_DELAY:
+          if (count == 0)
+            state <= `ST_RX;
+          else
+            count <= count - 1;
+        
+        default:               // Error
+          begin
+             state <= `ST_RX;
+             count <= 0;
+          end
+       endcase
+   
+   assign atr_tx_o = (state == `ST_TX) | (state == `ST_RX_DELAY);
+   
+endmodule // atr_delay
+
diff --git a/usrp/fpga/sdr_lib/bidir_reg.v b/usrp/fpga/sdr_lib/bidir_reg.v
new file mode 100644 (file)
index 0000000..b124412
--- /dev/null
@@ -0,0 +1,29 @@
+// Bidirectional registers
+
+module bidir_reg
+  ( inout wire [15:0] tristate,
+    input wire [15:0] oe,
+    input wire [15:0] reg_val );
+
+   // This would be much cleaner if all the tools
+   // supported "for generate"........
+
+   assign       tristate[0] = oe[0] ? reg_val[0] : 1'bz;
+   assign       tristate[1] = oe[1] ? reg_val[1] : 1'bz;
+   assign       tristate[2] = oe[2] ? reg_val[2] : 1'bz;
+   assign       tristate[3] = oe[3] ? reg_val[3] : 1'bz;
+   assign       tristate[4] = oe[4] ? reg_val[4] : 1'bz;
+   assign       tristate[5] = oe[5] ? reg_val[5] : 1'bz;
+   assign       tristate[6] = oe[6] ? reg_val[6] : 1'bz;
+   assign       tristate[7] = oe[7] ? reg_val[7] : 1'bz;
+   assign       tristate[8] = oe[8] ? reg_val[8] : 1'bz;
+   assign       tristate[9] = oe[9] ? reg_val[9] : 1'bz;
+   assign       tristate[10] = oe[10] ? reg_val[10] : 1'bz;
+   assign       tristate[11] = oe[11] ? reg_val[11] : 1'bz;
+   assign       tristate[12] = oe[12] ? reg_val[12] : 1'bz;
+   assign       tristate[13] = oe[13] ? reg_val[13] : 1'bz;
+   assign       tristate[14] = oe[14] ? reg_val[14] : 1'bz;
+   assign       tristate[15] = oe[15] ? reg_val[15] : 1'bz;
+   
+endmodule // bidir_reg
+
diff --git a/usrp/fpga/sdr_lib/cic_dec_shifter.v b/usrp/fpga/sdr_lib/cic_dec_shifter.v
new file mode 100644 (file)
index 0000000..a213303
--- /dev/null
@@ -0,0 +1,100 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2003 Matt Ettus
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+
+// NOTE   This only works for N=4, max decim rate of 128
+// NOTE   signal "rate" is ONE LESS THAN the actual rate
+
+module cic_dec_shifter(rate,signal_in,signal_out);
+   parameter bw = 16;
+   parameter maxbitgain = 28;
+   
+   input [7:0] rate;
+   input       wire [bw+maxbitgain-1:0] signal_in;
+   output      reg [bw-1:0] signal_out;
+
+   function [4:0] bitgain;
+      input [7:0] rate;
+      case(rate)
+       // Exact Cases -- N*log2(rate)
+       8'd4 : bitgain = 8;
+       8'd8 : bitgain = 12;
+       8'd16 : bitgain = 16;
+       8'd32 : bitgain = 20;
+       8'd64 : bitgain = 24;
+       8'd128 : bitgain = 28;
+       
+       // Nearest without overflow -- ceil(N*log2(rate))
+       8'd5 : bitgain = 10;
+       8'd6 : bitgain = 11;
+       8'd7 : bitgain = 12;
+       8'd9 : bitgain = 13;
+       8'd10,8'd11 : bitgain = 14;
+       8'd12,8'd13 : bitgain = 15;
+       8'd14,8'd15 : bitgain = 16;
+       8'd17,8'd18,8'd19 : bitgain = 17;
+       8'd20,8'd21,8'd22 : bitgain = 18;
+       8'd23,8'd24,8'd25,8'd26 : bitgain = 19;
+       8'd27,8'd28,8'd29,8'd30,8'd31 : bitgain = 20;
+       8'd33,8'd34,8'd35,8'd36,8'd37,8'd38 : bitgain = 21;
+       8'd39,8'd40,8'd41,8'd42,8'd43,8'd44,8'd45 : bitgain = 22;
+       8'd46,8'd47,8'd48,8'd49,8'd50,8'd51,8'd52,8'd53 : bitgain = 23;
+       8'd54,8'd55,8'd56,8'd57,8'd58,8'd59,8'd60,8'd61,8'd62,8'd63 : bitgain = 24;
+       8'd65,8'd66,8'd67,8'd68,8'd69,8'd70,8'd71,8'd72,8'd73,8'd74,8'd75,8'd76 : bitgain = 25;
+       8'd77,8'd78,8'd79,8'd80,8'd81,8'd82,8'd83,8'd84,8'd85,8'd86,8'd87,8'd88,8'd89,8'd90 : bitgain = 26;
+       8'd91,8'd92,8'd93,8'd94,8'd95,8'd96,8'd97,8'd98,8'd99,8'd100,8'd101,8'd102,8'd103,8'd104,8'd105,8'd106,8'd107 : bitgain = 27;
+       default : bitgain = 28;
+      endcase // case(rate)
+   endfunction // bitgain
+   
+   wire [4:0]    shift = bitgain(rate+1);
+   
+   // We should be able to do this, but can't ....
+   // assign     signal_out = signal_in[shift+bw-1:shift];
+   
+   always @*
+     case(shift)
+       5'd8  : signal_out = signal_in[8+bw-1:8];
+       5'd10 : signal_out = signal_in[10+bw-1:10];
+       5'd11 : signal_out = signal_in[11+bw-1:11];
+       5'd12 : signal_out = signal_in[12+bw-1:12];
+       5'd13 : signal_out = signal_in[13+bw-1:13];
+       5'd14 : signal_out = signal_in[14+bw-1:14];
+       5'd15 : signal_out = signal_in[15+bw-1:15];
+       5'd16 : signal_out = signal_in[16+bw-1:16];
+       5'd17 : signal_out = signal_in[17+bw-1:17];
+       5'd18 : signal_out = signal_in[18+bw-1:18];
+       5'd19 : signal_out = signal_in[19+bw-1:19];
+       5'd20 : signal_out = signal_in[20+bw-1:20];
+       5'd21 : signal_out = signal_in[21+bw-1:21];
+       5'd22 : signal_out = signal_in[22+bw-1:22];
+       5'd23 : signal_out = signal_in[23+bw-1:23];
+       5'd24 : signal_out = signal_in[24+bw-1:24];
+       5'd25 : signal_out = signal_in[25+bw-1:25];
+       5'd26 : signal_out = signal_in[26+bw-1:26];
+       5'd27 : signal_out = signal_in[27+bw-1:27];
+       5'd28 : signal_out = signal_in[28+bw-1:28];
+       
+       default : signal_out = signal_in[28+bw-1:28];
+     endcase // case(shift)
+
+endmodule // cic_dec_shifter
+
diff --git a/usrp/fpga/sdr_lib/cic_decim.v b/usrp/fpga/sdr_lib/cic_decim.v
new file mode 100755 (executable)
index 0000000..8c44f00
--- /dev/null
@@ -0,0 +1,93 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2003 Matt Ettus
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+
+module cic_decim
+  ( clock,reset,enable,rate,strobe_in,strobe_out,signal_in,signal_out);
+   parameter bw = 16;
+   parameter N = 4;
+   parameter log2_of_max_rate = 7;
+   parameter maxbitgain = N * log2_of_max_rate;
+   
+   input clock;
+   input reset;
+   input enable;
+   input [7:0] rate;
+   input strobe_in,strobe_out; 
+   input [bw-1:0] signal_in;
+   output [bw-1:0] signal_out;
+   reg [bw-1:0] signal_out;
+   wire [bw-1:0] signal_out_unreg;
+   
+   wire [bw+maxbitgain-1:0] signal_in_ext;
+   reg [bw+maxbitgain-1:0]  integrator [0:N-1];
+   reg [bw+maxbitgain-1:0] differentiator [0:N-1];
+   reg [bw+maxbitgain-1:0] pipeline [0:N-1];
+   reg [bw+maxbitgain-1:0] sampler;
+   
+   integer i;
+   
+   sign_extend #(bw,bw+maxbitgain) 
+      ext_input (.in(signal_in),.out(signal_in_ext));
+   
+   always @(posedge clock)
+     if(reset)
+       for(i=0;i<N;i=i+1)
+        integrator[i] <= #1 0;
+     else if (enable && strobe_in)
+       begin
+         integrator[0] <= #1 integrator[0] + signal_in_ext;
+         for(i=1;i<N;i=i+1)
+           integrator[i] <= #1 integrator[i] + integrator[i-1];
+       end     
+   
+   always @(posedge clock)
+     if(reset)
+       begin
+         sampler <= #1 0;
+         for(i=0;i<N;i=i+1)
+           begin
+              pipeline[i] <= #1 0;
+              differentiator[i] <= #1 0;
+           end
+       end
+     else if (enable && strobe_out)
+       begin
+         sampler <= #1 integrator[N-1];
+         differentiator[0] <= #1 sampler;
+         pipeline[0] <= #1 sampler - differentiator[0];
+         for(i=1;i<N;i=i+1)
+           begin
+              differentiator[i] <= #1 pipeline[i-1];
+              pipeline[i] <= #1 pipeline[i-1] - differentiator[i];
+           end
+       end // if (enable && strobe_out)
+      
+   wire [bw+maxbitgain-1:0] signal_out_unnorm = pipeline[N-1];
+
+   cic_dec_shifter #(bw)
+       cic_dec_shifter(rate,signal_out_unnorm,signal_out_unreg);
+
+   always @(posedge clock)
+     signal_out <= #1 signal_out_unreg;
+   
+endmodule // cic_decim
+
diff --git a/usrp/fpga/sdr_lib/cic_int_shifter.v b/usrp/fpga/sdr_lib/cic_int_shifter.v
new file mode 100644 (file)
index 0000000..a8a3276
--- /dev/null
@@ -0,0 +1,94 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2003 Matt Ettus
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+
+// NOTE   This only works for N=4, max interp rate of 128
+// NOTE   signal "rate" is ONE LESS THAN the actual rate
+
+module cic_int_shifter(rate,signal_in,signal_out);
+   parameter bw = 16;
+   parameter maxbitgain = 21;
+   
+   input [7:0] rate;
+   input       wire [bw+maxbitgain-1:0] signal_in;
+   output      reg [bw-1:0] signal_out;
+
+   function [4:0] bitgain;
+      input [7:0] rate;
+      case(rate)
+       // Exact Cases
+       8'd4 : bitgain = 6;
+       8'd8 : bitgain = 9;
+       8'd16 : bitgain = 12;
+       8'd32 : bitgain = 15;
+       8'd64 : bitgain = 18;
+       8'd128 : bitgain = 21;
+       
+       // Nearest without overflow
+       8'd5 : bitgain = 7;
+       8'd6 : bitgain = 8;
+       8'd7 : bitgain = 9;
+       8'd9,8'd10 : bitgain = 10;
+       8'd11,8'd12 : bitgain = 11;
+       8'd13,8'd14,8'd15 : bitgain = 12;
+       8'd17,8'd18,8'd19,8'd20 : bitgain = 13;
+       8'd21,8'd22,8'd23,8'd24,8'd25 : bitgain = 14;
+       8'd26,8'd27,8'd28,8'd29,8'd30,8'd31 : bitgain = 15;
+       8'd33,8'd34,8'd35,8'd36,8'd37,8'd38,8'd39,8'd40 : bitgain = 16;
+       8'd41,8'd42,8'd43,8'd44,8'd45,8'd46,8'd47,8'd48,8'd49,8'd50 : bitgain = 17;
+       8'd51,8'd52,8'd53,8'd54,8'd55,8'd56,8'd57,8'd58,8'd59,8'd60,8'd61,8'd62,8'd63 : bitgain = 18;
+       8'd65,8'd66,8'd67,8'd68,8'd69,8'd70,8'd71,8'd72,8'd73,8'd74,8'd75,8'd76,8'd77,8'd78,8'd79,8'd80 : bitgain = 19;
+       8'd81,8'd82,8'd83,8'd84,8'd85,8'd86,8'd87,8'd88,8'd89,8'd90,8'd91,8'd92,8'd93,8'd94,8'd95,8'd96,8'd97,8'd98,8'd99,8'd100,8'd101 : bitgain = 20;
+       
+       default : bitgain = 21;
+      endcase // case(rate)
+   endfunction // bitgain
+   
+   wire [4:0]    shift = bitgain(rate+1);
+   
+   // We should be able to do this, but can't ....
+   // assign     signal_out = signal_in[shift+bw-1:shift];
+   
+   always @*
+     case(shift)
+       5'd6  : signal_out = signal_in[6+bw-1:6];
+       5'd9  : signal_out = signal_in[9+bw-1:9];
+       5'd12 : signal_out = signal_in[12+bw-1:12];
+       5'd15 : signal_out = signal_in[15+bw-1:15];
+       5'd18 : signal_out = signal_in[18+bw-1:18];
+       5'd21 : signal_out = signal_in[21+bw-1:21];
+       
+       5'd7  : signal_out = signal_in[7+bw-1:7];
+       5'd8  : signal_out = signal_in[8+bw-1:8];
+       5'd10 : signal_out = signal_in[10+bw-1:10];
+       5'd11 : signal_out = signal_in[11+bw-1:11];
+       5'd13 : signal_out = signal_in[13+bw-1:13];
+       5'd14 : signal_out = signal_in[14+bw-1:14];
+       5'd16 : signal_out = signal_in[16+bw-1:16];
+       5'd17 : signal_out = signal_in[17+bw-1:17];
+       5'd19 : signal_out = signal_in[19+bw-1:19];
+       5'd20 : signal_out = signal_in[20+bw-1:20];
+       
+       default : signal_out = signal_in[21+bw-1:21];
+     endcase // case(shift)
+
+endmodule // cic_int_shifter
+
diff --git a/usrp/fpga/sdr_lib/cic_interp.v b/usrp/fpga/sdr_lib/cic_interp.v
new file mode 100755 (executable)
index 0000000..32d1068
--- /dev/null
@@ -0,0 +1,90 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2003 Matt Ettus
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+
+module cic_interp(clock,reset,enable,rate,strobe_in,strobe_out,signal_in,signal_out);
+   parameter bw = 16;
+   parameter N = 4;
+   parameter log2_of_max_rate = 7;
+   parameter maxbitgain = (N-1)*log2_of_max_rate;
+   
+   input clock;
+   input reset;
+   input enable;
+   input [7:0] rate;
+   input strobe_in,strobe_out; 
+   input [bw-1:0] signal_in;
+   wire [bw-1:0]       signal_in;
+   output [bw-1:0] signal_out;
+   wire [bw-1:0]   signal_out;
+
+   wire [bw+maxbitgain-1:0] signal_in_ext;
+   reg [bw+maxbitgain-1:0] integrator [0:N-1];
+   reg [bw+maxbitgain-1:0] differentiator [0:N-1];
+   reg [bw+maxbitgain-1:0] pipeline [0:N-1];
+
+   integer i;
+
+   sign_extend #(bw,bw+maxbitgain) 
+      ext_input (.in(signal_in),.out(signal_in_ext));
+
+   wire    clear_me = reset | ~enable;
+   //FIXME Note that this section has pipe and diff reversed
+   // It still works, but is confusing
+   always @(posedge clock)
+     if(clear_me)
+       for(i=0;i<N;i=i+1)
+        integrator[i] <= #1 0;
+     else if (enable & strobe_out)
+       begin
+         if(strobe_in)
+           integrator[0] <= #1 integrator[0] + pipeline[N-1];
+         for(i=1;i<N;i=i+1)
+           integrator[i] <= #1 integrator[i] + integrator[i-1];
+       end
+   
+   always @(posedge clock)
+     if(clear_me)
+       begin
+         for(i=0;i<N;i=i+1)
+           begin
+              differentiator[i] <= #1 0;
+              pipeline[i] <= #1 0;
+           end
+       end
+     else if (enable && strobe_in)
+       begin
+         differentiator[0] <= #1 signal_in_ext;
+         pipeline[0] <= #1 signal_in_ext - differentiator[0];
+         for(i=1;i<N;i=i+1)
+           begin
+              differentiator[i] <= #1 pipeline[i-1];
+              pipeline[i] <= #1 pipeline[i-1] - differentiator[i];
+           end
+       end
+   
+   wire [bw+maxbitgain-1:0] signal_out_unnorm = integrator[N-1];
+
+   cic_int_shifter #(bw)
+       cic_int_shifter(rate,signal_out_unnorm,signal_out);
+   
+endmodule // cic_interp
+
diff --git a/usrp/fpga/sdr_lib/clk_divider.v b/usrp/fpga/sdr_lib/clk_divider.v
new file mode 100755 (executable)
index 0000000..fdef234
--- /dev/null
@@ -0,0 +1,43 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2003 Matt Ettus
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+
+module clk_divider(input reset, input wire in_clk,output reg out_clk, input [7:0] ratio);
+   reg [7:0] counter;
+   
+   // FIXME maybe should use PLL or switch to double edge version      
+       
+   always @(posedge in_clk or posedge reset)
+     if(reset)
+       counter <= #1 8'd0;
+     else if(counter == 0)
+       counter <= #1 ratio[7:1] + (ratio[0] & out_clk) - 8'b1;
+     else
+       counter <= #1 counter-8'd1;
+   
+   always @(posedge in_clk or posedge reset)
+     if(reset)
+       out_clk <= #1 1'b0;
+     else if(counter == 0)
+       out_clk <= #1 ~out_clk;
+   
+endmodule // clk_divider
+
diff --git a/usrp/fpga/sdr_lib/cordic.v b/usrp/fpga/sdr_lib/cordic.v
new file mode 100755 (executable)
index 0000000..ea41194
--- /dev/null
@@ -0,0 +1,109 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2003 Matt Ettus
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+module cordic(clock, reset, enable, xi, yi, zi, xo, yo, zo );
+   parameter bitwidth = 16;
+   parameter zwidth = 16;
+   
+   input clock;
+   input reset;
+   input enable;
+   input [bitwidth-1:0] xi, yi;
+   output [bitwidth-1:0] xo, yo;
+   input [zwidth-1:0] zi;
+   output [zwidth-1:0] zo;
+   
+   reg [bitwidth+1:0]   x0,y0;
+   reg [zwidth-2:0]     z0;
+   wire [bitwidth+1:0]          x1,x2,x3,x4,x5,x6,x7,x8,x9,x10,x11,x12;
+   wire [bitwidth+1:0]          y1,y2,y3,y4,y5,y6,y7,y8,y9,y10,y11,y12;
+   wire [zwidth-2:0] z1,z2,z3,z4,z5,z6,z7,z8,z9,z10,z11,z12;
+   
+   wire [bitwidth+1:0] xi_ext = {{2{xi[bitwidth-1]}},xi};
+   wire [bitwidth+1:0] yi_ext = {{2{yi[bitwidth-1]}},yi};
+
+   // Compute consts.  Would be easier if vlog had atan...
+   // see gen_cordic_consts.py
+   
+`define c00 16'd8192
+`define c01 16'd4836
+`define c02 16'd2555
+`define c03 16'd1297
+`define c04 16'd651
+`define c05 16'd326
+`define c06 16'd163
+`define c07 16'd81
+`define c08 16'd41
+`define c09 16'd20
+`define c10 16'd10
+`define c11 16'd5
+`define c12 16'd3
+`define c13 16'd1
+`define c14 16'd1
+`define c15 16'd0
+`define c16 16'd0
+
+   always @(posedge clock)
+     if(reset)
+       begin
+         x0   <= #1 0; y0   <= #1 0;  z0   <= #1 0;
+       end
+     else if(enable)
+       begin
+         z0 <= #1 zi[zwidth-2:0];
+         case (zi[zwidth-1:zwidth-2])
+           2'b00, 2'b11 : 
+             begin
+                x0 <= #1 xi_ext;
+                y0 <= #1 yi_ext;
+             end
+           2'b01, 2'b10 :
+             begin
+                x0 <= #1 -xi_ext;
+                y0 <= #1 -yi_ext;
+             end
+         endcase // case(zi[zwidth-1:zwidth-2])
+       end // else: !if(reset)
+   
+   // FIXME need to handle variable number of stages
+   // FIXME should be able to narrow zwidth but quartus makes it bigger...
+   // This would be easier if arrays worked better in vlog...
+   cordic_stage #(bitwidth+2,zwidth-1,0) cordic_stage0 (clock,reset,enable,x0,y0,z0,`c00,x1,y1,z1);
+   cordic_stage #(bitwidth+2,zwidth-1,1) cordic_stage1 (clock,reset,enable,x1,y1,z1,`c01,x2,y2,z2);
+   cordic_stage #(bitwidth+2,zwidth-1,2) cordic_stage2 (clock,reset,enable,x2,y2,z2,`c02,x3,y3,z3);
+   cordic_stage #(bitwidth+2,zwidth-1,3) cordic_stage3 (clock,reset,enable,x3,y3,z3,`c03,x4,y4,z4);
+   cordic_stage #(bitwidth+2,zwidth-1,4) cordic_stage4 (clock,reset,enable,x4,y4,z4,`c04,x5,y5,z5);
+   cordic_stage #(bitwidth+2,zwidth-1,5) cordic_stage5 (clock,reset,enable,x5,y5,z5,`c05,x6,y6,z6);
+   cordic_stage #(bitwidth+2,zwidth-1,6) cordic_stage6 (clock,reset,enable,x6,y6,z6,`c06,x7,y7,z7);
+   cordic_stage #(bitwidth+2,zwidth-1,7) cordic_stage7 (clock,reset,enable,x7,y7,z7,`c07,x8,y8,z8);
+   cordic_stage #(bitwidth+2,zwidth-1,8) cordic_stage8 (clock,reset,enable,x8,y8,z8,`c08,x9,y9,z9);
+   cordic_stage #(bitwidth+2,zwidth-1,9) cordic_stage9 (clock,reset,enable,x9,y9,z9,`c09,x10,y10,z10);
+   cordic_stage #(bitwidth+2,zwidth-1,10) cordic_stage10 (clock,reset,enable,x10,y10,z10,`c10,x11,y11,z11);
+   cordic_stage #(bitwidth+2,zwidth-1,11) cordic_stage11 (clock,reset,enable,x11,y11,z11,`c11,x12,y12,z12);
+
+   assign xo = x12[bitwidth:1];  
+   assign yo = y12[bitwidth:1];
+   //assign xo = x12[bitwidth+1:2];  // CORDIC gain is ~1.6, plus gain from rotating vectors
+   //assign yo = y12[bitwidth+1:2];
+   assign zo = z12;              
+
+endmodule // cordic
+
diff --git a/usrp/fpga/sdr_lib/cordic_stage.v b/usrp/fpga/sdr_lib/cordic_stage.v
new file mode 100755 (executable)
index 0000000..d44998b
--- /dev/null
@@ -0,0 +1,60 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2003 Matt Ettus
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+module cordic_stage( clock, reset, enable, xi,yi,zi,constant,xo,yo,zo);
+   parameter bitwidth = 16;
+   parameter zwidth = 16;
+   parameter shift = 1;
+   
+   input     clock;
+   input     reset;
+   input     enable;
+   input [bitwidth-1:0] xi,yi;
+   input [zwidth-1:0] zi;
+   input [zwidth-1:0] constant;
+   output [bitwidth-1:0] xo,yo;
+   output [zwidth-1:0] zo;
+   
+   wire z_is_pos = ~zi[zwidth-1];
+
+   reg [bitwidth-1:0]   xo,yo;
+   reg [zwidth-1:0] zo;
+   
+   always @(posedge clock)
+     if(reset)
+       begin
+         xo <= #1 0;
+         yo <= #1 0;
+         zo <= #1 0;
+       end
+     else if(enable)
+       begin
+         xo <= #1 z_is_pos ?   
+               xi - {{shift+1{yi[bitwidth-1]}},yi[bitwidth-2:shift]} :
+               xi + {{shift+1{yi[bitwidth-1]}},yi[bitwidth-2:shift]};
+         yo <= #1 z_is_pos ?   
+               yi + {{shift+1{xi[bitwidth-1]}},xi[bitwidth-2:shift]} :
+               yi - {{shift+1{xi[bitwidth-1]}},xi[bitwidth-2:shift]};
+         zo <= #1 z_is_pos ?   
+               zi - constant :
+               zi + constant;
+       end
+endmodule
diff --git a/usrp/fpga/sdr_lib/ddc.v b/usrp/fpga/sdr_lib/ddc.v
new file mode 100755 (executable)
index 0000000..0d4da9b
--- /dev/null
@@ -0,0 +1,97 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2003 Matt Ettus
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+
+
+// DDC block
+
+module ddc(input clock,
+                       input reset,
+                       input enable,
+                       input [3:0] rate1,
+                       input [3:0] rate2,
+                       output strobe,
+                       input [31:0] freq,
+                       input [15:0] i_in,
+                       input [15:0] q_in,
+                       output [15:0] i_out,
+                       output [15:0] q_out
+                       );
+   parameter bw = 16;
+   parameter zw = 16;
+
+       wire [15:0] i_cordic_out, q_cordic_out;
+       wire [31:0] phase;
+
+       wire strobe1, strobe2;
+       reg [3:0] strobe_ctr1,strobe_ctr2;
+
+       always @(posedge clock)
+               if(reset | ~enable)
+                       strobe_ctr2 <= #1 4'd0;
+               else if(strobe2)
+                       strobe_ctr2 <= #1 4'd0;
+               else    
+                       strobe_ctr2 <= #1 strobe_ctr2 + 4'd1;
+                               
+       always @(posedge clock)
+               if(reset | ~enable)
+                       strobe_ctr1 <= #1 4'd0;
+               else if(strobe1)
+                       strobe_ctr1 <= #1 4'd0;
+               else if(strobe2)
+                       strobe_ctr1 <= #1 strobe_ctr1 + 4'd1;
+                               
+
+       assign strobe2 = enable & ( strobe_ctr2 == rate2 );
+       assign strobe1 = strobe2 & ( strobe_ctr1 == rate1 );
+
+       assign strobe = strobe1;
+
+       function [2:0] log_ceil;
+       input [3:0] val;
+       
+               log_ceil = val[3] ? 3'd4 : val[2] ? 3'd3 : val[1] ? 3'd2 : 3'd1; 
+       endfunction     
+       
+       wire [2:0] shift1 = log_ceil(rate1);
+       wire [2:0] shift2 = log_ceil(rate2);
+       
+       cordic #(.bitwidth(bw),.zwidth(zw),.stages(16))
+               cordic(.clock(clock), .reset(reset), .enable(enable),
+                       .xi(i_in), .yi(q_in), .zi(phase[31:32-zw]), 
+                       .xo(i_cordic_out), .yo(q_cordic_out), .zo()  );
+               
+       cic_decim_2stage #(.bw(bw),.N(4)) 
+               decim_i(.clock(clock),.reset(reset),.enable(enable),
+                       .strobe1(1'b1),.strobe2(strobe2),.strobe3(strobe1),.shift1(shift2),.shift2(shift1),
+                       .signal_in(i_cordic_out),.signal_out(i_out));
+                       
+       cic_decim_2stage #(.bw(bw),.N(4)) 
+               decim_q(.clock(clock),.reset(reset),.enable(enable),
+                       .strobe1(1'b1),.strobe2(strobe2),.strobe3(strobe1),.shift1(shift2),.shift2(shift1),
+                       .signal_in(q_cordic_out),.signal_out(q_out));
+       
+       phase_acc #(.resolution(32))
+               nco (.clk(clock),.reset(reset),.enable(enable),
+                       .freq(freq),.phase(phase));
+               
+endmodule
diff --git a/usrp/fpga/sdr_lib/dpram.v b/usrp/fpga/sdr_lib/dpram.v
new file mode 100644 (file)
index 0000000..28af901
--- /dev/null
@@ -0,0 +1,47 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2003 Matt Ettus
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+
+
+module dpram(wclk,wdata,waddr,wen,rclk,rdata,raddr);
+   parameter depth = 4;
+   parameter width = 16;
+   parameter size = 16;
+   
+   input wclk;
+   input [width-1:0] wdata;
+   input [depth-1:0] waddr;
+   input            wen;
+
+   input rclk;
+   output reg [width-1:0] rdata;
+   input [depth-1:0]  raddr;
+   
+   reg [width-1:0]    ram [0:size-1];
+   
+   always @(posedge wclk)
+     if(wen)
+       ram[waddr] <= #1 wdata;
+   
+   always @(posedge rclk)
+     rdata <= #1 ram[raddr];
+   
+endmodule // dpram
diff --git a/usrp/fpga/sdr_lib/duc.v b/usrp/fpga/sdr_lib/duc.v
new file mode 100755 (executable)
index 0000000..6dac95b
--- /dev/null
@@ -0,0 +1,95 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2003 Matt Ettus
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+// DUC block
+
+module duc(input clock,
+                       input reset,
+                       input enable,
+                       input [3:0] rate1,
+                       input [3:0] rate2,
+                       output strobe,
+                       input [31:0] freq,
+                       input [15:0] i_in,
+                       input [15:0] q_in,
+                       output [15:0] i_out,
+                       output [15:0] q_out
+                       );
+   parameter bw = 16;
+   parameter zw = 16;
+   
+       wire [15:0] i_interp_out, q_interp_out;
+       wire [31:0] phase;
+
+       wire strobe1, strobe2;
+       reg [3:0] strobe_ctr1,strobe_ctr2;
+
+       always @(posedge clock)
+               if(reset | ~enable)
+                       strobe_ctr2 <= #1 4'd0;
+               else if(strobe2)
+                       strobe_ctr2 <= #1 4'd0;
+               else    
+                       strobe_ctr2 <= #1 strobe_ctr2 + 4'd1;
+                               
+       always @(posedge clock)
+               if(reset | ~enable)
+                       strobe_ctr1 <= #1 4'd0;
+               else if(strobe1)
+                       strobe_ctr1 <= #1 4'd0;
+               else if(strobe2)
+                       strobe_ctr1 <= #1 strobe_ctr1 + 4'd1;
+                               
+
+       assign strobe2 = enable & ( strobe_ctr2 == rate2 );
+       assign strobe1 = strobe2 & ( strobe_ctr1 == rate1 );
+
+       assign strobe = strobe1;
+
+       function [2:0] log_ceil;
+       input [3:0] val;
+       
+               log_ceil = val[3] ? 3'd4 : val[2] ? 3'd3 : val[1] ? 3'd2 : 3'd1; 
+       endfunction     
+       
+       wire [2:0] shift1 = log_ceil(rate1);
+       wire [2:0] shift2 = log_ceil(rate2);
+       
+       cordic #(.bitwidth(bw),.zwidth(zw),.stages(16))
+               cordic(.clock(clock), .reset(reset), .enable(enable),
+                       .xi(i_interp_out), .yi(q_interp_out), .zi(phase[31:32-zw]), 
+                       .xo(i_out), .yo(q_out), .zo()  );
+               
+       cic_interp_2stage #(.bw(bw),.N(4)) 
+               interp_i(.clock(clock),.reset(reset),.enable(enable),
+                       .strobe1(strobe1),.strobe2(strobe2),.strobe3(1'b1),.shift1(shift1),.shift2(shift2),
+                       .signal_in(i_in),.signal_out(i_interp_out));
+
+       cic_interp_2stage #(.bw(bw),.N(4)) 
+               interp_q(.clock(clock),.reset(reset),.enable(enable),
+                       .strobe1(strobe1),.strobe2(strobe2),.strobe3(1'b1),.shift1(shift1),.shift2(shift2),
+                       .signal_in(q_in),.signal_out(q_interp_out));
+       
+       phase_acc #(.resolution(32))
+               nco (.clk(clock),.reset(reset),.enable(enable),
+                       .freq(freq),.phase(phase));
+               
+endmodule
diff --git a/usrp/fpga/sdr_lib/ext_fifo.v b/usrp/fpga/sdr_lib/ext_fifo.v
new file mode 100644 (file)
index 0000000..41e30de
--- /dev/null
@@ -0,0 +1,126 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2003 Matt Ettus
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+// Vendor Independent FIFO module
+// Width and Depth should be parameterizable
+// Asynchronous clocks for each side
+// Read side is read-acknowledge, not read-request
+// FIFO does not enforce "don't write when full, don't read when empty"
+// That is up to the connecting modules
+// The FIFO only holds 2^N-1 entries, not 2^N
+
+module fifo (reset,data,write,wrclk,wr_used,q,read_ack,rdclk,rd_used);
+   parameter width=32;
+   parameter depth=10;
+
+   input reset;  // Asynchronous
+   input [width-1:0] data;
+   input write;
+   input wrclk;
+   output [depth-1:0] wr_used;
+   output [width-1:0] q;
+   input read_ack;
+   input rdclk;
+   output [depth-1:0] rd_used;
+
+   reg [depth-1:0] read_addr, write_addr, 
+                  read_addr_gray, read_addr_gray_sync,
+                  write_addr_gray, write_addr_gray_sync;
+
+   // Pseudo-dual-port RAM
+   dpram #(.depth(10),.width(width),.size(1024))
+         fifo_ram (.wclk(wrclk),.wdata(data),.waddr(write_addr),.wen(write),
+                  .rclk(rdclk), .rdata(q),.raddr(read_addr) );
+
+   wire [depth-1:0] wag,rag;
+
+   // Keep track of own side's pointer
+   always @(posedge wrclk or posedge reset)
+     if(reset) write_addr <= #1 0;
+     else if(write) write_addr <= #1 write_addr + 1;
+
+   always @(posedge rdclk or posedge reset)
+     if(reset) read_addr <= #1 0;
+     else if(read_ack) read_addr <= #1 read_addr + 1;
+
+   // Convert own side pointer to gray
+   bin2gray #(depth) write_b2g (write_addr,wag);
+   bin2gray #(depth) read_b2g (read_addr,rag);
+
+   // Latch it
+   always @(posedge wrclk or posedge reset)
+     if(reset) write_addr_gray <= #1 0;
+     else write_addr_gray <= #1 wag;
+
+   always @(posedge rdclk or posedge reset)
+     if(reset) read_addr_gray <= #1 0;
+     else read_addr_gray <= #1 rag;
+
+   // Send it to other side and latch
+   always @(posedge wrclk or posedge reset)
+     if(reset) read_addr_gray_sync <= #1 0;
+     else read_addr_gray_sync <= #1 read_addr_gray;
+
+   always @(posedge rdclk or posedge reset)
+     if(reset) write_addr_gray_sync <= #1 0;
+     else write_addr_gray_sync <= #1 write_addr_gray;
+
+   wire [depth-1:0] write_addr_sync, read_addr_sync;
+   
+   // Convert back to binary
+   gray2bin #(depth) write_g2b (write_addr_gray_sync, write_addr_sync);
+   gray2bin #(depth) read_g2b (read_addr_gray_sync, read_addr_sync);
+   assign rd_used = write_addr_sync - read_addr;
+   assign wr_used = write_addr - read_addr_sync;
+                 
+endmodule // fifo
+
+module bin2gray(bin_val,gray_val);
+   parameter width = 8;
+   input [width-1:0] bin_val;
+   output reg [width-1:0] gray_val;
+   
+   integer i;
+
+   always @*
+     begin
+       gray_val[width-1] = bin_val[width-1];
+       for(i=0;i<width-1;i=i+1)
+         gray_val[i] = bin_val[i] ^ bin_val[i+1];
+     end
+endmodule // bin2gray
+
+module gray2bin(gray_val,bin_val);
+   parameter width = 8;
+   input [width-1:0] gray_val;
+   output reg [width-1:0] bin_val;
+
+   integer i;
+   
+   always @*
+     begin
+       bin_val[width-1] = gray_val[width-1];
+       for(i=width-2;i>=0;i=i-1)
+         bin_val[i] = bin_val[i+1] ^ gray_val[i];
+     end
+endmodule // gray2bin
diff --git a/usrp/fpga/sdr_lib/gen_cordic_consts.py b/usrp/fpga/sdr_lib/gen_cordic_consts.py
new file mode 100755 (executable)
index 0000000..ab66cfe
--- /dev/null
@@ -0,0 +1,10 @@
+#!/usr/bin/env python
+
+import math
+
+zwidth = 16
+
+for i in range(17):
+    c = math.atan (1.0/(2**i)) / (2 * math.pi) * (1 << zwidth)
+    print "`define c%02d %d'd%d" % (i, zwidth, round (c))
+    
diff --git a/usrp/fpga/sdr_lib/gen_sync.v b/usrp/fpga/sdr_lib/gen_sync.v
new file mode 100644 (file)
index 0000000..d6efdba
--- /dev/null
@@ -0,0 +1,43 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2003 Matt Ettus
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+module gen_sync
+  ( input clock,
+    input reset,
+    input enable,
+    input [7:0] rate,
+    output wire sync );
+   
+//   parameter width = 8;
+   
+   reg [7:0] counter;
+   assign sync = |(((rate+1)>>1)& counter);
+      
+   always @(posedge clock)
+     if(reset || ~enable)
+       counter <= #1 0;
+     else if(counter == rate)
+       counter <= #1 0;
+     else 
+       counter <= #1 counter + 8'd1;
+   
+endmodule // gen_sync
+
diff --git a/usrp/fpga/sdr_lib/hb/acc.v b/usrp/fpga/sdr_lib/hb/acc.v
new file mode 100644 (file)
index 0000000..195d5ea
--- /dev/null
@@ -0,0 +1,22 @@
+
+
+module acc (input clock, input reset, input clear, input enable_in, output reg enable_out,
+           input signed [30:0] addend, output reg signed [33:0] sum );
+
+   always @(posedge clock)
+     if(reset)
+       sum <= #1 34'd0;
+     //else if(clear & enable_in)
+     //  sum <= #1 addend;
+     //else if(clear)
+     //  sum <= #1 34'd0;
+     else if(clear)
+       sum <= #1 addend;
+     else if(enable_in)
+       sum <= #1 sum + addend;
+
+   always @(posedge clock)
+     enable_out <= #1 enable_in;
+   
+endmodule // acc
+
diff --git a/usrp/fpga/sdr_lib/hb/coeff_rom.v b/usrp/fpga/sdr_lib/hb/coeff_rom.v
new file mode 100644 (file)
index 0000000..7f8886b
--- /dev/null
@@ -0,0 +1,19 @@
+
+
+module coeff_rom (input clock, input [2:0] addr, output reg [15:0] data);
+
+   always @(posedge clock)
+     case (addr)
+       3'd0 : data <= #1 -16'd49;
+       3'd1 : data <= #1 16'd165;
+       3'd2 : data <= #1 -16'd412;
+       3'd3 : data <= #1 16'd873;
+       3'd4 : data <= #1 -16'd1681;
+       3'd5 : data <= #1 16'd3135;
+       3'd6 : data <= #1 -16'd6282;
+       3'd7 : data <= #1 16'd20628;
+     endcase // case(addr)
+      
+endmodule // coeff_rom
+
+
diff --git a/usrp/fpga/sdr_lib/hb/halfband_decim.v b/usrp/fpga/sdr_lib/hb/halfband_decim.v
new file mode 100644 (file)
index 0000000..dff4d90
--- /dev/null
@@ -0,0 +1,163 @@
+/* -*- verilog -*-
+ * 
+ *  USRP - Universal Software Radio Peripheral
+ * 
+ *  Copyright (C) 2005 Matt Ettus
+ * 
+ *  This program is free software; you can redistribute it and/or modify
+ *  it under the terms of the GNU General Public License as published by
+ *  the Free Software Foundation; either version 2 of the License, or
+ *  (at your option) any later version.
+ * 
+ *  This program is distributed in the hope that it will be useful,
+ *  but WITHOUT ANY WARRANTY; without even the implied warranty of
+ *  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ *  GNU General Public License for more details.
+ * 
+ *  You should have received a copy of the GNU General Public License
+ *  along with this program; if not, write to the Free Software
+ *  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+ */
+
+/*
+ * This implements a 31-tap halfband filter that decimates by two.
+ * The coefficients are symmetric, and with the exception of the middle tap,
+ * every other coefficient is zero.  The middle section of taps looks like this:
+ *
+ *  ..., -1468, 0, 2950, 0, -6158, 0, 20585, 32768, 20585, 0, -6158, 0, 2950, 0, -1468, ...
+ *                                             |
+ *                           middle tap -------+
+ *
+ * See coeff_rom.v for the full set.  The taps are scaled relative to 32768,
+ * thus the middle tap equals 1.0.  Not counting the middle tap, there are 8
+ * non-zero taps on each side, and they are symmetric.  A naive implementation
+ * requires a mulitply for each non-zero tap.  Because of symmetry, we can
+ * replace 2 multiplies with 1 add and 1 multiply.  Thus, to compute each output
+ * sample, we need to perform 8 multiplications.  Since the middle tap is 1.0,
+ * we just add the corresponding delay line value.
+ *
+ * About timing: We implement this with a single multiplier, so it takes
+ * 8 cycles to compute a single output.  However, since we're decimating by two 
+ * we can accept a new input value every 4 cycles.  strobe_in is asserted when
+ * there's a new input sample available.  Depending on the overall decimation
+ * rate, strobe_in may be asserted less frequently than once every 4 clocks.
+ * On the output side, we assert strobe_out when output contains a new sample.
+ *
+ * Implementation: Every time strobe_in is asserted we store the new data into
+ * the delay line.  We split the delay line into two components, one for the
+ * even samples, and one for the odd samples.  ram16_odd is the delay line for
+ * the odd samples.  This ram is written on each odd assertion of strobe_in, and
+ * is read on each clock when we're computing the dot product.  ram16_even is
+ * similar, although because it holds the even samples we must be able to read
+ * two samples from different addresses at the same time, while writing the incoming
+ * even samples. Thus it's "triple-ported".
+ */
+
+module halfband_decim
+  (input clock, input reset, input enable, input strobe_in, output wire strobe_out,
+   input wire [15:0] data_in, output reg [15:0] data_out,output wire [15:0] debugctrl);
+
+   reg [3:0] rd_addr1;
+   reg [3:0] rd_addr2;
+   reg [3:0] phase;
+   reg [3:0] base_addr;
+
+   wire      signed [15:0] mac_out,middle_data, sum, coeff;
+   wire      signed [30:0] product;
+   wire      signed [33:0] sum_even;
+   wire      clear;
+   reg              store_odd;
+   
+   always @(posedge clock)
+     if(reset)
+       store_odd <= #1 1'b0;
+     else
+       if(strobe_in)
+        store_odd <= #1 ~store_odd;
+
+   wire      start = strobe_in & store_odd;
+   always @(posedge clock)
+     if(reset)
+       base_addr <= #1 4'd0;
+     else if(start)
+       base_addr <= #1 base_addr + 4'd1;
+
+   always @(posedge clock)
+     if(reset)
+       phase <= #1 4'd8;
+     else if (start)
+       phase <= #1 4'd0;
+     else if(phase != 4'd8)
+       phase <= #1 phase + 4'd1;
+
+   reg              start_d1,start_d2,start_d3,start_d4,start_d5,start_d6,start_d7,start_d8,start_d9,start_dA,start_dB,start_dC,start_dD;
+   always @(posedge clock)
+     begin
+       start_d1 <= #1 start;
+       start_d2 <= #1 start_d1;
+       start_d3 <= #1 start_d2;
+       start_d4 <= #1 start_d3;
+       start_d5 <= #1 start_d4;
+       start_d6 <= #1 start_d5;
+       start_d7 <= #1 start_d6;
+       start_d8 <= #1 start_d7;
+       start_d9 <= #1 start_d8;
+       start_dA <= #1 start_d9;
+       start_dB <= #1 start_dA;
+       start_dC <= #1 start_dB;
+       start_dD <= #1 start_dC;
+     end // always @ (posedge clock)
+   
+   reg           mult_en, mult_en_pre;
+   always @(posedge clock)
+     begin
+       mult_en_pre <= #1 phase!=8;
+       mult_en <= #1 mult_en_pre;
+     end
+   
+   assign clear = start_d4; // was dC
+   wire   latch_result = start_d4; // was dC
+   assign strobe_out = start_d5; // was dD
+   wire   acc_en;
+   
+   always @*
+     case(phase[2:0])
+       3'd0 : begin rd_addr1 = base_addr + 4'd0; rd_addr2 = base_addr + 4'd15; end
+       3'd1 : begin rd_addr1 = base_addr + 4'd1; rd_addr2 = base_addr + 4'd14; end
+       3'd2 : begin rd_addr1 = base_addr + 4'd2; rd_addr2 = base_addr + 4'd13; end
+       3'd3 : begin rd_addr1 = base_addr + 4'd3; rd_addr2 = base_addr + 4'd12; end
+       3'd4 : begin rd_addr1 = base_addr + 4'd4; rd_addr2 = base_addr + 4'd11; end
+       3'd5 : begin rd_addr1 = base_addr + 4'd5; rd_addr2 = base_addr + 4'd10; end
+       3'd6 : begin rd_addr1 = base_addr + 4'd6; rd_addr2 = base_addr + 4'd9; end
+       3'd7 : begin rd_addr1 = base_addr + 4'd7; rd_addr2 = base_addr + 4'd8; end
+       default: begin rd_addr1 = base_addr + 4'd0; rd_addr2 = base_addr + 4'd15; end
+     endcase // case(phase)
+   
+   coeff_rom coeff_rom (.clock(clock),.addr(phase[2:0]-3'd1),.data(coeff));
+   
+   ram16_2sum ram16_even (.clock(clock),.write(strobe_in & ~store_odd),
+                         .wr_addr(base_addr),.wr_data(data_in),
+                         .rd_addr1(rd_addr1),.rd_addr2(rd_addr2),
+                         .sum(sum));
+
+   ram16 ram16_odd (.clock(clock),.write(strobe_in & store_odd),  // Holds middle items
+                   .wr_addr(base_addr),.wr_data(data_in),
+                   //.rd_addr(base_addr+4'd7),.rd_data(middle_data));
+                   .rd_addr(base_addr+4'd6),.rd_data(middle_data));
+
+   mult mult(.clock(clock),.x(coeff),.y(sum),.product(product),.enable_in(mult_en),.enable_out(acc_en));
+
+   acc acc(.clock(clock),.reset(reset),.enable_in(acc_en),.enable_out(),
+          .clear(clear),.addend(product),.sum(sum_even));
+
+   wire signed [33:0] dout = sum_even + {{4{middle_data[15]}},middle_data,14'b0}; // We already divided product by 2!!!!
+
+   always @(posedge clock)
+     if(reset)
+       data_out <= #1 16'd0;
+     else if(latch_result)
+       data_out <= #1 dout[30:15] + (dout[33]& |dout[14:0]);
+
+   assign  debugctrl = { clock,reset,acc_en,mult_en,clear,latch_result,store_odd,strobe_in,strobe_out,phase};
+   
+endmodule // halfband_decim
diff --git a/usrp/fpga/sdr_lib/hb/halfband_interp.v b/usrp/fpga/sdr_lib/hb/halfband_interp.v
new file mode 100644 (file)
index 0000000..cdb11c1
--- /dev/null
@@ -0,0 +1,121 @@
+
+
+module halfband_interp 
+  (input clock, input reset, input enable,
+   input strobe_in, input strobe_out,
+   input [15:0] signal_in_i, input [15:0] signal_in_q, 
+   output reg [15:0] signal_out_i, output reg [15:0] signal_out_q,
+   output wire [12:0] debug);
+   
+   wire [15:0]         coeff_ram_out;
+   wire [15:0]         data_ram_out_i;
+   wire [15:0]         data_ram_out_q;
+
+   wire [3:0]  data_rd_addr;
+   reg [3:0]   data_wr_addr;
+   reg [2:0]   coeff_rd_addr;
+
+   wire                filt_done;
+   
+   wire [15:0]         mac_out_i;
+   wire [15:0]         mac_out_q;
+   reg [15:0]  delayed_middle_i, delayed_middle_q;
+   wire [7:0]  shift = 8'd9;
+
+   reg                 stb_out_happened;
+
+   wire [15:0]         data_ram_out_i_b;
+   
+   always @(posedge clock)
+     if(strobe_in)
+       stb_out_happened <= #1 1'b0;
+     else if(strobe_out)
+       stb_out_happened <= #1 1'b1;
+   
+assign debug = {filt_done,data_rd_addr,data_wr_addr,coeff_rd_addr};
+
+   wire [15:0]         signal_out_i = stb_out_happened ? mac_out_i : delayed_middle_i;
+   wire [15:0]         signal_out_q = stb_out_happened ? mac_out_q : delayed_middle_q;
+
+/*   always @(posedge clock)
+     if(reset)
+       begin
+         signal_out_i <= #1 16'd0;
+         signal_out_q <= #1 16'd0;
+       end
+     else if(strobe_in)
+       begin
+         signal_out_i <= #1 delayed_middle_i; // Multiply by 1 for middle coeff
+         signal_out_q <= #1 delayed_middle_q;
+       end
+     //else if(filt_done&stb_out_happened)
+     else if(stb_out_happened)
+       begin
+         signal_out_i <= #1 mac_out_i;
+         signal_out_q <= #1 mac_out_q;
+       end
+*/
+   
+   always @(posedge clock)
+     if(reset)
+       coeff_rd_addr <= #1 3'd0;
+     else if(coeff_rd_addr != 3'd0)
+       coeff_rd_addr <= #1 coeff_rd_addr + 3'd1;
+     else if(strobe_in)
+       coeff_rd_addr <= #1 3'd1;
+
+   reg filt_done_d1;
+   always@(posedge clock)
+     filt_done_d1 <= #1 filt_done;
+   
+   always @(posedge clock)
+     if(reset)
+       data_wr_addr <= #1 4'd0;
+   //else if(strobe_in)
+     else if(filt_done & ~filt_done_d1)
+       data_wr_addr <= #1 data_wr_addr + 4'd1;
+
+   always @(posedge clock)
+     if(coeff_rd_addr == 3'd7)
+       begin
+         delayed_middle_i <= #1 data_ram_out_i_b;
+       //  delayed_middle_q <= #1 data_ram_out_q_b;
+       end
+   
+//   always @(posedge clock)
+//     if(reset)
+//       data_rd_addr <= #1 4'd0;
+//     else if(strobe_in)
+//       data_rd_addr <= #1 data_wr_addr + 4'd1;
+//     else if(!filt_done)
+//       data_rd_addr <= #1 data_rd_addr + 4'd1;
+//     else
+//       data_rd_addr <= #1 data_wr_addr;
+  
+   wire [3:0] data_rd_addr1 = data_wr_addr + {1'b0,coeff_rd_addr};
+   wire [3:0] data_rd_addr2 = data_wr_addr + 15 - {1'b0,coeff_rd_addr};
+//   always @(posedge clock)
+//     if(reset)
+//       filt_done <= #1 1'b1;
+//     else if(strobe_in)
+ //      filt_done <= #1 1'b0;
+//     else if(coeff_rd_addr == 4'd0)
+//       filt_done <= #1 1'b1;
+
+   assign filt_done = (coeff_rd_addr == 3'd0);
+   
+   coeff_ram coeff_ram ( .clock(clock),.rd_addr({1'b0,coeff_rd_addr}),.rd_data(coeff_ram_out) );
+   
+   ram16_2sum data_ram_i ( .clock(clock),.write(strobe_in),.wr_addr(data_wr_addr),.wr_data(signal_in_i),
+                     .rd_addr1(data_rd_addr1),.rd_addr2(data_rd_addr2),.rd_data(data_ram_out_i_b),.sum(data_ram_out_i));
+   
+   ram16_2sum data_ram_q ( .clock(clock),.write(strobe_in),.wr_addr(data_wr_addr),.wr_data(signal_in_q),
+                     .rd_addr1(data_rd_addr1),.rd_addr2(data_rd_addr2),.rd_data(data_ram_out_q));
+   
+   mac mac_i (.clock(clock),.reset(reset),.enable(~filt_done),.clear(strobe_in),
+             .x(data_ram_out_i),.y(coeff_ram_out),.shift(shift),.z(mac_out_i) );
+   
+   mac mac_q (.clock(clock),.reset(reset),.enable(~filt_done),.clear(strobe_in),
+             .x(data_ram_out_q),.y(coeff_ram_out),.shift(shift),.z(mac_out_q) );
+
+endmodule // halfband_interp
diff --git a/usrp/fpga/sdr_lib/hb/hbd_tb/test_hbd.v b/usrp/fpga/sdr_lib/hb/hbd_tb/test_hbd.v
new file mode 100644 (file)
index 0000000..01ab5e7
--- /dev/null
@@ -0,0 +1,75 @@
+
+
+module test_hbd();
+
+   reg clock;
+   initial clock = 1'b0;
+   always #5 clock <= ~clock;
+
+   reg reset;
+   initial reset = 1'b1;
+   initial #1000 reset = 1'b0;
+   
+   initial $dumpfile("test_hbd.vcd");
+   initial $dumpvars(0,test_hbd);
+
+   reg [15:0] i_in, q_in;
+   wire [15:0] i_out, q_out;
+
+   reg                strobe_in;
+   wire        strobe_out;
+   reg                coeff_write;
+   reg [15:0]  coeff_data;
+   reg [4:0]   coeff_addr;
+   
+   halfband_decim halfband_decim 
+     ( .clock(clock),.reset(reset),.enable(),.strobe_in(strobe_in),.strobe_out(strobe_out),
+       .data_in(i_in),.data_out(i_out) );
+   
+   always @(posedge strobe_out)
+     if(i_out[15])
+       $display("-%d",65536-i_out);
+     else
+       $display("%d",i_out);
+
+   initial
+     begin
+       strobe_in = 1'b0;
+       @(negedge reset);
+       @(posedge clock);
+       while(1)
+         begin
+            strobe_in <= #1 1'b1;
+            @(posedge clock);
+            strobe_in <= #1 1'b0;
+            repeat (`RATE)
+              @(posedge clock);
+         end
+     end
+
+   initial #10000000 $finish;    // Just in case...
+
+   initial
+     begin
+       i_in <= #1 16'd0;
+       repeat (40) @(posedge strobe_in);
+       i_in <= #1 16'd16384;
+       @(posedge strobe_in);
+       i_in <= #1 16'd0;
+       repeat (40) @(posedge strobe_in);
+       i_in <= #1 16'd16384;
+       @(posedge strobe_in);
+       i_in <= #1 16'd0;
+       repeat (40) @(posedge strobe_in);
+       i_in <= #1 16'd16384;
+       repeat (40) @(posedge strobe_in);
+       i_in <= #1 16'd0;
+       repeat (41) @(posedge strobe_in);
+       i_in <= #1 16'd16384;
+       repeat (40) @(posedge strobe_in);
+       i_in <= #1 16'd0;
+       repeat (40) @(posedge strobe_in);
+       repeat (7) @(posedge clock);
+       $finish;
+     end // initial begin
+endmodule // test_hb
diff --git a/usrp/fpga/sdr_lib/hb/mac.v b/usrp/fpga/sdr_lib/hb/mac.v
new file mode 100644 (file)
index 0000000..5a270bc
--- /dev/null
@@ -0,0 +1,58 @@
+
+
+module mac (input clock, input reset, input enable, input clear,
+           input signed [15:0] x, input signed [15:0] y,
+           input [7:0] shift, output [15:0] z );
+
+   reg signed [30:0] product;
+   reg signed [39:0] z_int;
+   reg signed [15:0] z_shift;
+
+   reg enable_d1;
+   always @(posedge clock)
+     enable_d1 <= #1 enable;
+   
+   always @(posedge clock)
+     if(reset | clear)
+       z_int <= #1 40'd0;
+     else if(enable_d1)
+       z_int <= #1 z_int + {{9{product[30]}},product};
+
+   always @(posedge clock)
+     product <= #1 x*y;
+
+   always @*   // FIXME full case? parallel case?
+     case(shift)
+       //8'd0 : z_shift <= z_int[39:24];
+       //8'd1 : z_shift <= z_int[38:23];
+       //8'd2 : z_shift <= z_int[37:22];
+       //8'd3 : z_shift <= z_int[36:21];
+       //8'd4 : z_shift <= z_int[35:20];
+       //8'd5 : z_shift <= z_int[34:19];
+       8'd6 : z_shift <= z_int[33:18];
+       8'd7 : z_shift <= z_int[32:17];
+       8'd8 : z_shift <= z_int[31:16];
+       8'd9 : z_shift <= z_int[30:15];
+       8'd10 : z_shift <= z_int[29:14];
+       8'd11 : z_shift <= z_int[28:13];
+       //8'd12 : z_shift <= z_int[27:12];
+       //8'd13 : z_shift <= z_int[26:11];
+       //8'd14 : z_shift <= z_int[25:10];
+       //8'd15 : z_shift <= z_int[24:9];
+       //8'd16 : z_shift <= z_int[23:8];
+       //8'd17 : z_shift <= z_int[22:7];
+       //8'd18 : z_shift <= z_int[21:6];
+       //8'd19 : z_shift <= z_int[20:5];
+       //8'd20 : z_shift <= z_int[19:4];
+       //8'd21 : z_shift <= z_int[18:3];
+       //8'd22 : z_shift <= z_int[17:2];
+       //8'd23 : z_shift <= z_int[16:1];
+       //8'd24 : z_shift <= z_int[15:0];
+       default : z_shift <= z_int[15:0];
+     endcase // case(shift)
+   
+   // FIXME do we need to saturate?
+   //assign z = z_shift;
+   assign z = z_int[15:0];
+   
+endmodule // mac
diff --git a/usrp/fpga/sdr_lib/hb/mult.v b/usrp/fpga/sdr_lib/hb/mult.v
new file mode 100644 (file)
index 0000000..a8d4cb1
--- /dev/null
@@ -0,0 +1,16 @@
+
+
+module mult (input clock, input signed [15:0] x, input signed [15:0] y, output reg signed [30:0] product,
+            input enable_in, output reg enable_out );
+
+   always @(posedge clock)
+     if(enable_in)
+       product <= #1 x*y;
+     else
+       product <= #1 31'd0;
+   
+   always @(posedge clock)
+     enable_out <= #1 enable_in;
+   
+endmodule // mult
+
diff --git a/usrp/fpga/sdr_lib/hb/ram16_2port.v b/usrp/fpga/sdr_lib/hb/ram16_2port.v
new file mode 100644 (file)
index 0000000..e1761a9
--- /dev/null
@@ -0,0 +1,22 @@
+
+
+module ram16_2port (input clock, input write, 
+                   input [3:0] wr_addr, input [15:0] wr_data,
+                   input [3:0] rd_addr1, output reg [15:0] rd_data1,
+                   input [3:0] rd_addr2, output reg [15:0] rd_data2);
+   
+   reg [15:0]                  ram_array [0:31];
+   
+   always @(posedge clock)
+     rd_data1 <= #1 ram_array[rd_addr1];
+   
+   always @(posedge clock)
+     rd_data2 <= #1 ram_array[rd_addr2];
+   
+   always @(posedge clock)
+     if(write)
+       ram_array[wr_addr] <= #1 wr_data;
+   
+endmodule // ram16_2port
+
+
diff --git a/usrp/fpga/sdr_lib/hb/ram16_2sum.v b/usrp/fpga/sdr_lib/hb/ram16_2sum.v
new file mode 100644 (file)
index 0000000..559b06f
--- /dev/null
@@ -0,0 +1,27 @@
+
+
+module ram16_2sum (input clock, input write, 
+                  input [3:0] wr_addr, input [15:0] wr_data,
+                  input [3:0] rd_addr1, input [3:0] rd_addr2,
+                   output reg [15:0] sum);
+   
+   reg signed [15:0]     ram_array [0:15];
+   reg signed [15:0]     a,b;
+   wire signed [16:0]    sum_int;
+   
+   always @(posedge clock)
+     if(write)
+       ram_array[wr_addr] <= #1 wr_data;
+      
+   always @(posedge clock)
+     begin
+       a <= #1 ram_array[rd_addr1];
+       b <= #1 ram_array[rd_addr2];
+     end
+   
+   assign sum_int = {a[15],a} + {b[15],b};
+   
+   always @(posedge clock)
+     sum <= #1 sum_int[16:1] + (sum_int[16]&sum_int[0]);
+     
+endmodule // ram16_2sum
diff --git a/usrp/fpga/sdr_lib/hb/ram32_2sum.v b/usrp/fpga/sdr_lib/hb/ram32_2sum.v
new file mode 100644 (file)
index 0000000..d1f55b7
--- /dev/null
@@ -0,0 +1,22 @@
+
+
+module ram32_2sum (input clock, input write, 
+                  input [4:0] wr_addr, input [15:0] wr_data,
+                  input [4:0] rd_addr1, input [4:0] rd_addr2,
+                  output reg [15:0] sum);
+   
+   reg [15:0]                  ram_array [0:31];
+   wire [16:0]                         sum_int;
+   
+   always @(posedge clock)
+     if(write)
+       ram_array[wr_addr] <= #1 wr_data;
+
+   assign sum_int = ram_array[rd_addr1] + ram_array[rd_addr2];
+
+   always @(posedge clock)
+     sum <= #1 sum_int[16:1] + (sum_int[16]&sum_int[0]);
+
+   
+endmodule // ram32_2sum
+
diff --git a/usrp/fpga/sdr_lib/io_pins.v b/usrp/fpga/sdr_lib/io_pins.v
new file mode 100644 (file)
index 0000000..ad1b7b4
--- /dev/null
@@ -0,0 +1,52 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2005,2006 Matt Ettus
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+`include "../../firmware/include/fpga_regs_common.v"
+`include "../../firmware/include/fpga_regs_standard.v"
+
+module io_pins
+  ( inout wire [15:0] io_0, inout wire [15:0] io_1, inout wire [15:0] io_2, inout wire [15:0] io_3,
+    input wire [15:0] reg_0, input wire [15:0] reg_1, input wire [15:0] reg_2, input wire [15:0] reg_3,
+    input clock, input rx_reset, input tx_reset,
+    input [6:0] serial_addr, input [31:0] serial_data, input serial_strobe);
+   
+   reg [15:0] io_0_oe,io_1_oe,io_2_oe,io_3_oe;
+   
+   bidir_reg bidir_reg_0 (.tristate(io_0),.oe(io_0_oe),.reg_val(reg_0));
+   bidir_reg bidir_reg_1 (.tristate(io_1),.oe(io_1_oe),.reg_val(reg_1));
+   bidir_reg bidir_reg_2 (.tristate(io_2),.oe(io_2_oe),.reg_val(reg_2));
+   bidir_reg bidir_reg_3 (.tristate(io_3),.oe(io_3_oe),.reg_val(reg_3));
+   
+   // Upper 16 bits are mask for lower 16
+   always @(posedge clock)
+     if(serial_strobe)
+       case(serial_addr)
+        `FR_OE_0 : io_0_oe
+          <= #1 (io_0_oe & ~serial_data[31:16]) | (serial_data[15:0] & serial_data[31:16] );
+        `FR_OE_1 : io_1_oe
+          <= #1 (io_1_oe & ~serial_data[31:16]) | (serial_data[15:0] & serial_data[31:16] );
+        `FR_OE_2 : io_2_oe
+          <= #1 (io_2_oe & ~serial_data[31:16]) | (serial_data[15:0] & serial_data[31:16] );
+        `FR_OE_3 : io_3_oe
+          <= #1 (io_3_oe & ~serial_data[31:16]) | (serial_data[15:0] & serial_data[31:16] );
+       endcase // case(serial_addr)
+
+endmodule // io_pins
diff --git a/usrp/fpga/sdr_lib/master_control.v b/usrp/fpga/sdr_lib/master_control.v
new file mode 100644 (file)
index 0000000..3bce55f
--- /dev/null
@@ -0,0 +1,163 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2003,2005 Matt Ettus
+//  Copyright (C) 2007 Corgan Enterprises LLC
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+// Clock, enable, and reset controls for whole system
+
+module master_control
+  ( input master_clk, input usbclk,
+    input wire [6:0] serial_addr, input wire [31:0] serial_data, input wire serial_strobe,
+    output tx_bus_reset, output rx_bus_reset,
+    output wire tx_dsp_reset, output wire rx_dsp_reset,
+    output wire enable_tx, output wire enable_rx,
+    output wire [7:0] interp_rate, output wire [7:0] decim_rate,
+    output tx_sample_strobe, output strobe_interp,
+    output rx_sample_strobe, output strobe_decim,
+    input tx_empty,
+    input wire [15:0] debug_0,input wire [15:0] debug_1,input wire [15:0] debug_2,input wire [15:0] debug_3,
+    output wire [15:0] reg_0, output wire [15:0] reg_1, output wire [15:0] reg_2, output wire [15:0] reg_3
+    );
+   
+   // FIXME need a separate reset for all control settings 
+   // Master Controls assignments
+   wire [7:0] master_controls;
+   setting_reg #(`FR_MASTER_CTRL) sr_mstr_ctrl(.clock(master_clk),.reset(1'b0),.strobe(serial_strobe),.addr(serial_addr),.in(serial_data),.out(master_controls));
+   assign     enable_tx = master_controls[0];
+   assign     enable_rx = master_controls[1];
+   assign     tx_dsp_reset = master_controls[2];
+   assign     rx_dsp_reset = master_controls[3];
+   // Unused - 4-7
+
+   // Strobe Generators
+   setting_reg #(`FR_INTERP_RATE) sr_interp(.clock(master_clk),.reset(tx_dsp_reset),.strobe(serial_strobe),.addr(serial_addr),.in(serial_data),.out(interp_rate));
+   setting_reg #(`FR_DECIM_RATE) sr_decim(.clock(master_clk),.reset(rx_dsp_reset),.strobe(serial_strobe),.addr(serial_addr),.in(serial_data),.out(decim_rate));
+   
+   strobe_gen da_strobe_gen
+     ( .clock(master_clk),.reset(tx_dsp_reset),.enable(enable_tx),
+       .rate(8'd1),.strobe_in(1'b1),.strobe(tx_sample_strobe) );
+
+   strobe_gen tx_strobe_gen
+     ( .clock(master_clk),.reset(tx_dsp_reset),.enable(enable_tx),
+       .rate(interp_rate),.strobe_in(tx_sample_strobe),.strobe(strobe_interp) );
+
+   assign  rx_sample_strobe = 1'b1;
+   
+   strobe_gen decim_strobe_gen
+     ( .clock(master_clk),.reset(rx_dsp_reset),.enable(enable_rx),
+       .rate(decim_rate),.strobe_in(rx_sample_strobe),.strobe(strobe_decim) );
+   
+   // Reset syncs for bus (usbclk) side
+   // The RX bus side reset isn't used, the TX bus side one may not be needed
+   reg           tx_reset_bus_sync1, rx_reset_bus_sync1, tx_reset_bus_sync2, rx_reset_bus_sync2;
+          
+   always @(posedge usbclk)
+     begin
+       tx_reset_bus_sync1 <= #1 tx_dsp_reset;
+       rx_reset_bus_sync1 <= #1 rx_dsp_reset;
+       tx_reset_bus_sync2 <= #1 tx_reset_bus_sync1;
+       rx_reset_bus_sync2 <= #1 rx_reset_bus_sync1;
+     end
+
+   assign tx_bus_reset = tx_reset_bus_sync2;
+   assign rx_bus_reset = rx_reset_bus_sync2;
+
+   wire [7:0]   txa_refclk, rxa_refclk, txb_refclk, rxb_refclk;
+   wire        txaclk,txbclk,rxaclk,rxbclk;
+   wire [3:0]  debug_en, txcvr_ctrl;
+
+   wire [31:0] txcvr_rxlines, txcvr_txlines;
+      
+   setting_reg #(`FR_TX_A_REFCLK) sr_txaref(.clock(master_clk),.reset(tx_dsp_reset),.strobe(serial_strobe),.addr(serial_addr),.in(serial_data),.out(txa_refclk));
+   setting_reg #(`FR_RX_A_REFCLK) sr_rxaref(.clock(master_clk),.reset(rx_dsp_reset),.strobe(serial_strobe),.addr(serial_addr),.in(serial_data),.out(rxa_refclk));
+   setting_reg #(`FR_TX_B_REFCLK) sr_txbref(.clock(master_clk),.reset(tx_dsp_reset),.strobe(serial_strobe),.addr(serial_addr),.in(serial_data),.out(txb_refclk));
+   setting_reg #(`FR_RX_B_REFCLK) sr_rxbref(.clock(master_clk),.reset(rx_dsp_reset),.strobe(serial_strobe),.addr(serial_addr),.in(serial_data),.out(rxb_refclk));
+
+   setting_reg #(`FR_DEBUG_EN) sr_debugen(.clock(master_clk),.reset(rx_dsp_reset|tx_dsp_reset),.strobe(serial_strobe),.addr(serial_addr),.in(serial_data),.out(debug_en));
+         
+   clk_divider clk_div_0 (.reset(tx_dsp_reset),.in_clk(master_clk),.out_clk(txaclk),.ratio(txa_refclk[6:0]));
+   clk_divider clk_div_1 (.reset(rx_dsp_reset),.in_clk(master_clk),.out_clk(rxaclk),.ratio(rxa_refclk[6:0]));
+   clk_divider clk_div_2 (.reset(tx_dsp_reset),.in_clk(master_clk),.out_clk(txbclk),.ratio(txb_refclk[6:0]));
+   clk_divider clk_div_3 (.reset(rx_dsp_reset),.in_clk(master_clk),.out_clk(rxbclk),.ratio(rxb_refclk[6:0]));
+   
+   reg [15:0]  io_0_reg,io_1_reg,io_2_reg,io_3_reg;
+   // Upper 16 bits are mask for lower 16
+   always @(posedge master_clk)
+     if(serial_strobe)
+       case(serial_addr)
+        `FR_IO_0 : io_0_reg
+          <= #1 (io_0_reg & ~serial_data[31:16]) | (serial_data[15:0] & serial_data[31:16] );
+        `FR_IO_1 : io_1_reg
+          <= #1 (io_1_reg & ~serial_data[31:16]) | (serial_data[15:0] & serial_data[31:16] );
+        `FR_IO_2 : io_2_reg
+          <= #1 (io_2_reg & ~serial_data[31:16]) | (serial_data[15:0] & serial_data[31:16] );
+        `FR_IO_3 : io_3_reg
+          <= #1 (io_3_reg & ~serial_data[31:16]) | (serial_data[15:0] & serial_data[31:16] );
+       endcase // case(serial_addr)
+
+   wire        transmit_now;
+   wire        atr_ctl;
+   wire [11:0] atr_tx_delay, atr_rx_delay;
+   wire [15:0] atr_mask_0, atr_txval_0, atr_rxval_0, atr_mask_1, atr_txval_1, atr_rxval_1, atr_mask_2, atr_txval_2, atr_rxval_2, atr_mask_3, atr_txval_3, atr_rxval_3;
+      
+   setting_reg #(`FR_ATR_MASK_0) sr_atr_mask_0(.clock(master_clk),.reset(1'b0),.strobe(serial_strobe),.addr(serial_addr),.in(serial_data),.out(atr_mask_0));
+   setting_reg #(`FR_ATR_TXVAL_0) sr_atr_txval_0(.clock(master_clk),.reset(1'b0),.strobe(serial_strobe),.addr(serial_addr),.in(serial_data),.out(atr_txval_0));
+   setting_reg #(`FR_ATR_RXVAL_0) sr_atr_rxval_0(.clock(master_clk),.reset(1'b0),.strobe(serial_strobe),.addr(serial_addr),.in(serial_data),.out(atr_rxval_0));
+
+   setting_reg #(`FR_ATR_MASK_1) sr_atr_mask_1(.clock(master_clk),.reset(1'b0),.strobe(serial_strobe),.addr(serial_addr),.in(serial_data),.out(atr_mask_1));
+   setting_reg #(`FR_ATR_TXVAL_1) sr_atr_txval_1(.clock(master_clk),.reset(1'b0),.strobe(serial_strobe),.addr(serial_addr),.in(serial_data),.out(atr_txval_1));
+   setting_reg #(`FR_ATR_RXVAL_1) sr_atr_rxval_1(.clock(master_clk),.reset(1'b0),.strobe(serial_strobe),.addr(serial_addr),.in(serial_data),.out(atr_rxval_1));
+
+   setting_reg #(`FR_ATR_MASK_2) sr_atr_mask_2(.clock(master_clk),.reset(1'b0),.strobe(serial_strobe),.addr(serial_addr),.in(serial_data),.out(atr_mask_2));
+   setting_reg #(`FR_ATR_TXVAL_2) sr_atr_txval_2(.clock(master_clk),.reset(1'b0),.strobe(serial_strobe),.addr(serial_addr),.in(serial_data),.out(atr_txval_2));
+   setting_reg #(`FR_ATR_RXVAL_2) sr_atr_rxval_2(.clock(master_clk),.reset(1'b0),.strobe(serial_strobe),.addr(serial_addr),.in(serial_data),.out(atr_rxval_2));
+
+   setting_reg #(`FR_ATR_MASK_3) sr_atr_mask_3(.clock(master_clk),.reset(1'b0),.strobe(serial_strobe),.addr(serial_addr),.in(serial_data),.out(atr_mask_3));
+   setting_reg #(`FR_ATR_TXVAL_3) sr_atr_txval_3(.clock(master_clk),.reset(1'b0),.strobe(serial_strobe),.addr(serial_addr),.in(serial_data),.out(atr_txval_3));
+   setting_reg #(`FR_ATR_RXVAL_3) sr_atr_rxval_3(.clock(master_clk),.reset(1'b0),.strobe(serial_strobe),.addr(serial_addr),.in(serial_data),.out(atr_rxval_3));
+
+   //setting_reg #(`FR_ATR_CTL) sr_atr_ctl(.clock(master_clk),.reset(1'b0),.strobe(serial_strobe),.addr(serial_addr),.in(serial_data),.out(atr_ctl));
+   setting_reg #(`FR_ATR_TX_DELAY) sr_atr_tx_delay(.clock(master_clk),.reset(1'b0),.strobe(serial_strobe),.addr(serial_addr),.in(serial_data),.out(atr_tx_delay));
+   setting_reg #(`FR_ATR_RX_DELAY) sr_atr_rx_delay(.clock(master_clk),.reset(1'b0),.strobe(serial_strobe),.addr(serial_addr),.in(serial_data),.out(atr_rx_delay));
+
+   assign      atr_ctl = 1'b1;
+
+   atr_delay atr_delay(.clk_i(master_clk),.rst_i(tx_dsp_reset),.ena_i(atr_ctl),.tx_empty_i(tx_empty),
+                      .tx_delay_i(atr_tx_delay),.rx_delay_i(atr_rx_delay),.atr_tx_o(transmit_now));
+   
+   wire [15:0] atr_selected_0 = transmit_now ? atr_txval_0 : atr_rxval_0;
+   wire [15:0] io_0 = ({{16{atr_ctl}}} &  atr_mask_0 & atr_selected_0) | (~({{16{atr_ctl}}} & atr_mask_0) & io_0_reg);
+   
+   wire [15:0] atr_selected_1 = transmit_now ? atr_txval_1 : atr_rxval_1;
+   wire [15:0] io_1 = ({{16{atr_ctl}}} &  atr_mask_1 & atr_selected_1) | (~({{16{atr_ctl}}} & atr_mask_1) & io_1_reg);
+   
+   wire [15:0] atr_selected_2 = transmit_now ? atr_txval_2 : atr_rxval_2;
+   wire [15:0] io_2 = ({{16{atr_ctl}}} &  atr_mask_2 & atr_selected_2) | (~({{16{atr_ctl}}} & atr_mask_2) & io_2_reg);
+   
+   wire [15:0] atr_selected_3 = transmit_now ? atr_txval_3 : atr_rxval_3;
+   wire [15:0] io_3 = ({{16{atr_ctl}}} &  atr_mask_3 & atr_selected_3) | (~({{16{atr_ctl}}} & atr_mask_3) & io_3_reg);
+   
+   assign reg_0 = debug_en[0] ? debug_0 : txa_refclk[7] ? {io_0[15:1],txaclk} : io_0;
+   assign reg_1 = debug_en[1] ? debug_1 : rxa_refclk[7] ? {io_1[15:1],rxaclk} : io_1;
+   assign reg_2 = debug_en[2] ? debug_2 : txb_refclk[7] ? {io_2[15:1],txbclk} : io_2;
+   assign reg_3 = debug_en[3] ? debug_3 : rxb_refclk[7] ? {io_3[15:1],rxbclk} : io_3;
+
+   
+endmodule // master_control
diff --git a/usrp/fpga/sdr_lib/master_control_multi.v b/usrp/fpga/sdr_lib/master_control_multi.v
new file mode 100644 (file)
index 0000000..cab96a7
--- /dev/null
@@ -0,0 +1,73 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2006 Martin Dudok van Heel
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+`include "config.vh"
+`include "../../../firmware/include/fpga_regs_common.v"
+`include "../../../firmware/include/fpga_regs_standard.v"
+// Clock, enable, and reset controls for whole system
+// Modified version to enable multi_usrp synchronisation
+
+module master_control_multi
+  ( input master_clk, input usbclk,
+    input wire [6:0] serial_addr, input wire [31:0] serial_data, input wire serial_strobe,
+    input wire rx_slave_sync,
+    output tx_bus_reset, output rx_bus_reset,
+    output wire tx_dsp_reset, output wire rx_dsp_reset,
+    output wire enable_tx, output wire enable_rx,
+    output wire sync_rx,
+    output wire [7:0] interp_rate, output wire [7:0] decim_rate,
+    output tx_sample_strobe, output strobe_interp,
+    output rx_sample_strobe, output strobe_decim,
+    input tx_empty,
+    input wire [15:0] debug_0,input wire [15:0] debug_1,input wire [15:0] debug_2,input wire [15:0] debug_3,
+    output wire [15:0] reg_0, output wire [15:0] reg_1, output wire [15:0] reg_2, output wire [15:0] reg_3
+    );
+   
+   wire [15:0] reg_1_std;
+
+   master_control master_control_standard
+     ( .master_clk(master_clk),.usbclk(usbclk),
+       .serial_addr(serial_addr),.serial_data(serial_data),.serial_strobe(serial_strobe),
+       .tx_bus_reset(tx_bus_reset),.rx_bus_reset(rx_bus_reset),
+       .tx_dsp_reset(tx_dsp_reset),.rx_dsp_reset(rx_dsp_reset),
+       .enable_tx(enable_tx),.enable_rx(enable_rx),
+       .interp_rate(interp_rate),.decim_rate(decim_rate),
+       .tx_sample_strobe(tx_sample_strobe),.strobe_interp(strobe_interp),
+       .rx_sample_strobe(rx_sample_strobe),.strobe_decim(strobe_decim),
+       .tx_empty(tx_empty),
+       .debug_0(debug_0),.debug_1(debug_1),
+       .debug_2(debug_2),.debug_3(debug_3),
+       .reg_0(reg_0),.reg_1(reg_1_std),.reg_2(reg_2),.reg_3(reg_3) );
+
+   // FIXME need a separate reset for all control settings 
+   // Master/slave Controls assignments
+   wire [7:0] rx_master_slave_controls;
+   setting_reg_masked #(`FR_RX_MASTER_SLAVE) sr_rx_mstr_slv_ctrl(.clock(master_clk),.reset(1'b0),.strobe(serial_strobe),.addr(serial_addr),.in(serial_data),.out(rx_master_slave_controls));
+   assign     sync_rx = rx_master_slave_controls[`bitnoFR_RX_SYNC] | (rx_master_slave_controls[`bitnoFR_RX_SYNC_SLAVE] & rx_slave_sync);
+  //sync if we are told by master_control or if we get a hardware slave sync
+  //TODO There can be a one sample difference between master and slave sync. 
+  //     Maybe use a register for sync_rx which uses the (neg or pos) edge of master_clock and/or rx_slave_sync to trigger
+  //     Or even use a seperate sync_rx_out and sync_rx_internal (which lags behind)
+  //TODO make output pin not hardwired
+assign reg_1 ={(rx_master_slave_controls[`bitnoFR_RX_SYNC_MASTER])? sync_rx:reg_1_std[15],reg_1_std[14:0]};
+
+   
+endmodule // master_control
diff --git a/usrp/fpga/sdr_lib/phase_acc.v b/usrp/fpga/sdr_lib/phase_acc.v
new file mode 100755 (executable)
index 0000000..f44853d
--- /dev/null
@@ -0,0 +1,52 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2003 Matt Ettus
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+
+
+// Basic Phase accumulator for DDS
+
+
+module phase_acc (clk,reset,enable,strobe,serial_addr,serial_data,serial_strobe,phase);   
+   parameter FREQADDR = 0;
+   parameter PHASEADDR = 0;
+   parameter resolution = 32;
+   
+   input     clk, reset, enable, strobe;
+   input [6:0] serial_addr;
+   input [31:0] serial_data;
+   input       serial_strobe;
+   
+   output reg [resolution-1:0] phase;
+   wire [resolution-1:0] freq;
+
+   setting_reg #(FREQADDR) sr_rxfreq0(.clock(clk),.reset(1'b0),.strobe(serial_strobe),.addr(serial_addr),.in(serial_data),.out(freq));
+
+   always @(posedge clk)
+     if(reset)
+       phase <= #1 32'b0;
+     else if(serial_strobe & (serial_addr == PHASEADDR))
+       phase <= #1 serial_data;
+     else if(enable & strobe)
+       phase <= #1 phase + freq;
+
+endmodule // phase_acc
+
+   
diff --git a/usrp/fpga/sdr_lib/ram.v b/usrp/fpga/sdr_lib/ram.v
new file mode 100644 (file)
index 0000000..fb64cde
--- /dev/null
@@ -0,0 +1,16 @@
+
+
+module ram (input clock, input write, 
+           input [4:0] wr_addr, input [15:0] wr_data,
+           input [4:0] rd_addr, output reg [15:0] rd_data);
+
+   reg [15:0]          ram_array [0:31];
+
+   always @(posedge clock)
+     rd_data <= #1 ram_array[rd_addr];
+
+   always @(posedge clock)
+     if(write)
+       ram_array[wr_addr] <= #1 wr_data;
+
+endmodule // ram
diff --git a/usrp/fpga/sdr_lib/ram16.v b/usrp/fpga/sdr_lib/ram16.v
new file mode 100644 (file)
index 0000000..0c93da2
--- /dev/null
@@ -0,0 +1,17 @@
+
+
+module ram16 (input clock, input write, 
+             input [3:0] wr_addr, input [15:0] wr_data,
+             input [3:0] rd_addr, output reg [15:0] rd_data);
+
+   reg [15:0]          ram_array [0:15];
+
+   always @(posedge clock)
+     rd_data <= #1 ram_array[rd_addr];
+
+   always @(posedge clock)
+     if(write)
+       ram_array[wr_addr] <= #1 wr_data;
+
+endmodule // ram16
+
diff --git a/usrp/fpga/sdr_lib/ram32.v b/usrp/fpga/sdr_lib/ram32.v
new file mode 100644 (file)
index 0000000..064e273
--- /dev/null
@@ -0,0 +1,17 @@
+
+
+module ram32 (input clock, input write, 
+             input [4:0] wr_addr, input [15:0] wr_data,
+             input [4:0] rd_addr, output reg [15:0] rd_data);
+
+   reg [15:0]          ram_array [0:31];
+
+   always @(posedge clock)
+     rd_data <= #1 ram_array[rd_addr];
+
+   always @(posedge clock)
+     if(write)
+       ram_array[wr_addr] <= #1 wr_data;
+
+endmodule // ram32
+
diff --git a/usrp/fpga/sdr_lib/ram64.v b/usrp/fpga/sdr_lib/ram64.v
new file mode 100644 (file)
index 0000000..0845458
--- /dev/null
@@ -0,0 +1,16 @@
+
+
+module ram64 (input clock, input write, 
+             input [5:0] wr_addr, input [15:0] wr_data,
+             input [5:0] rd_addr, output reg [15:0] rd_data);
+
+   reg [15:0]          ram_array [0:63];
+
+   always @(posedge clock)
+     rd_data <= #1 ram_array[rd_addr];
+
+   always @(posedge clock)
+     if(write)
+       ram_array[wr_addr] <= #1 wr_data;
+
+endmodule // ram64
diff --git a/usrp/fpga/sdr_lib/rssi.v b/usrp/fpga/sdr_lib/rssi.v
new file mode 100644 (file)
index 0000000..e45e214
--- /dev/null
@@ -0,0 +1,30 @@
+
+
+module rssi (input clock, input reset, input enable,
+            input [11:0] adc, output [15:0] rssi, output [15:0] over_count);
+
+   wire                  over_hi = (adc == 12'h7FF);
+   wire                  over_lo = (adc == 12'h800);
+   wire                  over = over_hi | over_lo;
+
+   reg [25:0]            over_count_int;
+   always @(posedge clock)
+     if(reset | ~enable)
+       over_count_int <= #1 26'd0;
+     else
+       over_count_int <= #1 over_count_int + (over ? 26'd65535 : 26'd0) - over_count_int[25:10];
+   
+   assign      over_count = over_count_int[25:10];
+   
+   wire [11:0] abs_adc = adc[11] ? ~adc : adc;
+
+   reg [25:0]  rssi_int;
+   always @(posedge clock)
+     if(reset | ~enable)
+       rssi_int <= #1 26'd0;
+     else
+       rssi_int <= #1 rssi_int + abs_adc - rssi_int[25:10];
+
+   assign      rssi = rssi_int[25:10];
+   
+endmodule // rssi
diff --git a/usrp/fpga/sdr_lib/rx_buffer.v b/usrp/fpga/sdr_lib/rx_buffer.v
new file mode 100644 (file)
index 0000000..d17294b
--- /dev/null
@@ -0,0 +1,237 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2003 Matt Ettus
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+// Interface to Cypress FX2 bus
+// A packet is 512 Bytes, the fifo has 4096 lines of 18 bits each
+
+`include "../../firmware/include/fpga_regs_common.v"
+`include "../../firmware/include/fpga_regs_standard.v"
+
+module rx_buffer
+  ( // Read/USB side
+    input usbclk,
+    input bus_reset,
+    output [15:0] usbdata,
+    input RD,
+    output reg have_pkt_rdy,
+    output reg rx_overrun,
+    input clear_status,
+    // Write/DSP side
+    input rxclk,
+    input reset,  // DSP side reset (used here), do not reset registers
+    input rxstrobe,
+    input wire [3:0] channels,
+    input wire [15:0] ch_0,
+    input wire [15:0] ch_1,
+    input wire [15:0] ch_2,
+    input wire [15:0] ch_3,
+    input wire [15:0] ch_4,
+    input wire [15:0] ch_5,
+    input wire [15:0] ch_6,
+    input wire [15:0] ch_7,
+    // Settings, on rxclk also
+    input [6:0] serial_addr, input [31:0] serial_data, input serial_strobe,
+    input reset_regs, //Only reset registers
+    output [31:0] debugbus
+    );
+   
+   wire [15:0]           fifodata, fifodata_8;
+   reg [15:0]    fifodata_16;
+   
+   wire [11:0]           rxfifolevel;
+   wire          rx_full;
+   
+   wire          bypass_hb, want_q;
+   wire [4:0]    bitwidth;
+   wire [3:0]    bitshift;
+   
+   setting_reg #(`FR_RX_FORMAT) sr_rxformat(.clock(rxclk),.reset(reset_regs),
+                                           .strobe(serial_strobe),.addr(serial_addr),.in(serial_data),
+                                           .out({bypass_hb,want_q,bitwidth,bitshift}));
+
+   // USB Read Side of FIFO
+   always @(negedge usbclk)
+     have_pkt_rdy <= (rxfifolevel >= 256);
+
+   // 257 Bug Fix
+   reg [8:0]     read_count;
+   always @(negedge usbclk)
+     if(bus_reset)
+       read_count <= 0;
+     else if(RD)
+       read_count <= read_count + 1;
+     else
+       read_count <= 0;
+   
+   // FIFO
+   wire          ch0_in, ch0_out, iq_out;
+   assign        ch0_in = (phase == 1);
+
+   fifo_4k_18 rxfifo 
+     ( // DSP Write Side
+       .data ( {ch0_in, phase[0], fifodata} ),
+       .wrreq (~rx_full & (phase != 0)),
+       .wrclk ( rxclk ),
+       .wrfull ( rx_full ),
+       .wrempty ( ),
+       .wrusedw ( ),
+       // USB Read Side
+       .q ( {ch0_out,iq_out,usbdata} ),
+       .rdreq ( RD & ~read_count[8] ), 
+       .rdclk ( ~usbclk ),
+       .rdfull ( ),
+       .rdempty ( ),
+       .rdusedw ( rxfifolevel ),
+       // Async, shared
+       .aclr ( reset ) );
+
+   // DSP Write Side of FIFO
+   reg [15:0] ch_0_reg;
+   reg [15:0] ch_1_reg;
+   reg [15:0] ch_2_reg;
+   reg [15:0] ch_3_reg;
+   reg [15:0] ch_4_reg;
+   reg [15:0] ch_5_reg;
+   reg [15:0] ch_6_reg;
+   reg [15:0] ch_7_reg;
+
+   always @(posedge rxclk)
+     if (rxstrobe)
+       begin
+         ch_0_reg <= ch_0;
+         ch_1_reg <= ch_1;
+         ch_2_reg <= ch_2;
+         ch_3_reg <= ch_3;
+         ch_4_reg <= ch_4;
+         ch_5_reg <= ch_5;
+         ch_6_reg <= ch_6;
+         ch_7_reg <= ch_7;
+       end
+
+   reg [3:0] phase;
+   always @(posedge rxclk)
+     if(reset)
+       phase <= 4'd0;
+     else if(phase == 0)
+       begin
+         if(rxstrobe)
+           phase <= 4'd1;
+       end
+     else if(~rx_full)
+       if(phase == ((bitwidth == 5'd8) ? (channels>>1) : channels))
+        phase <= 4'd0;
+       else
+        phase <= phase + 4'd1;
+   
+   assign    fifodata = (bitwidth == 5'd8) ? fifodata_8 : fifodata_16;
+   
+   assign    fifodata_8 = {round_8(top),round_8(bottom)};
+   reg [15:0] top,bottom;
+   
+   function [7:0] round_8;
+      input [15:0] in_val;
+      
+      round_8 = in_val[15:8] + (in_val[15] & |in_val[7:0]);
+   endfunction // round_8
+      
+   always @*
+     case(phase)
+       4'd1 : begin
+         bottom = ch_0_reg;
+         top = ch_1_reg;
+       end
+       4'd2 : begin
+         bottom = ch_2_reg;
+         top = ch_3_reg;
+       end
+       4'd3 : begin
+         bottom = ch_4_reg;
+         top = ch_5_reg;
+       end
+       4'd4 : begin
+         bottom = ch_6_reg;
+         top = ch_7_reg;
+       end
+       default : begin
+         top = 16'hFFFF;
+         bottom = 16'hFFFF;
+       end
+     endcase // case(phase)
+   
+   always @*
+     case(phase)
+       4'd1 : fifodata_16 = ch_0_reg;
+       4'd2 : fifodata_16 = ch_1_reg;
+       4'd3 : fifodata_16 = ch_2_reg;
+       4'd4 : fifodata_16 = ch_3_reg;
+       4'd5 : fifodata_16 = ch_4_reg;
+       4'd6 : fifodata_16 = ch_5_reg;
+       4'd7 : fifodata_16 = ch_6_reg;
+       4'd8 : fifodata_16 = ch_7_reg;
+       default : fifodata_16 = 16'hFFFF;
+     endcase // case(phase)
+   
+   // Detect overrun
+   reg clear_status_dsp, rx_overrun_dsp;
+   always @(posedge rxclk)
+     clear_status_dsp <= clear_status;
+
+   always @(negedge usbclk)
+     rx_overrun <= rx_overrun_dsp;
+
+   always @(posedge rxclk)
+     if(reset)
+       rx_overrun_dsp <= 1'b0;
+     else if(rxstrobe & (phase != 0))
+       rx_overrun_dsp <= 1'b1;
+     else if(clear_status_dsp)
+       rx_overrun_dsp <= 1'b0;
+
+   // Debug bus
+   //
+   // 15:0  rxclk  domain => TXA 15:0
+   // 31:16 usbclk domain => RXA 15:0
+   
+   assign debugbus[0]     = reset;
+   assign debugbus[1]     = reset_regs;
+   assign debugbus[2]     = rxstrobe;
+   assign debugbus[6:3]   = channels;
+   assign debugbus[7]     = rx_full;
+   assign debugbus[11:8]  = phase;
+   assign debugbus[12]    = ch0_in;
+   assign debugbus[13]    = clear_status_dsp;
+   assign debugbus[14]    = rx_overrun_dsp;
+   assign debugbus[15]    = rxclk;
+
+   assign debugbus[16]    = bus_reset;   
+   assign debugbus[17]    = RD;
+   assign debugbus[18]    = have_pkt_rdy;
+   assign debugbus[19]    = rx_overrun;
+   assign debugbus[20]    = read_count[0];
+   assign debugbus[21]    = read_count[8];
+   assign debugbus[22]    = ch0_out;
+   assign debugbus[23]    = iq_out;
+   assign debugbus[24]    = clear_status;
+   assign debugbus[30:25] = 0;   
+   assign debugbus[31]    = usbclk;
+   
+endmodule // rx_buffer
+
diff --git a/usrp/fpga/sdr_lib/rx_chain.v b/usrp/fpga/sdr_lib/rx_chain.v
new file mode 100644 (file)
index 0000000..bc4336e
--- /dev/null
@@ -0,0 +1,106 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2003 Matt Ettus
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+// Following defines conditionally include RX path circuitry
+
+`include "config.vh"   // resolved relative to project root
+
+module rx_chain
+  (input clock,
+   input reset,
+   input enable,
+   input wire [7:0] decim_rate,
+   input sample_strobe,
+   input decimator_strobe,
+   output wire hb_strobe,
+   input [6:0] serial_addr, input [31:0] serial_data, input serial_strobe,
+   input wire [15:0] i_in,
+   input wire [15:0] q_in,
+   output wire [15:0] i_out,
+   output wire [15:0] q_out,
+   output wire [15:0] debugdata,output wire [15:0] debugctrl
+   );
+
+   parameter FREQADDR = 0;
+   parameter PHASEADDR = 0;
+   
+   wire [31:0] phase;
+   wire [15:0] bb_i, bb_q;
+   wire [15:0] hb_in_i, hb_in_q;
+   
+   assign      debugdata = hb_in_i;
+
+`ifdef RX_NCO_ON
+    phase_acc #(FREQADDR,PHASEADDR,32) rx_phase_acc
+     (.clk(clock),.reset(reset),.enable(enable),
+      .serial_addr(serial_addr),.serial_data(serial_data),.serial_strobe(serial_strobe),
+      .strobe(sample_strobe),.phase(phase) );
+
+   cordic rx_cordic
+     ( .clock(clock),.reset(reset),.enable(enable), 
+       .xi(i_in),.yi(q_in),.zi(phase[31:16]),
+       .xo(bb_i),.yo(bb_q),.zo() );
+`else
+   assign bb_i = i_in;
+   assign bb_q = q_in;
+   assign sample_strobe = 1;
+`endif // !`ifdef RX_NCO_ON
+   
+`ifdef RX_CIC_ON
+   cic_decim cic_decim_i_0
+     ( .clock(clock),.reset(reset),.enable(enable),
+       .rate(decim_rate),.strobe_in(sample_strobe),.strobe_out(decimator_strobe),
+       .signal_in(bb_i),.signal_out(hb_in_i) );
+`else
+   assign hb_in_i = bb_i;
+   assign decimator_strobe = sample_strobe;
+`endif
+   
+`ifdef RX_HB_ON
+   halfband_decim hbd_i_0
+     ( .clock(clock),.reset(reset),.enable(enable),
+       .strobe_in(decimator_strobe),.strobe_out(hb_strobe),
+       .data_in(hb_in_i),.data_out(i_out),.debugctrl(debugctrl) );
+`else
+   assign i_out = hb_in_i;
+   assign hb_strobe = decimator_strobe;
+`endif
+   
+`ifdef RX_CIC_ON
+   cic_decim cic_decim_q_0
+     ( .clock(clock),.reset(reset),.enable(enable),
+       .rate(decim_rate),.strobe_in(sample_strobe),.strobe_out(decimator_strobe),
+       .signal_in(bb_q),.signal_out(hb_in_q) );
+`else
+   assign hb_in_q = bb_q;
+`endif
+
+`ifdef RX_HB_ON
+   halfband_decim hbd_q_0
+     ( .clock(clock),.reset(reset),.enable(enable),
+       .strobe_in(decimator_strobe),.strobe_out(),
+       .data_in(hb_in_q),.data_out(q_out) );   
+`else
+   assign q_out = hb_in_q;
+`endif
+
+
+endmodule // rx_chain
diff --git a/usrp/fpga/sdr_lib/rx_chain_dual.v b/usrp/fpga/sdr_lib/rx_chain_dual.v
new file mode 100644 (file)
index 0000000..d9d98f3
--- /dev/null
@@ -0,0 +1,103 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2003 Matt Ettus
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+module rx_chain_dual
+  (input clock,
+   input clock_2x,
+   input reset,
+   input enable,
+   input wire [7:0] decim_rate,
+   input sample_strobe,
+   input decimator_strobe,
+   input wire [31:0] freq0,
+   input wire [15:0] i_in0,
+   input wire [15:0] q_in0,
+   output wire [15:0] i_out0,
+   output wire [15:0] q_out0,
+   input wire [31:0] freq1,
+   input wire [15:0] i_in1,
+   input wire [15:0] q_in1,
+   output wire [15:0] i_out1,
+   output wire [15:0] q_out1
+   );
+
+   wire [15:0] phase;
+   wire [15:0] bb_i, bb_q;
+   wire [15:0] i_in, q_in;
+   
+   wire [31:0] phase0;
+   wire [31:0] phase1;
+   reg [15:0] bb_i0, bb_q0;
+   reg [15:0] bb_i1, bb_q1;
+
+   // We want to time-share the CORDIC by double-clocking it
+   
+   phase_acc rx_phase_acc_0
+     (.clk(clock),.reset(reset),.enable(enable),
+      .strobe(sample_strobe),.freq(freq0),.phase(phase0) );
+
+   phase_acc rx_phase_acc_1
+     (.clk(clock),.reset(reset),.enable(enable),
+      .strobe(sample_strobe),.freq(freq1),.phase(phase1) );
+   
+   assign phase = clock ? phase0[31:16] : phase1[31:16];
+   assign i_in = clock ? i_in0 : i_in1;
+   assign q_in = clock ? q_in0 : q_in1;
+
+// This appears reversed because of the number of CORDIC stages
+   always @(posedge clock_2x)
+     if(clock)
+       begin
+         bb_i1 <= #1 bb_i;
+         bb_q1 <= #1 bb_q;
+       end
+     else
+       begin
+         bb_i0 <= #1 bb_i;
+         bb_q0 <= #1 bb_q;
+       end
+       
+   cordic rx_cordic
+     ( .clock(clock_2x),.reset(reset),.enable(enable), 
+       .xi(i_in),.yi(q_in),.zi(phase),
+       .xo(bb_i),.yo(bb_q),.zo() );
+   
+   cic_decim cic_decim_i_0
+     ( .clock(clock),.reset(reset),.enable(enable),
+       .rate(decim_rate),.strobe_in(sample_strobe),.strobe_out(decimator_strobe),
+       .signal_in(bb_i0),.signal_out(i_out0) );
+
+   cic_decim cic_decim_q_0
+     ( .clock(clock),.reset(reset),.enable(enable),
+       .rate(decim_rate),.strobe_in(sample_strobe),.strobe_out(decimator_strobe),
+       .signal_in(bb_q0),.signal_out(q_out0) );
+
+   cic_decim cic_decim_i_1
+     ( .clock(clock),.reset(reset),.enable(enable),
+       .rate(decim_rate),.strobe_in(sample_strobe),.strobe_out(decimator_strobe),
+       .signal_in(bb_i1),.signal_out(i_out1) );
+
+   cic_decim cic_decim_q_1
+     ( .clock(clock),.reset(reset),.enable(enable),
+       .rate(decim_rate),.strobe_in(sample_strobe),.strobe_out(decimator_strobe),
+       .signal_in(bb_q1),.signal_out(q_out1) );
+
+endmodule // rx_chain
diff --git a/usrp/fpga/sdr_lib/rx_dcoffset.v b/usrp/fpga/sdr_lib/rx_dcoffset.v
new file mode 100644 (file)
index 0000000..3be475e
--- /dev/null
@@ -0,0 +1,22 @@
+
+
+module rx_dcoffset (input clock, input enable, input reset, 
+                   input signed [15:0] adc_in, output signed [15:0] adc_out,
+                   input wire [6:0] serial_addr, input wire [31:0] serial_data, input serial_strobe);
+   parameter             MYADDR = 0;
+   
+   reg signed [31:0]            integrator;
+   wire signed [15:0]           scaled_integrator = integrator[31:16] + (integrator[31] & |integrator[15:0]);
+   assign                       adc_out = adc_in - scaled_integrator;
+
+   // FIXME do we need signed?
+   //FIXME  What do we do when clipping?
+   always @(posedge clock)
+     if(reset)
+       integrator <= #1 32'd0;
+     else if(serial_strobe & (MYADDR == serial_addr))
+       integrator <= #1 {serial_data[15:0],16'd0};
+     else if(enable)
+       integrator <= #1 integrator + adc_out;
+
+endmodule // rx_dcoffset
diff --git a/usrp/fpga/sdr_lib/serial_io.v b/usrp/fpga/sdr_lib/serial_io.v
new file mode 100644 (file)
index 0000000..62f92be
--- /dev/null
@@ -0,0 +1,118 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2003,2004 Matt Ettus
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+
+
+// Serial Control Bus from Cypress chip
+
+module serial_io
+  ( input master_clk,
+    input serial_clock,
+    input serial_data_in,
+    input enable,
+    input reset,
+    inout wire serial_data_out,
+    output reg [6:0] serial_addr,
+    output reg [31:0] serial_data,
+    output wire serial_strobe,
+    input wire [31:0] readback_0,
+    input wire [31:0] readback_1,
+    input wire [31:0] readback_2,
+    input wire [31:0] readback_3,
+    input wire [31:0] readback_4,
+    input wire [31:0] readback_5,
+    input wire [31:0] readback_6,
+    input wire [31:0] readback_7
+    );
+       
+   reg               is_read;
+   reg [7:0]  ser_ctr;
+   reg               write_done;
+
+   assign serial_data_out = is_read ? serial_data[31] : 1'bz;
+
+   always @(posedge serial_clock, posedge reset, negedge enable)
+     if(reset)
+       ser_ctr <= #1 8'd0;
+     else if(~enable)
+       ser_ctr <= #1 8'd0;
+     else if(ser_ctr == 39)
+       ser_ctr <= #1 8'd0;
+     else
+       ser_ctr <= #1 ser_ctr + 8'd1;
+
+   always @(posedge serial_clock, posedge reset, negedge enable)
+     if(reset)
+       is_read <= #1 1'b0;
+     else if(~enable)
+       is_read <= #1 1'b0;
+     else if((ser_ctr == 7)&&(serial_addr[6]==1))
+       is_read <= #1 1'b1;
+   
+   always @(posedge serial_clock, posedge reset)
+     if(reset)
+       begin
+         serial_addr <= #1 7'b0;
+         serial_data <= #1 32'b0;
+         write_done <= #1 1'b0;
+       end
+     else if(~enable)
+       begin
+         //serial_addr <= #1 7'b0;
+         //serial_data <= #1 32'b0;
+         write_done <= #1 1'b0;
+       end
+     else 
+       begin
+         if(~is_read && (ser_ctr == 39))
+           write_done <= #1 1'b1;
+         else
+           write_done <= #1 1'b0;
+         if(is_read & (ser_ctr==8))
+           case (serial_addr)
+             7'd1: serial_data <= #1 readback_0;
+             7'd2: serial_data <= #1 readback_1;
+             7'd3: serial_data <= #1 readback_2;
+             7'd4: serial_data <= #1 readback_3;
+             7'd5: serial_data <= #1 readback_4;
+             7'd6: serial_data <= #1 readback_5;
+             7'd7: serial_data <= #1 readback_6;
+             7'd8: serial_data <= #1 readback_7;
+             default: serial_data <= #1 32'd0;
+           endcase // case(serial_addr)
+         else if(ser_ctr >= 8)
+           serial_data <= #1 {serial_data[30:0],serial_data_in};
+         else if(ser_ctr < 8)
+           serial_addr <= #1 {serial_addr[5:0],serial_data_in};
+       end // else: !if(~enable)
+
+   reg enable_d1, enable_d2;
+   always @(posedge master_clk)
+     begin
+       enable_d1 <= #1 enable;
+       enable_d2 <= #1 enable_d1;
+     end
+
+   assign serial_strobe = enable_d2 & ~enable_d1;
+   
+endmodule // serial_io
+
+
diff --git a/usrp/fpga/sdr_lib/setting_reg.v b/usrp/fpga/sdr_lib/setting_reg.v
new file mode 100644 (file)
index 0000000..3d31a9e
--- /dev/null
@@ -0,0 +1,23 @@
+
+
+module setting_reg
+  ( input clock, input reset, input strobe, input wire [6:0] addr,
+    input wire [31:0] in, output reg [31:0] out, output reg changed);
+   parameter my_addr = 0;
+   
+   always @(posedge clock)
+     if(reset)
+       begin
+         out <= #1 32'd0;
+         changed <= #1 1'b0;
+       end
+     else
+       if(strobe & (my_addr==addr))
+        begin
+           out <= #1 in;
+           changed <= #1 1'b1;
+        end
+       else
+        changed <= #1 1'b0;
+   
+endmodule // setting_reg
diff --git a/usrp/fpga/sdr_lib/setting_reg_masked.v b/usrp/fpga/sdr_lib/setting_reg_masked.v
new file mode 100644 (file)
index 0000000..72f7e21
--- /dev/null
@@ -0,0 +1,26 @@
+
+
+module setting_reg_masked
+  ( input clock, input reset, input strobe, input wire [6:0] addr,
+    input wire [31:0] in, output reg [31:0] out, output reg changed);
+/* upper 16 bits are mask, lower 16 bits are value 
+ * Note that you get a 16 bit register, not a 32 bit one */
+
+   parameter my_addr = 0;
+   
+   always @(posedge clock)
+     if(reset)
+       begin
+         out <= #1 32'd0;
+         changed <= #1 1'b0;
+       end
+     else
+       if(strobe & (my_addr==addr))
+        begin
+           out <= #1 (out & ~in[31:16]) | (in[15:0] & in[31:16] );
+           changed <= #1 1'b1;
+        end
+       else
+        changed <= #1 1'b0;
+   
+endmodule // setting_reg_masked
diff --git a/usrp/fpga/sdr_lib/sign_extend.v b/usrp/fpga/sdr_lib/sign_extend.v
new file mode 100644 (file)
index 0000000..eae67fa
--- /dev/null
@@ -0,0 +1,35 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2003 Matt Ettus
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+
+// Sign extension "macro"
+// bits_out should be greater than bits_in
+
+module sign_extend (in,out);
+       parameter bits_in=0;  // FIXME Quartus insists on a default
+       parameter bits_out=0;
+       
+       input [bits_in-1:0] in;
+       output [bits_out-1:0] out;
+       
+       assign out = {{(bits_out-bits_in){in[bits_in-1]}},in};
+       
+endmodule
diff --git a/usrp/fpga/sdr_lib/strobe_gen.v b/usrp/fpga/sdr_lib/strobe_gen.v
new file mode 100644 (file)
index 0000000..ba1a8ab
--- /dev/null
@@ -0,0 +1,46 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2003 Matt Ettus
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+
+
+module strobe_gen 
+  ( input clock,
+    input reset,
+    input enable,
+    input [7:0] rate, // Rate should be 1 LESS THAN your desired divide ratio
+    input strobe_in,
+    output wire strobe );
+   
+//   parameter width = 8;
+   
+   reg [7:0] counter;
+   assign strobe = ~|counter && enable && strobe_in;
+   
+   always @(posedge clock)
+     if(reset | ~enable)
+       counter <= #1 8'd0;
+     else if(strobe_in)
+       if(counter == 0)
+        counter <= #1 rate;
+       else 
+        counter <= #1 counter - 8'd1;
+   
+endmodule // strobe_gen
diff --git a/usrp/fpga/sdr_lib/tx_buffer.v b/usrp/fpga/sdr_lib/tx_buffer.v
new file mode 100644 (file)
index 0000000..5864222
--- /dev/null
@@ -0,0 +1,170 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2003 Matt Ettus
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+// Interface to Cypress FX2 bus
+// A packet is 512 Bytes.  Each fifo line is 2 bytes
+// Fifo has 1024 or 2048 lines
+
+module tx_buffer
+  ( // USB Side
+    input usbclk,
+    input bus_reset,  // Used here for the 257-Hack to fix the FX2 bug
+    input [15:0] usbdata,
+    input wire WR,
+    output reg have_space,
+    output reg tx_underrun,
+    input clear_status,
+
+    // DSP Side
+    input txclk,
+    input reset,  // standard DSP-side reset
+    input wire [3:0] channels,
+    output reg [15:0] tx_i_0,
+    output reg [15:0] tx_q_0,
+    output reg [15:0] tx_i_1,
+    output reg [15:0] tx_q_1,
+    input txstrobe,
+    output wire tx_empty,
+    output [31:0] debugbus
+    );
+   
+   wire [11:0]           txfifolevel;
+   wire [15:0]           fifodata;
+   wire          rdreq;
+   reg [3:0]     phase;
+   wire          sop_f, iq_f;
+   reg                   sop;
+   
+   // USB Side of FIFO
+   reg [15:0]    usbdata_reg;
+   reg                   wr_reg;
+   reg [8:0]     write_count;
+   
+   always @(posedge usbclk)
+     have_space <= (txfifolevel < (4092-256));  // be extra conservative
+   
+   always @(posedge usbclk)
+     begin
+       wr_reg <= WR;
+       usbdata_reg <= usbdata;
+     end
+   
+   always @(posedge usbclk)
+     if(bus_reset)
+       write_count <= 0;
+     else if(wr_reg)
+       write_count <= write_count + 1;
+     else
+       write_count <= 0;
+   
+   always @(posedge usbclk)
+     sop <= WR & ~wr_reg; // Edge detect
+   
+   // FIFO
+   fifo_4k_18 txfifo 
+     ( // USB Write Side
+       .data ( {sop,write_count[0],usbdata_reg} ),
+       .wrreq ( wr_reg & ~write_count[8] ),
+       .wrclk ( usbclk ),
+       .wrfull ( ),
+       .wrempty ( ),
+       .wrusedw ( txfifolevel ),
+       // DSP Read Side
+       .q ( {sop_f, iq_f, fifodata} ),                 
+       .rdreq ( rdreq ),
+       .rdclk ( txclk ),
+       .rdfull ( ),
+       .rdempty ( tx_empty ),
+       .rdusedw (  ),
+       // Async, shared
+       .aclr ( reset ) );
+   
+   // DAC Side of FIFO
+   always @(posedge txclk)
+     if(reset)
+       begin
+         {tx_i_0,tx_q_0,tx_i_1,tx_q_1} <= 64'h0;
+         phase <= 4'd0;
+       end
+     else if(phase == channels)
+       begin
+         if(txstrobe)
+           phase <= 4'd0;
+       end
+     else
+       if(~tx_empty)
+        begin
+           case(phase)
+             4'd0 : tx_i_0 <= fifodata;
+             4'd1 : tx_q_0 <= fifodata;
+             4'd2 : tx_i_1 <= fifodata;
+             4'd3 : tx_q_1 <= fifodata;
+           endcase // case(phase)
+           phase <= phase + 4'd1;
+        end
+      
+   assign    rdreq = ((phase != channels) & ~tx_empty);
+   
+   // Detect Underruns, cross clock domains
+   reg clear_status_dsp, tx_underrun_dsp;
+   always @(posedge txclk)
+     clear_status_dsp <= clear_status;
+
+   always @(posedge usbclk)
+     tx_underrun <= tx_underrun_dsp;
+           
+   always @(posedge txclk)
+     if(reset)
+       tx_underrun_dsp <= 1'b0;
+     else if(txstrobe & (phase != channels))
+       tx_underrun_dsp <= 1'b1;
+     else if(clear_status_dsp)
+       tx_underrun_dsp <= 1'b0;
+
+   // TX debug bus
+   // 
+   // 15:0  txclk  domain => TXA [15:0]
+   // 31:16 usbclk domain => RXA [15:0]
+   
+   assign debugbus[0]     = reset;
+   assign debugbus[1]     = txstrobe;
+   assign debugbus[2]     = rdreq;
+   assign debugbus[6:3]   = phase;
+   assign debugbus[7]     = tx_empty;
+   assign debugbus[8]     = tx_underrun_dsp;
+   assign debugbus[9]     = iq_f;
+   assign debugbus[10]    = sop_f;
+   assign debugbus[14:11] = 0;
+   assign debugbus[15]    = txclk;
+         
+   assign debugbus[16]    = bus_reset;
+   assign debugbus[17]    = WR;
+   assign debugbus[18]    = wr_reg;
+   assign debugbus[19]    = have_space;
+   assign debugbus[20]    = write_count[8];
+   assign debugbus[21]    = write_count[0];
+   assign debugbus[22]    = sop;
+   assign debugbus[23]    = tx_underrun;
+   assign debugbus[30:24] = 0;
+   assign debugbus[31]    = usbclk;
+          
+endmodule // tx_buffer
+
diff --git a/usrp/fpga/sdr_lib/tx_chain.v b/usrp/fpga/sdr_lib/tx_chain.v
new file mode 100644 (file)
index 0000000..60f8684
--- /dev/null
@@ -0,0 +1,65 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2003 Matt Ettus
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+module tx_chain
+  (input clock,
+   input reset,
+   input enable,
+   input wire [7:0] interp_rate,
+   input sample_strobe,
+   input interpolator_strobe,
+   input wire [31:0] freq,
+   input wire [15:0] i_in,
+   input wire [15:0] q_in,
+   output wire [15:0] i_out,
+   output wire [15:0] q_out
+   );
+
+   wire [15:0] bb_i, bb_q;
+
+   cic_interp cic_interp_i
+     ( .clock(clock),.reset(reset),.enable(enable),
+       .rate(interp_rate),.strobe_in(interpolator_strobe),.strobe_out(sample_strobe),
+       .signal_in(i_in),.signal_out(bb_i) );
+
+   cic_interp cic_interp_q
+     ( .clock(clock),.reset(reset),.enable(enable),
+       .rate(interp_rate),.strobe_in(interpolator_strobe),.strobe_out(sample_strobe),
+       .signal_in(q_in),.signal_out(bb_q) );
+   
+`define NOCORDIC_TX
+`ifdef NOCORDIC_TX
+   assign      i_out = bb_i;
+   assign      q_out = bb_q;
+`else
+   wire [31:0] phase;
+
+   phase_acc phase_acc_tx
+     (.clk(clock),.reset(reset),.enable(enable),
+      .strobe(sample_strobe),.freq(freq),.phase(phase) );
+   
+   cordic tx_cordic_0
+     ( .clock(clock),.reset(reset),.enable(sample_strobe), 
+       .xi(bb_i),.yi(bb_q),.zi(phase[31:16]),
+       .xo(i_out),.yo(q_out),.zo() );
+`endif
+
+endmodule // tx_chain
diff --git a/usrp/fpga/sdr_lib/tx_chain_hb.v b/usrp/fpga/sdr_lib/tx_chain_hb.v
new file mode 100644 (file)
index 0000000..5594348
--- /dev/null
@@ -0,0 +1,76 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2003 Matt Ettus
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+module tx_chain_hb
+  (input clock,
+   input reset,
+   input enable,
+   input wire [7:0] interp_rate,
+   input sample_strobe,
+   input interpolator_strobe,
+   input hb_strobe,
+   input wire [31:0] freq,
+   input wire [15:0] i_in,
+   input wire [15:0] q_in,
+   output wire [15:0] i_out,
+   output wire [15:0] q_out,
+output wire [15:0] debug, output [15:0] hb_i_out
+   );
+assign debug[15:13] = {sample_strobe,hb_strobe,interpolator_strobe};
+
+   wire [15:0] bb_i, bb_q;
+   wire [15:0] hb_i_out, hb_q_out;
+   
+   halfband_interp hb
+     (.clock(clock),.reset(reset),.enable(enable),
+      .strobe_in(interpolator_strobe),.strobe_out(hb_strobe),
+      .signal_in_i(i_in),.signal_in_q(q_in),
+      .signal_out_i(hb_i_out),.signal_out_q(hb_q_out),
+       .debug(debug[12:0]));
+      
+   cic_interp cic_interp_i
+     ( .clock(clock),.reset(reset),.enable(enable),
+       .rate(interp_rate),.strobe_in(hb_strobe),.strobe_out(sample_strobe),
+       .signal_in(hb_i_out),.signal_out(bb_i) );
+
+   cic_interp cic_interp_q
+     ( .clock(clock),.reset(reset),.enable(enable),
+       .rate(interp_rate),.strobe_in(hb_strobe),.strobe_out(sample_strobe),
+       .signal_in(hb_q_out),.signal_out(bb_q) );
+   
+`define NOCORDIC_TX
+`ifdef NOCORDIC_TX
+   assign      i_out = bb_i;
+   assign      q_out = bb_q;
+`else
+   wire [31:0] phase;
+
+   phase_acc phase_acc_tx
+     (.clk(clock),.reset(reset),.enable(enable),
+      .strobe(sample_strobe),.freq(freq),.phase(phase) );
+   
+   cordic tx_cordic_0
+     ( .clock(clock),.reset(reset),.enable(sample_strobe), 
+       .xi(bb_i),.yi(bb_q),.zi(phase[31:16]),
+       .xo(i_out),.yo(q_out),.zo() );
+`endif
+
+endmodule // tx_chain
diff --git a/usrp/fpga/tb/cbus_tb.v b/usrp/fpga/tb/cbus_tb.v
new file mode 100644 (file)
index 0000000..53cc127
--- /dev/null
@@ -0,0 +1,71 @@
+module cbus_tb;
+
+`define ch1in_freq 0
+`define ch2in_freq 1
+`define ch3in_freq 2
+`define ch4in_freq 3
+`define ch1out_freq 4
+`define ch2out_freq 5
+`define ch3out_freq 6
+`define ch4out_freq 7
+`define rates 8
+`define misc 9
+  
+   task send_config_word;
+      input [7:0] addr;
+      input [31:0] data;
+      integer i;
+      
+      begin
+        #10 serenable = 1;
+        for(i=7;i>=0;i=i-1)
+          begin
+             #10 serdata = addr[i];
+             #10 serclk = 0;
+             #10 serclk = 1;
+             #10 serclk = 0;
+          end
+        for(i=31;i>=0;i=i-1)
+          begin
+             #10 serdata = data[i];
+             #10 serclk = 0;
+             #10 serclk = 1;
+             #10 serclk = 0;
+          end
+        #10 serenable = 0;
+        // #10 serclk = 1;
+        // #10 serclk = 0;
+      end
+   endtask // send_config_word
+   
+   initial $dumpfile("cbus_tb.vcd");
+   initial $dumpvars(0,cbus_tb);
+
+   initial reset = 1;
+   initial #500 reset = 0;
+      
+   reg serclk, serdata, serenable, reset;
+   wire SDO;
+   
+  control_bus control_bus
+    ( .serial_clock(serclk),
+      .serial_data_in(serdata),
+      .enable(serenable),
+      .reset(reset),
+      .serial_data_out(SDO) );
+
+
+   initial 
+     begin
+       #1000 send_config_word(8'd1,32'hDEAD_BEEF);
+       #1000 send_config_word(8'd3,32'hDDEE_FF01);
+       #1000 send_config_word(8'd19,32'hFFFF_FFFF);
+       #1000 send_config_word(8'd23,32'h1234_FEDC);
+       #1000 send_config_word(8'h80,32'h0);
+       #1000 send_config_word(8'h81,32'h0);
+       #1000 send_config_word(8'h82,32'h0);
+       #1000 reset = 1;
+       #1 $finish;
+     end
+   
+endmodule // cbus_tb
diff --git a/usrp/fpga/tb/cordic_tb.v b/usrp/fpga/tb/cordic_tb.v
new file mode 100644 (file)
index 0000000..946fc77
--- /dev/null
@@ -0,0 +1,61 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2003 Matt Ettus
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+
+
+module cordic_tb();
+
+   cordic cordic(clk, reset, enable, xi, yi, zi, xo, yo, zo );
+
+   reg reset;
+   reg clk;
+   reg enable;
+   reg [15:0] xi, yi, zi;
+   
+   initial reset = 1'b1;
+   initial #1000 reset = 1'b0;
+
+   initial clk = 1'b0;
+   always #50 clk <= ~clk;
+   
+   initial enable = 1'b1;
+
+   initial zi = 16'b0;
+
+   always @(posedge clk)
+     zi <= #1 zi + 16'd0;
+   
+   wire [15:0] xo,yo,zo;
+
+   initial $dumpfile("cordic.vcd");
+  initial $dumpvars(0,cordic_tb);
+   initial
+     begin
+`include "sine.txt"
+     end
+
+       wire [15:0] xiu = {~xi[15],xi[14:0]};
+       wire [15:0] yiu = {~yi[15],yi[14:0]};
+       wire [15:0] xou = {~xo[15],xo[14:0]};
+       wire [15:0] you = {~yo[15],yo[14:0]};
+   initial $monitor("%d\t%d\t%d\t%d\t%d",$time,xiu,yiu,xou,you);
+
+endmodule // cordic_tb
diff --git a/usrp/fpga/tb/decim_tb.v b/usrp/fpga/tb/decim_tb.v
new file mode 100644 (file)
index 0000000..d9a9261
--- /dev/null
@@ -0,0 +1,108 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2003 Matt Ettus
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+
+// testbench for fullchip
+
+module decim_tb();
+
+`include "usrp_tasks.v"
+   
+       reg clk_120mhz;
+       reg usbclk;
+       reg reset;
+
+       reg [11:0] adc1_data, adc2_data;
+       wire [13:0] dac1_data, dac2_data;
+
+       wire [5:0] usbctl;
+       wire [5:0] usbrdy;
+
+       wire [15:0] usbdata;
+
+       reg WE, RD, OE;
+
+        assign usbctl[0] = WE;
+        assign usbctl[1] = RD;
+        assign usbctl[2] = OE;
+       assign usbctl[5:3] = 0;
+
+       reg tb_oe;
+       assign usbdata = tb_oe ? usbdatareg : 16'hxxxx;
+       reg serload, serenable, serclk, serdata;
+       reg enable_tx, enable_rx;
+       reg [15:0] usbdatareg;
+
+///////////////////////////////////////////////
+//  Simulation Control
+initial
+begin
+       $dumpfile("decim_tb.vcd");
+       $dumpvars(0, fc_tb);
+end
+
+initial #100000 $finish;
+
+///////////////////////////////////////////////
+//  Monitors
+
+reg [7:0] counter_decim;
+wire [7:0] decim_rate;
+assign decim_rate = 32;
+initial $monitor(dac1_data);
+
+       always @(posedge clk_120mhz)
+        begin
+                if(reset | ~enable_tx)
+                        counter_decim <= #1 0;
+                else if(counter_decim == 0)
+                        counter_decim <= #1 decim_rate - 8'b1;
+                else
+                        counter_decim <= #1 counter_decim - 8'b1;
+        end
+
+///////////////////////////////////////////////
+// Clock and reset
+
+initial clk_120mhz = 0;
+initial usbclk = 0;
+always #48 clk_120mhz = ~clk_120mhz;
+always #120 usbclk = ~usbclk;
+
+initial reset = 1'b1;
+initial #500 reset = 1'b0;
+
+
+initial enable_tx = 1'b1;
+
+       wire [31:0] decim_out, q_decim_out;
+       wire [31:0] decim_out;
+       wire [31:0] phase;
+                       
+       cic_decim #(.bitwidth(32),.stages(4)) 
+               decim_i(.clock(clk_120mhz),.reset(reset),.enable(enable_tx),
+                       .strobe(counter_decim == 8'b0),.signal_in(32'h1),.signal_out(decim_out));
+
+       cic_decim #(.bitwidth(32),.stages(4)) 
+               decim(.clock(clk_120mhz),.reset(reset),.enable(enable_tx),
+                       .strobe(counter_decim == 8'b0),.signal_in(32'h1),.signal_out(decim_out));
+                       
+endmodule
diff --git a/usrp/fpga/tb/fullchip_tb.v b/usrp/fpga/tb/fullchip_tb.v
new file mode 100755 (executable)
index 0000000..2406fa7
--- /dev/null
@@ -0,0 +1,174 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2003 Matt Ettus
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+
+// testbench for fullchip
+
+`timescale 1ns/1ns
+
+module fullchip_tb();
+
+`include "usrp_tasks.v"
+
+fullchip fullchip
+  (
+   .clk_120mhz(clk_120mhz),
+   .reset(reset),
+   .enable_rx(enable_rx),
+   .enable_tx(enable_tx),
+   .SLD(serload),
+   .SEN(serenable),
+   .clear_status(),
+   .SDI(serdata),
+   .SCLK(serclk),
+         
+   .adc1_data(adc1_data),
+   .adc2_data(adc2_data),
+   .adc3_data(adc1_data),
+   .adc4_data(adc2_data),
+   
+   .dac1_data(dac1_data),
+   .dac2_data(dac2_data),
+   .dac3_data(),.dac4_data(),
+   
+   .adclk0(adclk),.adclk1(),
+   
+   .adc_oeb(),.adc_otr(4'b0),
+   
+   .clk_out(clk_out),
+   
+   .misc_pins(),
+   
+   // USB interface
+   .usbclk(usbclk),.usbctl(usbctl),
+   .usbrdy(usbrdy),.usbdata(usbdata)
+   );  
+       
+       reg clk_120mhz;
+       reg usbclk;
+       reg reset;
+
+       reg [11:0] adc1_data, adc2_data;
+       wire [13:0] dac1_data, dac2_data;
+
+       wire [5:0] usbctl;
+       wire [5:0] usbrdy;
+
+       wire [15:0] usbdata;
+
+       reg WE, RD, OE;
+
+        assign usbctl[0] = WE;
+        assign usbctl[1] = RD;
+        assign usbctl[2] = OE;
+       assign usbctl[5:3] = 0;
+
+        wire have_packet_rdy = usbrdy[1];
+   
+       reg tb_oe;
+   initial tb_oe=1'b1;
+   
+       assign usbdata = tb_oe ? usbdatareg : 16'hxxxx;
+       reg serload, serenable, serclk, serdata;
+       reg enable_tx, enable_rx;
+       reg [15:0] usbdatareg;
+
+///////////////////////////////////////////////
+//  Simulation Control
+initial
+begin
+       $dumpfile("fullchip_tb.vcd");
+       $dumpvars(0, fullchip_tb);
+end
+
+//initial #1000000 $finish;
+
+///////////////////////////////////////////////
+//  Monitors
+
+//initial $monitor(dac1_data);
+
+///////////////////////////////////////////////
+// Clock and reset
+
+initial clk_120mhz = 0;
+initial usbclk = 0;
+always #24 clk_120mhz = ~clk_120mhz;
+always #60 usbclk = ~usbclk;
+
+initial reset = 1'b1;
+initial #500 reset = 1'b0;
+
+/////////////////////////////////////////////////
+// Run AD input
+
+always @(posedge adclk)         adc1_data <= #1 12'd1234;
+always @(posedge adclk)         adc2_data <= #1 12'd1234;
+
+/////////////////////////////////////////////////
+// USB interface
+
+  initial 
+    begin
+       initialize_usb;
+       #30000 @(posedge usbclk);
+       burst_usb_write(257);
+       
+       #30000 burst_usb_read(256);
+       #10000 $finish;
+   
+//     repeat(30)
+//     begin
+//             write_from_usb;
+//             read_from_usb;
+//     end
+end
+
+/////////////////////////////////////////////////
+// TX and RX enable
+
+initial enable_tx = 1'b0;
+initial #40000 enable_tx = 1'b1;
+initial enable_rx = 1'b0;
+initial #40000 enable_rx = 1'b1;
+
+//////////////////////////////////////////////////
+// Set up control bus
+
+initial 
+begin
+       #1000 send_config_word(`ch1in_freq,32'h0); // 1 MHz on 60 MHz clock
+       send_config_word(`ch2in_freq,32'h0);
+       send_config_word(`ch3in_freq,32'h0);
+       send_config_word(`ch4in_freq,32'h0);
+       send_config_word(`ch1out_freq,32'h01234567);
+       send_config_word(`ch2out_freq,32'h0);
+       send_config_word(`ch3out_freq,32'h0);
+       send_config_word(`ch4out_freq,32'h0);
+       send_config_word(`misc,32'h0);
+       send_config_word(`rates,{8'd2,8'd12,8'h0f,8'h07});
+       // adc, ext, interp, decim
+end
+
+/////////////////////////////////////////////////////////
+
+endmodule
+
diff --git a/usrp/fpga/tb/interp_tb.v b/usrp/fpga/tb/interp_tb.v
new file mode 100755 (executable)
index 0000000..830fceb
--- /dev/null
@@ -0,0 +1,108 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2003 Matt Ettus
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+
+// testbench for fullchip
+
+module interp_tb();
+
+`include "usrp_tasks.v"
+   
+       reg clk_120mhz;
+       reg usbclk;
+       reg reset;
+
+       reg [11:0] adc1_data, adc2_data;
+       wire [13:0] dac1_data, dac2_data;
+
+       wire [5:0] usbctl;
+       wire [5:0] usbrdy;
+
+       wire [15:0] usbdata;
+
+       reg WE, RD, OE;
+
+        assign usbctl[0] = WE;
+        assign usbctl[1] = RD;
+        assign usbctl[2] = OE;
+       assign usbctl[5:3] = 0;
+
+       reg tb_oe;
+       assign usbdata = tb_oe ? usbdatareg : 16'hxxxx;
+       reg serload, serenable, serclk, serdata;
+       reg enable_tx, enable_rx;
+       reg [15:0] usbdatareg;
+
+///////////////////////////////////////////////
+//  Simulation Control
+initial
+begin
+       $dumpfile("interp_tb.vcd");
+       $dumpvars(0, fc_tb);
+end
+
+initial #100000 $finish;
+
+///////////////////////////////////////////////
+//  Monitors
+
+reg [7:0] counter_interp;
+wire [7:0] interp_rate;
+assign interp_rate = 32;
+initial $monitor(dac1_data);
+
+       always @(posedge clk_120mhz)
+        begin
+                if(reset | ~enable_tx)
+                        counter_interp <= #1 0;
+                else if(counter_interp == 0)
+                        counter_interp <= #1 interp_rate - 8'b1;
+                else
+                        counter_interp <= #1 counter_interp - 8'b1;
+        end
+
+///////////////////////////////////////////////
+// Clock and reset
+
+initial clk_120mhz = 0;
+initial usbclk = 0;
+always #48 clk_120mhz = ~clk_120mhz;
+always #120 usbclk = ~usbclk;
+
+initial reset = 1'b1;
+initial #500 reset = 1'b0;
+
+
+initial enable_tx = 1'b1;
+
+       wire [31:0] interp_out, q_interp_out;
+       wire [31:0] decim_out;
+       wire [31:0] phase;
+                       
+       cic_interp #(.bitwidth(32),.stages(4)) 
+               interp_i(.clock(clk_120mhz),.reset(reset),.enable(enable_tx),
+                       .strobe(counter_interp == 8'b0),.signal_in(32'h1),.signal_out(interp_out));
+
+       cic_decim #(.bitwidth(32),.stages(4)) 
+               decim(.clock(clk_120mhz),.reset(reset),.enable(enable_tx),
+                       .strobe(counter_interp == 8'b0),.signal_in(32'h1),.signal_out(decim_out));
+                       
+endmodule
diff --git a/usrp/fpga/tb/justinterp_tb.v b/usrp/fpga/tb/justinterp_tb.v
new file mode 100644 (file)
index 0000000..f976964
--- /dev/null
@@ -0,0 +1,73 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2003 Matt Ettus
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+
+module cic_decim_tb;
+
+cic_decim #(.bitwidth(16),.stages(4))
+   decim(clock,reset,enable,strobe_in,strobe_out,signal_in,signal_out);
+       
+   reg clock;
+   reg reset;
+   reg enable;
+   wire strobe;
+   reg [15:0] signal_in;
+   wire [15:0] signal_out;
+
+   assign      strobe_in = 1'b1;
+   reg                strobe_out;
+
+   always @(posedge clock)
+     while(1)
+       begin
+         @(posedge clock);
+         @(posedge clock);
+         @(posedge clock);
+         @(posedge clock);
+         strobe_out <= 1'b1;
+         @(posedge clock);
+         @(posedge clock);
+         @(posedge clock);
+         @(posedge clock);
+         strobe_out <= 1'b0;
+       end
+   
+   initial clock = 0;
+   always #50 clock = ~clock;
+   
+   initial reset = 1;
+   initial #1000 reset = 0;
+
+   initial enable = 0;
+   initial #2000 enable = 1;
+   
+   initial signal_in = 16'h1;
+   initial #500000 signal_in = 16'h7fff;
+   initial #1000000 signal_in = 16'h8000;
+   initial #1500000 signal_in = 16'hffff;
+
+   
+   initial $dumpfile("decim.vcd");
+   initial $dumpvars(0,cic_decim_tb);
+
+   initial #10000000 $finish;
+   
+endmodule // cic_decim_tb
diff --git a/usrp/fpga/tb/usrp_tasks.v b/usrp/fpga/tb/usrp_tasks.v
new file mode 100755 (executable)
index 0000000..93395f9
--- /dev/null
@@ -0,0 +1,145 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2003 Matt Ettus
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+// Tasks
+
+/////////////////////////////////////////////////
+// USB interface
+
+task initialize_usb;
+begin
+       OE = 0;WE = 0;RD = 0;
+       usbdatareg <= 16'h0;
+end
+endtask
+
+task write_from_usb;
+begin
+       tb_oe <= 1'b1;
+       @(posedge usbclk);
+       usbdatareg <= #1 $random % 65536;
+       WE <= #1 1'b1;
+       @(posedge usbclk)
+       WE <= #1 1'b0;
+       tb_oe <= #1 1'b0;
+end
+endtask
+
+task burst_usb_write;
+      input [31:0] repeat_count;
+      
+      begin
+        tb_oe <= 1'b1;
+        repeat(repeat_count)
+          begin
+             @(posedge usbclk)
+               usbdatareg <= #1 usbdatareg + 1;              //$random % 65536;
+             WE <= #1 1'b1;
+          end
+        @(posedge usbclk)
+          WE <= #1 1'b0;
+        tb_oe <= 1'b0;
+      end
+endtask // burst_usb_write
+
+
+task read_from_usb;
+begin
+       @(posedge usbclk);
+       RD <= #1 1'b1;
+       @(posedge usbclk);
+       RD <= #1 1'b0;
+       OE <= #1 1'b1;
+       @(posedge usbclk);
+       OE <= #1 1'b0;
+end
+endtask
+
+task burst_usb_read;
+      input [31:0] repeat_count;
+      begin
+        while (~have_packet_rdy) begin
+           @(posedge usbclk);
+        end
+        
+        @(posedge usbclk)
+          RD <= #1 1'b1;
+        repeat(repeat_count)
+          begin
+             @(posedge usbclk)
+               OE <= #1 1'b1;
+          end
+        RD <= #1 1'b0;
+        @(posedge usbclk);
+        OE <= #1 1'b0;
+      end
+endtask // burst_usb_read
+
+/////////////////////////////////////////////////
+// TX and RX enable
+
+//////////////////////////////////////////////////
+// Set up control bus
+
+`define ch1in_freq 0
+`define ch2in_freq 1
+`define ch3in_freq 2
+`define ch4in_freq 3
+`define ch1out_freq 4
+`define ch2out_freq 5
+`define ch3out_freq 6
+`define ch4out_freq 7
+`define rates 8
+`define misc 9
+  
+  task send_config_word;
+      input [7:0] addr;
+      input [31:0] data;
+      integer i;
+      
+      begin
+        #10 serenable = 1;
+        for(i=7;i>=0;i=i-1)
+          begin
+             #10 serdata = addr[i];
+             #10 serclk = 0;
+             #10 serclk = 1;
+             #10 serclk = 0;
+          end
+        for(i=31;i>=0;i=i-1)
+          begin
+             #10 serdata = data[i];
+             #10 serclk = 0;
+             #10 serclk = 1;
+             #10 serclk = 0;
+          end
+        #10 serenable = 0;
+        //     #10 serload = 0;
+        //     #10 serload = 1;
+        #10 serclk = 1;
+        #10 serclk = 0;
+        //#10 serload = 0;
+      end
+  endtask // send_config_word
+
+
+/////////////////////////////////////////////////////////
+
diff --git a/usrp/fpga/toplevel/include/common_config_1rxhb_1tx.vh b/usrp/fpga/toplevel/include/common_config_1rxhb_1tx.vh
new file mode 100644 (file)
index 0000000..fb2e915
--- /dev/null
@@ -0,0 +1,61 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2006 Matt Ettus
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+// ------------------------------------------------------------
+// If TX_ON is not defined, there is *no* transmit circuitry built
+  `define TX_ON
+
+// ------------------------------------------------------------
+// Define 1 and only one of TX_SINGLE, TX_DUAL and TX_QUAD
+// to respectively enable 1, 2 or 4 transmit channels.
+// [Please note that only TX_SINGLE and TX_DUAL are currently valid]
+  `define TX_SINGLE
+//`define TX_DUAL
+//`define TX_QUAD
+
+// ------------------------------------------------------------
+// Define TX_HB_ON to enable the transmit halfband filter
+// [Not implemented]
+//`define TX_HB_ON
+
+// ------------------------------------------------------------
+// IF RX_ON is not defined, there is *no* receive circuitry built
+  `define RX_ON
+
+// ------------------------------------------------------------
+// Define 1 and only one of RX_SINGLE, RX_DUAL and RX_QUAD
+// to respectively define 1, 2 or 4 receive channels.
+
+  `define RX_SINGLE
+//`define RX_DUAL
+//`define RX_QUAD
+
+// ------------------------------------------------------------
+// Define RX_HB_ON to enable the receive halfband filter
+  `define RX_HB_ON
+
+// ------------------------------------------------------------
+// Define RX_NCO_ON to enable the receive Numerical Controlled Osc
+  `define RX_NCO_ON
+
+// ------------------------------------------------------------
+// Define RX_CIC_ON to enable the receive Cascaded Integrator Comb filter
+  `define RX_CIC_ON
diff --git a/usrp/fpga/toplevel/include/common_config_2rx_0tx.vh b/usrp/fpga/toplevel/include/common_config_2rx_0tx.vh
new file mode 100644 (file)
index 0000000..c97c5a3
--- /dev/null
@@ -0,0 +1,61 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2006 Matt Ettus
+//  Copyright (C) 2006 Martin Dudok van Heel
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+// ------------------------------------------------------------
+// If TX_ON is not defined, there is *no* transmit circuitry built
+// `define TX_ON
+
+// ------------------------------------------------------------
+// Define 1 and only one of TX_SINGLE, TX_DUAL and TX_QUAD
+// to respectively enable 1, 2 or 4 transmit channels.
+// [Please note that only TX_SINGLE and TX_DUAL are currently valid]
+//`define TX_SINGLE
+//`define TX_DUAL
+//`define TX_QUAD
+
+// ------------------------------------------------------------
+// Define TX_HB_ON to enable the transmit halfband filter
+// [Not implemented]
+//`define TX_HB_ON
+
+// ------------------------------------------------------------
+// IF RX_ON is not defined, there is *no* receive circuitry built
+  `define RX_ON
+
+// ------------------------------------------------------------
+// Define 1 and only one of RX_SINGLE, RX_DUAL and RX_QUAD
+// to respectively define 1, 2 or 4 receive channels.
+
+//`define RX_SINGLE
+`define RX_DUAL
+//`define RX_QUAD
+
+// ------------------------------------------------------------
+// Define RX_HB_ON to enable the receive halfband filter
+//`define RX_HB_ON
+
+// ------------------------------------------------------------
+// Define RX_NCO_ON to enable the receive Numerical Controlled Osc
+  `define RX_NCO_ON
+
+// ------------------------------------------------------------
+// Define RX_CIC_ON to enable the receive Cascaded Integrator Comb filter
+  `define RX_CIC_ON
diff --git a/usrp/fpga/toplevel/include/common_config_2rxhb_0tx.vh b/usrp/fpga/toplevel/include/common_config_2rxhb_0tx.vh
new file mode 100644 (file)
index 0000000..459268b
--- /dev/null
@@ -0,0 +1,61 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2006 Matt Ettus
+//  Copyright (C) 2006 Martin Dudok van Heel
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+// ------------------------------------------------------------
+// If TX_ON is not defined, there is *no* transmit circuitry built
+// `define TX_ON
+
+// ------------------------------------------------------------
+// Define 1 and only one of TX_SINGLE, TX_DUAL and TX_QUAD
+// to respectively enable 1, 2 or 4 transmit channels.
+// [Please note that only TX_SINGLE and TX_DUAL are currently valid]
+//`define TX_SINGLE
+//`define TX_DUAL
+//`define TX_QUAD
+
+// ------------------------------------------------------------
+// Define TX_HB_ON to enable the transmit halfband filter
+// [Not implemented]
+//`define TX_HB_ON
+
+// ------------------------------------------------------------
+// IF RX_ON is not defined, there is *no* transmit circuitry built
+  `define RX_ON
+
+// ------------------------------------------------------------
+// Define 1 and only one of RX_SINGLE, RX_DUAL and RX_QUAD
+// to respectively define 1, 2 or 4 receive channels.
+
+//`define RX_SINGLE
+  `define RX_DUAL
+//`define RX_QUAD
+
+// ------------------------------------------------------------
+// Define RX_HB_ON to enable the receive halfband filter
+  `define RX_HB_ON
+
+// ------------------------------------------------------------
+// Define RX_NCO_ON to enable the receive Numerical Controlled Osc
+  `define RX_NCO_ON
+
+// ------------------------------------------------------------
+// Define RX_CIC_ON to enable the receive Cascaded Integrator Comb filter
+  `define RX_CIC_ON
diff --git a/usrp/fpga/toplevel/include/common_config_2rxhb_2tx.vh b/usrp/fpga/toplevel/include/common_config_2rxhb_2tx.vh
new file mode 100644 (file)
index 0000000..ecf0fa0
--- /dev/null
@@ -0,0 +1,61 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2006 Matt Ettus
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+// ------------------------------------------------------------
+// If TX_ON is not defined, there is *no* transmit circuitry built
+  `define TX_ON
+
+// ------------------------------------------------------------
+// Define 1 and only one of TX_SINGLE, TX_DUAL and TX_QUAD
+// to respectively enable 1, 2 or 4 transmit channels.
+// [Please note that only TX_SINGLE and TX_DUAL are currently valid]
+//`define TX_SINGLE
+  `define TX_DUAL
+//`define TX_QUAD
+
+// ------------------------------------------------------------
+// Define TX_HB_ON to enable the transmit halfband filter
+// [Not implemented]
+//`define TX_HB_ON
+
+// ------------------------------------------------------------
+// IF RX_ON is not defined, there is *no* receive circuitry built
+  `define RX_ON
+
+// ------------------------------------------------------------
+// Define 1 and only one of RX_SINGLE, RX_DUAL and RX_QUAD
+// to respectively define 1, 2 or 4 receive channels.
+
+//`define RX_SINGLE
+  `define RX_DUAL
+//`define RX_QUAD
+
+// ------------------------------------------------------------
+// Define RX_HB_ON to enable the receive halfband filter
+  `define RX_HB_ON
+
+// ------------------------------------------------------------
+// Define RX_NCO_ON to enable the receive Numerical Controlled Osc
+  `define RX_NCO_ON
+
+// ------------------------------------------------------------
+// Define RX_CIC_ON to enable the receive Cascaded Integrator Comb filter
+  `define RX_CIC_ON
diff --git a/usrp/fpga/toplevel/include/common_config_4rx_0tx.vh b/usrp/fpga/toplevel/include/common_config_4rx_0tx.vh
new file mode 100644 (file)
index 0000000..4984195
--- /dev/null
@@ -0,0 +1,61 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2006 Matt Ettus
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+// ------------------------------------------------------------
+// If TX_ON is not defined, there is *no* transmit circuitry built
+// `define TX_ON
+
+// ------------------------------------------------------------
+// Define 1 and only one of TX_SINGLE, TX_DUAL and TX_QUAD
+// to respectively enable 1, 2 or 4 transmit channels.
+// [Please note that only TX_SINGLE and TX_DUAL are currently valid]
+//`define TX_SINGLE
+//`define TX_DUAL
+//`define TX_QUAD
+
+// ------------------------------------------------------------
+// Define TX_HB_ON to enable the transmit halfband filter
+// [Not implemented]
+//`define TX_HB_ON
+
+// ------------------------------------------------------------
+// IF RX_ON is not defined, there is *no* receive circuitry built
+  `define RX_ON
+
+// ------------------------------------------------------------
+// Define 1 and only one of RX_SINGLE, RX_DUAL and RX_QUAD
+// to respectively define 1, 2 or 4 receive channels.
+
+//`define RX_SINGLE
+//`define RX_DUAL
+  `define RX_QUAD
+
+// ------------------------------------------------------------
+// Define RX_HB_ON to enable the receive halfband filter
+//`define RX_HB_ON
+
+// ------------------------------------------------------------
+// Define RX_NCO_ON to enable the receive Numerical Controlled Osc
+  `define RX_NCO_ON
+
+// ------------------------------------------------------------
+// Define RX_CIC_ON to enable the receive Cascaded Integrator Comb filter
+  `define RX_CIC_ON
diff --git a/usrp/fpga/toplevel/include/common_config_bottom.vh b/usrp/fpga/toplevel/include/common_config_bottom.vh
new file mode 100644 (file)
index 0000000..3129798
--- /dev/null
@@ -0,0 +1,104 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2006,2007 Matt Ettus
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+// ====================================================================
+//        This is the common tail for standard configuation
+// ====================================================================
+// 
+//                  >>>> DO NOT EDIT BELOW HERE <<<<
+//
+// N.B., *all* the remainder of the code should be conditionalized
+// only in terms of:
+//
+//  TX_ON, TX_EN_0, TX_EN_1, TX_EN_2, TX_EN_3, TX_CAP_NCHAN, TX_CAP_HB,
+//  RX_ON, RX_EN_0, RX_EN_1, RX_EN_2, RX_EN_3, RX_CAP_NCHAN, RX_CAP_HB,
+//  RX_NCO_ON, RX_CIC_ON
+// ====================================================================
+
+`ifdef TX_ON
+
+ `ifdef TX_SINGLE
+  `define TX_EN_0
+  `define TX_CAP_NCHAN 3'd1
+ `endif
+
+ `ifdef TX_DUAL
+  `define TX_EN_0
+  `define TX_EN_1
+  `define TX_CAP_NCHAN 3'd2
+ `endif
+
+ `ifdef TX_QUAD
+  `define TX_EN_0
+  `define TX_EN_1
+  `define TX_EN_2
+  `define TX_EN_3
+  `define TX_CAP_NCHAN 3'd4
+ `endif
+
+ `ifdef TX_HB_ON
+  `define TX_CAP_HB   1
+ `else
+  `define TX_CAP_HB   0
+ `endif
+
+`else  // !ifdef TX_ON
+
+ `define TX_CAP_NCHAN 3'd0
+ `define TX_CAP_HB 0
+
+`endif // !ifdef TX_ON
+
+// --------------------------------------------------------------------
+
+`ifdef RX_ON
+
+ `ifdef RX_SINGLE
+  `define RX_EN_0
+  `define RX_CAP_NCHAN 3'd1
+ `endif
+
+ `ifdef RX_DUAL
+  `define RX_EN_0
+  `define RX_EN_1
+  `define RX_CAP_NCHAN 3'd2
+ `endif
+
+ `ifdef RX_QUAD
+  `define RX_EN_0
+  `define RX_EN_1
+  `define RX_EN_2
+  `define RX_EN_3
+  `define RX_CAP_NCHAN 3'd4
+ `endif
+
+ `ifdef RX_HB_ON
+  `define RX_CAP_HB   1
+ `else
+  `define RX_CAP_HB   0
+ `endif
+
+`else  // !ifdef RX_ON
+
+ `define RX_CAP_NCHAN 3'd0
+ `define RX_CAP_HB 0
+
+`endif // !ifdef RX_ON
diff --git a/usrp/fpga/toplevel/mrfm/biquad_2stage.v b/usrp/fpga/toplevel/mrfm/biquad_2stage.v
new file mode 100644 (file)
index 0000000..9b76901
--- /dev/null
@@ -0,0 +1,131 @@
+`include "mrfm.vh"
+
+module biquad_2stage (input clock, input reset, input strobe_in,
+                     input serial_strobe, input [6:0] serial_addr, input [31:0] serial_data,
+                     input wire [15:0] sample_in, output reg [15:0] sample_out, output wire [63:0] debugbus);
+
+   wire [3:0]         coeff_addr, coeff_wr_addr;
+   wire [3:0]         data_addr, data_wr_addr;
+   reg [3:0]          cur_offset, data_addr_int, data_wr_addr_int;
+   
+   wire [15:0]                coeff, coeff_wr_data, data, data_wr_data;
+   wire               coeff_wr;
+   reg                        data_wr;
+   
+   wire [30:0]                product;
+   wire [33:0]                accum;
+   wire [15:0]                scaled_accum;             
+   
+   wire [7:0]         shift;
+   reg [3:0]          phase;
+   wire               enable_mult, enable_acc, latch_out, select_input;
+   reg                        done, clear_acc;
+   
+   setting_reg #(`FR_MRFM_IIR_COEFF) sr_coeff(.clock(clock),.reset(reset),
+                                             .strobe(serial_strobe),.addr(serial_addr),.in(serial_data),
+                                             .out({coeff_wr_addr,coeff_wr_data}),.changed(coeff_wr));
+   
+   setting_reg #(`FR_MRFM_IIR_SHIFT) sr_shift(.clock(clock),.reset(reset),
+                                             .strobe(serial_strobe),.addr(serial_addr),.in(serial_data),
+                                             .out(shift),.changed());
+   
+   ram16 coeff_ram(.clock(clock),.write(coeff_wr),.wr_addr(coeff_wr_addr),.wr_data(coeff_wr_data),
+                  .rd_addr(coeff_addr),.rd_data(coeff));
+   
+   ram16 data_ram(.clock(clock),.write(data_wr),.wr_addr(data_wr_addr),.wr_data(data_wr_data),
+                 .rd_addr(data_addr),.rd_data(data));
+   
+   mult mult (.clock(clock),.x(data),.y(coeff),.product(product),.enable_in(enable_mult),.enable_out() );
+   
+   acc acc (.clock(clock),.reset(reset),.clear(clear_acc),.enable_in(enable_acc),.enable_out(),
+           .addend(product),.sum(accum) );
+   
+   shifter shifter (.in(accum),.out(scaled_accum),.shift(shift));
+   
+   assign             data_wr_data = select_input ? sample_in : scaled_accum;
+   assign             enable_mult = 1'b1;
+   
+   always @(posedge clock)
+     if(reset)
+       cur_offset <= #1 4'd0;
+     else if(latch_out)
+       cur_offset <= #1 cur_offset + 4'd1;
+   
+   assign             data_addr = data_addr_int + cur_offset;           
+   assign             data_wr_addr = data_wr_addr_int + cur_offset;             
+   
+   always @(posedge clock)
+     if(reset)
+       done <= #1 1'b0;
+     else if(latch_out)
+       done <= #1 1'b1;
+     else if(strobe_in)
+       done <= #1 1'b0;
+   
+   always @(posedge clock)
+     if(reset)
+       phase <= #1 4'd0;
+     else if(strobe_in)
+       phase <= #1 4'd0;
+     else if(!done)
+       phase <= #1 phase + 4'd1;
+   
+   assign             coeff_addr = phase;
+   
+   always @(phase)
+     case(phase)
+       4'd01 : data_addr_int = 4'd00; 4'd02 : data_addr_int = 4'd01; 4'd03 : data_addr_int = 4'd02;
+       4'd04 : data_addr_int = 4'd03; 4'd05 : data_addr_int = 4'd04;
+       
+       4'd07 : data_addr_int = 4'd03; 4'd08 : data_addr_int = 4'd04; 4'd09 : data_addr_int = 4'd05;
+       4'd10 : data_addr_int = 4'd06; 4'd11 : data_addr_int = 4'd07;
+       default : data_addr_int = 4'd00;
+     endcase // case(phase)
+   
+   always @(phase)
+     case(phase)
+       4'd0 : data_wr_addr_int = 4'd2;
+       4'd8 : data_wr_addr_int = 4'd5;
+       4'd14 : data_wr_addr_int = 4'd8;
+       default : data_wr_addr_int = 4'd0;
+     endcase // case(phase)
+
+   always @(phase)
+     case(phase)
+       4'd0, 4'd8, 4'd14 : data_wr = 1'b1;
+       default : data_wr = 1'b0;
+     endcase // case(phase)
+
+   assign             select_input = (phase == 4'd0);
+   
+   always @(phase)
+     case(phase)
+       4'd0, 4'd1, 4'd2, 4'd3, 4'd9, 4'd15 : clear_acc = 1'd1;
+       default : clear_acc = 1'b0;
+     endcase // case(phase)
+   
+   assign             enable_acc = ~clear_acc;
+   assign             latch_out = (phase == 4'd14);
+   
+   always @(posedge clock)
+     if(reset)
+       sample_out <= #1 16'd0;
+     else if(latch_out)
+       sample_out <= #1 scaled_accum;
+
+   ////////////////////////////////////////////////////////
+   //  Debug
+
+   wire [3:0]         debugmux;
+   
+   setting_reg #(`FR_MRFM_DEBUG) sr_debugmux(.clock(clock),.reset(reset),
+                                            .strobe(serial_strobe),.addr(serial_addr),.in(serial_data),
+                                            .out(debugmux),.changed());
+
+   assign             debugbus[15:0] = debugmux[0] ? {coeff_addr,data_addr,data_wr_addr,cur_offset} : {phase,data_addr_int,data_wr_addr_int,cur_offset};
+   assign             debugbus[31:16] = debugmux[1] ? scaled_accum : {clock, strobe_in, data_wr, enable_mult, enable_acc, clear_acc, latch_out,select_input,done, data_addr_int};
+   assign             debugbus[47:32] = debugmux[2] ? sample_out : coeff;
+   assign             debugbus[63:48] = debugmux[3] ? sample_in : data;
+      
+endmodule // biquad_2stage
+
diff --git a/usrp/fpga/toplevel/mrfm/biquad_6stage.v b/usrp/fpga/toplevel/mrfm/biquad_6stage.v
new file mode 100644 (file)
index 0000000..2b0c511
--- /dev/null
@@ -0,0 +1,137 @@
+`include "mrfm.vh"
+
+module mrfm_iir (input clock, input reset, input strobe_in,
+                input serial_strobe, input [6:0] serial_addr, input [31:0] serial_data,
+                input wire [15:0] sample_in, output reg [15:0] sample_out);
+
+   wire [5:0]         coeff_addr, coeff_wr_addr;
+   wire [4:0]         data_addr, data_wr_addr;
+   reg [4:0]          cur_offset, data_addr_int, data_wr_addr_int;
+   
+   wire [15:0]                coeff, coeff_wr_data, data, data_wr_data;
+   wire               coeff_wr;
+   reg                        data_wr;
+   
+   wire [30:0]                product;
+   wire [33:0]                accum;
+   wire [15:0]                scaled_accum;             
+   
+   wire [7:0]         shift;
+   reg [5:0]          phase;
+   wire               enable_mult, enable_acc, latch_out, select_input;
+   reg                        done, clear_acc;
+   
+   setting_reg #(`FR_MRFM_IIR_COEFF) sr_coeff(.clock(clock),.reset(reset),
+                                             .strobe(serial_strobe),.addr(serial_addr),.in(serial_data),
+                                             .out({coeff_wr_addr,coeff_wr_data}),.changed(coeff_wr));
+   
+   setting_reg #(`FR_MRFM_IIR_SHIFT) sr_shift(.clock(clock),.reset(reset),
+                                             .strobe(serial_strobe),.addr(serial_addr),.in(serial_data),
+                                             .out(shift),.changed());
+   
+   ram64 coeff_ram(.clock(clock),.write(coeff_wr),.wr_addr(coeff_wr_addr),.wr_data(coeff_wr_data),
+                  .rd_addr(coeff_addr),.rd_data(coeff));
+   
+   ram32 data_ram(.clock(clock),.write(data_wr),.wr_addr(data_wr_addr),.wr_data(data_wr_data),
+                 .rd_addr(data_addr),.rd_data(data));
+   
+   mult mult (.clock(clock),.x(data),.y(coeff),.product(product),.enable_in(enable_mult),.enable_out() );
+   
+   acc acc (.clock(clock),.reset(reset),.clear(clear_acc),.enable_in(enable_acc),.enable_out(),
+           .addend(product),.sum(accum) );
+   
+   shifter shifter (.in(accum),.out(scaled_accum),.shift(shift));
+   
+   assign             data_wr_data = select_input ? sample_in : scaled_accum;
+   assign             enable_mult = 1'b1;
+   
+   always @(posedge clock)
+     if(reset)
+       cur_offset <= #1 5'd0;
+     else if(latch_out)
+       cur_offset <= #1 cur_offset + 5'd1;
+   
+   assign             data_addr = data_addr_int + cur_offset;           
+   assign             data_wr_addr = data_wr_addr_int + cur_offset;             
+   
+   always @(posedge clock)
+     if(reset)
+       done <= #1 1'b0;
+     else if(latch_out)
+       done <= #1 1'b1;
+     else if(strobe_in)
+       done <= #1 1'b0;
+   
+   always @(posedge clock)
+     if(reset)
+       phase <= #1 6'd0;
+     else if(strobe_in)
+       phase <= #1 6'd0;
+     else if(!done)
+       phase <= #1 phase + 6'd1;
+   
+   always @(phase)
+     case(phase)
+       6'd0 : data_addr_int = 5'd0;
+       default : data_addr_int = 5'd0;
+     endcase // case(phase)
+   
+   assign             coeff_addr = phase;
+   
+   always @(phase)
+     case(phase)
+       6'd01 : data_addr_int = 5'd00; 6'd02 : data_addr_int = 5'd01; 6'd03 : data_addr_int = 5'd02;
+       6'd04 : data_addr_int = 5'd03; 6'd05 : data_addr_int = 5'd04;
+       
+       6'd07 : data_addr_int = 5'd03; 6'd08 : data_addr_int = 5'd04; 6'd09 : data_addr_int = 5'd05;
+       6'd10 : data_addr_int = 5'd06; 6'd11 : data_addr_int = 5'd07;
+       
+       6'd13 : data_addr_int = 5'd06; 6'd14 : data_addr_int = 5'd07; 6'd15 : data_addr_int = 5'd08;
+       6'd16 : data_addr_int = 5'd09; 6'd17 : data_addr_int = 5'd10;
+       
+       6'd19 : data_addr_int = 5'd09; 6'd20 : data_addr_int = 5'd10; 6'd21 : data_addr_int = 5'd11;
+       6'd22 : data_addr_int = 5'd12; 6'd23 : data_addr_int = 5'd13;
+       
+       6'd25 : data_addr_int = 5'd12; 6'd26 : data_addr_int = 5'd13; 6'd27 : data_addr_int = 5'd14;
+       6'd28 : data_addr_int = 5'd15; 6'd29 : data_addr_int = 5'd16;
+       
+       6'd31 : data_addr_int = 5'd15; 6'd32 : data_addr_int = 5'd16; 6'd33 : data_addr_int = 5'd17;
+       6'd34 : data_addr_int = 5'd18; 6'd35 : data_addr_int = 5'd19;
+       
+       default : data_addr_int = 5'd00;
+     endcase // case(phase)
+   
+   always @(phase)
+     case(phase)
+       6'd0 : data_wr_addr_int = 5'd2;
+       6'd8 : data_wr_addr_int = 5'd5;
+       6'd14 : data_wr_addr_int = 5'd8;
+       6'd20 : data_wr_addr_int = 5'd11;
+       6'd26 : data_wr_addr_int = 5'd14;
+       6'd32 : data_wr_addr_int = 5'd17;
+       6'd38 : data_wr_addr_int = 5'd20;
+       default : data_wr_addr_int = 5'd0;
+     endcase // case(phase)
+
+   always @(phase)
+     case(phase)
+       6'd0, 6'd8, 6'd14, 6'd20, 6'd26, 6'd32, 6'd38: data_wr = 1'b1;
+       default : data_wr = 1'b0;
+     endcase // case(phase)
+      
+   always @(phase)
+     case(phase)
+       6'd0, 6'd1, 6'd2, 6'd3, 6'd9, 6'd15, 6'd21, 6'd27, 6'd33 : clear_acc = 1'd1;
+       default : clear_acc = 1'b0;
+     endcase // case(phase)
+   
+   assign             enable_acc = ~clear_acc;
+   assign             latch_out = (phase == 6'd38);
+   
+   always @(posedge clock)
+     if(reset)
+       sample_out <= #1 16'd0;
+     else if(latch_out)
+       sample_out <= #1 scaled_accum;
+   
+endmodule // mrfm_iir
diff --git a/usrp/fpga/toplevel/mrfm/mrfm.csf b/usrp/fpga/toplevel/mrfm/mrfm.csf
new file mode 100644 (file)
index 0000000..2c30b99
--- /dev/null
@@ -0,0 +1,444 @@
+COMPILER_SETTINGS
+{
+       IO_PLACEMENT_OPTIMIZATION = OFF;
+       ENABLE_DRC_SETTINGS = OFF;
+       PHYSICAL_SYNTHESIS_REGISTER_RETIMING = OFF;
+       PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION = OFF;
+       PHYSICAL_SYNTHESIS_COMBO_LOGIC = OFF;
+       DRC_FANOUT_EXCEEDING = 30;
+       DRC_REPORT_FANOUT_EXCEEDING = OFF;
+       DRC_TOP_FANOUT = 50;
+       DRC_REPORT_TOP_FANOUT = OFF;
+       RUN_DRC_DURING_COMPILATION = OFF;
+       ADV_NETLIST_OPT_RETIME_CORE_AND_IO = ON;
+       ADV_NETLIST_OPT_SYNTH_USE_FITTER_INFO = OFF;
+       ADV_NETLIST_OPT_SYNTH_GATE_RETIME = OFF;
+       ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP = OFF;
+       SMART_COMPILE_IGNORES_TDC_FOR_STRATIX_PLL_CHANGES = OFF;
+       MERGE_HEX_FILE = OFF;
+       TRUE_WYSIWYG_FLOW = OFF;
+       SEED = 1;
+       FINAL_PLACEMENT_OPTIMIZATION = AUTOMATICALLY;
+       FAMILY = Cyclone;
+       DPRAM_DUAL_PORT_MODE_OTHER_SIGNALS_EPXA1 = "DPRAM0 TO 1 DPRAM1 TO 2";
+       DPRAM_32BIT_SINGLE_PORT_MODE_OTHER_SIGNALS_EPXA1 = "MEGALAB COLUMN 1";
+       DPRAM_8BIT_16BIT_SINGLE_PORT_MODE_OTHER_SIGNALS_EPXA1 = "MEGALAB COLUMN 1";
+       DPRAM_DUAL_PORT_MODE_OUTPUT_EPXA1 = "DPRAM0 TO 1 DPRAM1 TO 2";
+       DPRAM_32BIT_SINGLE_PORT_MODE_OUTPUT_EPXA1 = "LOWER TO 1ESB UPPER TO 1";
+       DPRAM_8BIT_16BIT_SINGLE_PORT_MODE_OUTPUT_EPXA1 = "MEGALAB COLUMN 1";
+       DPRAM_DUAL_PORT_MODE_INPUT_EPXA1 = "DPRAM0 TO 1 DPRAM1 TO 2";
+       DPRAM_32BIT_SINGLE_PORT_MODE_INPUT_EPXA1 = "MEGALAB COLUMN 1";
+       DPRAM_8BIT_16BIT_SINGLE_PORT_MODE_INPUT_EPXA1 = "MEGALAB COLUMN 1";
+       DPRAM_DUAL_PORT_MODE_OTHER_SIGNALS_EPXA4_10 = "DPRAM0 TO 3 DPRAM1 TO 4";
+       DPRAM_SINGLE_PORT_MODE_OTHER_SIGNALS_EPXA4_10 = "DPRAM0 TO 3 DPRAM1 TO 4";
+       DPRAM_WIDE_MODE_OTHER_SIGNALS_EPXA4_10 = "MEGALAB COLUMN 3";
+       DPRAM_DEEP_MODE_OTHER_SIGNALS_EPXA4_10 = "MEGALAB COLUMN 3";
+       DPRAM_DUAL_PORT_MODE_OUTPUT_EPXA4_10 = "DPRAM0 TO 3 DPRAM1 TO 4ESB";
+       DPRAM_SINGLE_PORT_MODE_OUTPUT_EPXA4_10 = "DPRAM0 TO 3 DPRAM1 TO 4ESB";
+       DPRAM_WIDE_MODE_OUTPUT_EPXA4_10 = "LOWER TO 3 UPPER TO 4ESB";
+       DPRAM_DEEP_MODE_OUTPUT_EPXA4_10 = "MEGALAB COLUMN 3";
+       DPRAM_DUAL_PORT_MODE_INPUT_EPXA4_10 = "DPRAM0 TO 3 DPRAM1 TO 4";
+       DPRAM_SINGLE_PORT_MODE_INPUT_EPXA4_10 = "DPRAM0 TO 3 DPRAM1 TO 4";
+       DPRAM_WIDE_MODE_INPUT_EPXA4_10 = "LOWER TO 3 UPPER TO 4";
+       DPRAM_DEEP_MODE_INPUT_EPXA4_10 = "MEGALAB COLUMN 3";
+       DPRAM_OTHER_SIGNALS_EPXA4_10 = "DEFAULT OTHER ROUTING OPTIONS";
+       DPRAM_OUTPUT_EPXA4_10 = "DEFAULT OUTPUT ROUTING OPTIONS";
+       DPRAM_INPUT_EPXA4_10 = "DEFAULT INPUT ROUTING OPTIONS";
+       STRIPE_TO_PLD_INTERRUPTS_EPXA4_10 = "MEGALAB COLUMN 2";
+       PLD_TO_STRIPE_INTERRUPTS_EPXA4_10 = "MEGALAB COLUMN 2";
+       PROCESSOR_DEBUG_EXTENSIONS_EPXA4_10 = "MEGALAB COLUMN 2";
+       STRIPE_TO_PLD_BRIDGE_EPXA4_10 = "MEGALAB COLUMN 1";
+       FAST_FIT_COMPILATION = OFF;
+       SIGNALPROBE_DURING_NORMAL_COMPILATION = OFF;
+       OPTIMIZE_IOC_REGISTER_PLACEMENT_FOR_TIMING = ON;
+       OPTIMIZE_TIMING = "NORMAL COMPILATION";
+       OPTIMIZE_HOLD_TIMING = OFF;
+       COMPILATION_LEVEL = FULL;
+       SAVE_DISK_SPACE = OFF;
+       SPEED_DISK_USAGE_TRADEOFF = NORMAL;
+       LOGICLOCK_INCREMENTAL_COMPILE_ASSIGNMENT = OFF;
+       SIGNALPROBE_ALLOW_OVERUSE = OFF;
+       FOCUS_ENTITY_NAME = |mrfm;
+       ROUTING_BACK_ANNOTATION_MODE = OFF;
+       INC_PLC_MODE = OFF;
+       FIT_ONLY_ONE_ATTEMPT = OFF;
+}
+DEFAULT_DEVICE_OPTIONS
+{
+       GENERATE_CONFIG_HEXOUT_FILE = OFF;
+       GENERATE_CONFIG_JBC_FILE_COMPRESSED = ON;
+       GENERATE_CONFIG_JBC_FILE = OFF;
+       GENERATE_CONFIG_JAM_FILE = OFF;
+       GENERATE_CONFIG_ISC_FILE = OFF;
+       GENERATE_CONFIG_SVF_FILE = OFF;
+       GENERATE_JBC_FILE_COMPRESSED = ON;
+       GENERATE_JBC_FILE = OFF;
+       GENERATE_JAM_FILE = OFF;
+       GENERATE_ISC_FILE = OFF;
+       GENERATE_SVF_FILE = OFF;
+       RESERVE_PIN = "AS INPUT TRI-STATED";
+       RESERVE_ALL_UNUSED_PINS = "AS OUTPUT DRIVING GROUND";
+       HEXOUT_FILE_COUNT_DIRECTION = UP;
+       HEXOUT_FILE_START_ADDRESS = 0;
+       GENERATE_HEX_FILE = OFF;
+       GENERATE_RBF_FILE = OFF;
+       GENERATE_TTF_FILE = OFF;
+       RESERVE_ASDO_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       RESERVE_DATA0_AFTER_CONFIGURATION = "AS INPUT TRI-STATED";
+       RESERVE_DATA7_THROUGH_DATA1_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       RESERVE_RDYNBUSY_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       RESERVE_NWS_NRS_NCS_CS_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       DISABLE_NCS_AND_OE_PULLUPS_ON_CONFIG_DEVICE = OFF;
+       AUTO_INCREMENT_CONFIG_DEVICE_JTAG_USER_CODE = ON;
+       EPROM_USE_CHECKSUM_AS_USERCODE = OFF;
+       FLEX10K_CONFIG_DEVICE_JTAG_USER_CODE = FFFFFFFF;
+       MERCURY_CONFIG_DEVICE_JTAG_USER_CODE = FFFFFFFF;
+       STRATIX_CONFIG_DEVICE_JTAG_USER_CODE = FFFFFFFF;
+       APEX20K_CONFIG_DEVICE_JTAG_USER_CODE = FFFFFFFF;
+       STRATIX_CONFIGURATION_DEVICE = AUTO;
+       CYCLONE_CONFIGURATION_DEVICE = AUTO;
+       FLEX10K_CONFIGURATION_DEVICE = AUTO;
+       FLEX6K_CONFIGURATION_DEVICE = AUTO;
+       MERCURY_CONFIGURATION_DEVICE = AUTO;
+       EXCALIBUR_CONFIGURATION_DEVICE = AUTO;
+       APEX20K_CONFIGURATION_DEVICE = AUTO;
+       USE_CONFIGURATION_DEVICE = ON;
+       ENABLE_INIT_DONE_OUTPUT = OFF;
+       FLEX10K_ENABLE_LOCK_OUTPUT = OFF;
+       ENABLE_DEVICE_WIDE_OE = OFF;
+       ENABLE_DEVICE_WIDE_RESET = OFF;
+       RELEASE_CLEARS_BEFORE_TRI_STATES = OFF;
+       AUTO_RESTART_CONFIGURATION = OFF;
+       ENABLE_VREFB_PIN = OFF;
+       ENABLE_VREFA_PIN = OFF;
+       SECURITY_BIT = OFF;
+       USER_START_UP_CLOCK = OFF;
+       APEXII_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       FLEX10K_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       FLEX6K_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       MERCURY_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       EXCALIBUR_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       CYCLONE_CONFIGURATION_SCHEME = "ACTIVE SERIAL";
+       STRATIX_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       APEX20K_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       STRATIX_UPDATE_MODE = STANDARD;
+       USE_CHECKSUM_AS_USERCODE = OFF;
+       MAX7000_USE_CHECKSUM_AS_USERCODE = OFF;
+       MAX7000_JTAG_USER_CODE = FFFFFFFF;
+       FLEX10K_JTAG_USER_CODE = 7F;
+       MERCURY_JTAG_USER_CODE = FFFFFFFF;
+       APEX20K_JTAG_USER_CODE = FFFFFFFF;
+       STRATIX_JTAG_USER_CODE = FFFFFFFF;
+       MAX7000S_JTAG_USER_CODE = FFFF;
+       RESERVE_NCEO_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       FLEX10K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE = ON;
+       FLEX6K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE = OFF;
+       ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE = ON;
+       MAX7000_ENABLE_JTAG_BST_SUPPORT = ON;
+       ENABLE_JTAG_BST_SUPPORT = OFF;
+       CONFIGURATION_CLOCK_DIVISOR = 1;
+       CONFIGURATION_CLOCK_FREQUENCY = "10 MHZ";
+       CLOCK_SOURCE = INTERNAL;
+       COMPRESSION_MODE = OFF;
+       ON_CHIP_BITSTREAM_DECOMPRESSION = OFF;
+}
+AUTO_SLD_HUB_ENTITY
+{
+       AUTO_INSERT_SLD_HUB_ENTITY = ENABLE;
+       HUB_INSTANCE_NAME = SLD_HUB_INST;
+       HUB_ENTITY_NAME = SLD_HUB;
+}
+SIGNALTAP_LOGIC_ANALYZER_SETTINGS
+{
+       ENABLE_SIGNALTAP = Off;
+       AUTO_ENABLE_SMART_COMPILE = On;
+}
+CHIP(mrfm)
+{
+       DEVICE = EP1C12Q240C8;
+       DEVICE_FILTER_PACKAGE = "ANY QFP";
+       DEVICE_FILTER_PIN_COUNT = 240;
+       DEVICE_FILTER_SPEED_GRADE = ANY;
+       AUTO_RESTART_CONFIGURATION = OFF;
+       RELEASE_CLEARS_BEFORE_TRI_STATES = OFF;
+       USER_START_UP_CLOCK = OFF;
+       ENABLE_DEVICE_WIDE_RESET = OFF;
+       ENABLE_DEVICE_WIDE_OE = OFF;
+       ENABLE_INIT_DONE_OUTPUT = OFF;
+       FLEX10K_ENABLE_LOCK_OUTPUT = OFF;
+       ENABLE_JTAG_BST_SUPPORT = OFF;
+       MAX7000_ENABLE_JTAG_BST_SUPPORT = ON;
+       APEX20K_JTAG_USER_CODE = FFFFFFFF;
+       MERCURY_JTAG_USER_CODE = FFFFFFFF;
+       FLEX10K_JTAG_USER_CODE = 7F;
+       MAX7000_JTAG_USER_CODE = FFFFFFFF;
+       MAX7000S_JTAG_USER_CODE = FFFF;
+       STRATIX_JTAG_USER_CODE = FFFFFFFF;
+       APEX20K_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       MERCURY_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       FLEX6K_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       FLEX10K_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       EXCALIBUR_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       APEXII_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       STRATIX_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       CYCLONE_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       USE_CONFIGURATION_DEVICE = OFF;
+       APEX20K_CONFIGURATION_DEVICE = AUTO;
+       MERCURY_CONFIGURATION_DEVICE = AUTO;
+       FLEX6K_CONFIGURATION_DEVICE = AUTO;
+       FLEX10K_CONFIGURATION_DEVICE = AUTO;
+       EXCALIBUR_CONFIGURATION_DEVICE = AUTO;
+       STRATIX_CONFIGURATION_DEVICE = AUTO;
+       CYCLONE_CONFIGURATION_DEVICE = AUTO;
+       STRATIX_UPDATE_MODE = STANDARD;
+       APEX20K_CONFIG_DEVICE_JTAG_USER_CODE = FFFFFFFF;
+       MERCURY_CONFIG_DEVICE_JTAG_USER_CODE = FFFFFFFF;
+       FLEX10K_CONFIG_DEVICE_JTAG_USER_CODE = FFFFFFFF;
+       STRATIX_CONFIG_DEVICE_JTAG_USER_CODE = FFFFFFFF;
+       AUTO_INCREMENT_CONFIG_DEVICE_JTAG_USER_CODE = ON;
+       DISABLE_NCS_AND_OE_PULLUPS_ON_CONFIG_DEVICE = OFF;
+       COMPRESSION_MODE = OFF;
+       ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE = ON;
+       FLEX6K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE = OFF;
+       FLEX10K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE = ON;
+       EPROM_USE_CHECKSUM_AS_USERCODE = OFF;
+       USE_CHECKSUM_AS_USERCODE = OFF;
+       MAX7000_USE_CHECKSUM_AS_USERCODE = OFF;
+       GENERATE_TTF_FILE = OFF;
+       GENERATE_RBF_FILE = ON;
+       GENERATE_HEX_FILE = OFF;
+       SECURITY_BIT = OFF;
+       ENABLE_VREFA_PIN = OFF;
+       ENABLE_VREFB_PIN = OFF;
+       GENERATE_SVF_FILE = OFF;
+       GENERATE_ISC_FILE = OFF;
+       GENERATE_JAM_FILE = OFF;
+       GENERATE_JBC_FILE = OFF;
+       GENERATE_JBC_FILE_COMPRESSED = ON;
+       GENERATE_CONFIG_SVF_FILE = OFF;
+       GENERATE_CONFIG_ISC_FILE = OFF;
+       GENERATE_CONFIG_JAM_FILE = OFF;
+       GENERATE_CONFIG_JBC_FILE = OFF;
+       GENERATE_CONFIG_JBC_FILE_COMPRESSED = ON;
+       GENERATE_CONFIG_HEXOUT_FILE = OFF;
+       ON_CHIP_BITSTREAM_DECOMPRESSION = OFF;
+       BASE_PIN_OUT_FILE_ON_SAMEFRAME_DEVICE = OFF;
+       HEXOUT_FILE_START_ADDRESS = 0;
+       HEXOUT_FILE_COUNT_DIRECTION = UP;
+       RESERVE_ALL_UNUSED_PINS = "AS INPUT TRI-STATED";
+       STRATIX_DEVICE_IO_STANDARD = LVTTL;
+       CLOCK_SOURCE = INTERNAL;
+       CONFIGURATION_CLOCK_FREQUENCY = "10 MHZ";
+       CONFIGURATION_CLOCK_DIVISOR = 1;
+       RESERVE_NWS_NRS_NCS_CS_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       RESERVE_RDYNBUSY_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       RESERVE_DATA7_THROUGH_DATA1_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       RESERVE_DATA0_AFTER_CONFIGURATION = "AS INPUT TRI-STATED";
+       RESERVE_NCEO_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       RESERVE_ASDO_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       SCLK : LOCATION = Pin_101;
+       SDI : LOCATION = Pin_100;
+       SEN : LOCATION = Pin_98;
+       SLD : LOCATION = Pin_95;
+       adc1_data[0] : LOCATION = Pin_5;
+       adc1_data[10] : LOCATION = Pin_235;
+       adc1_data[11] : LOCATION = Pin_234;
+       adc1_data[1] : LOCATION = Pin_4;
+       adc1_data[2] : LOCATION = Pin_3;
+       adc1_data[3] : LOCATION = Pin_2;
+       adc1_data[4] : LOCATION = Pin_1;
+       adc1_data[4] : IO_STANDARD = LVTTL;
+       adc1_data[5] : LOCATION = Pin_240;
+       adc1_data[6] : LOCATION = Pin_239;
+       adc1_data[7] : LOCATION = Pin_238;
+       adc1_data[8] : LOCATION = Pin_237;
+       adc1_data[9] : LOCATION = Pin_236;
+       adc2_data[0] : LOCATION = Pin_20;
+       adc2_data[10] : LOCATION = Pin_8;
+       adc2_data[11] : LOCATION = Pin_7;
+       adc2_data[1] : LOCATION = Pin_19;
+       adc2_data[2] : LOCATION = Pin_18;
+       adc2_data[3] : LOCATION = Pin_17;
+       adc2_data[4] : LOCATION = Pin_16;
+       adc2_data[5] : LOCATION = Pin_15;
+       adc2_data[6] : LOCATION = Pin_14;
+       adc2_data[7] : LOCATION = Pin_13;
+       adc2_data[8] : LOCATION = Pin_12;
+       adc2_data[9] : LOCATION = Pin_11;
+       adc3_data[0] : LOCATION = Pin_200;
+       adc3_data[10] : LOCATION = Pin_184;
+       adc3_data[11] : LOCATION = Pin_183;
+       adc3_data[1] : LOCATION = Pin_197;
+       adc3_data[2] : LOCATION = Pin_196;
+       adc3_data[3] : LOCATION = Pin_195;
+       adc3_data[4] : LOCATION = Pin_194;
+       adc3_data[5] : LOCATION = Pin_193;
+       adc3_data[6] : LOCATION = Pin_188;
+       adc3_data[7] : LOCATION = Pin_187;
+       adc3_data[8] : LOCATION = Pin_186;
+       adc3_data[9] : LOCATION = Pin_185;
+       adc4_data[0] : LOCATION = Pin_222;
+       adc4_data[10] : LOCATION = Pin_203;
+       adc4_data[11] : LOCATION = Pin_202;
+       adc4_data[1] : LOCATION = Pin_219;
+       adc4_data[2] : LOCATION = Pin_217;
+       adc4_data[3] : LOCATION = Pin_216;
+       adc4_data[4] : LOCATION = Pin_215;
+       adc4_data[5] : LOCATION = Pin_214;
+       adc4_data[6] : LOCATION = Pin_213;
+       adc4_data[7] : LOCATION = Pin_208;
+       adc4_data[8] : LOCATION = Pin_207;
+       adc4_data[9] : LOCATION = Pin_206;
+       adc_oeb[0] : LOCATION = Pin_228;
+       adc_oeb[1] : LOCATION = Pin_21;
+       adc_oeb[2] : LOCATION = Pin_181;
+       adc_oeb[3] : LOCATION = Pin_218;
+       adc_otr[0] : LOCATION = Pin_233;
+       adc_otr[1] : LOCATION = Pin_6;
+       adc_otr[2] : LOCATION = Pin_182;
+       adc_otr[3] : LOCATION = Pin_201;
+       adclk0 : LOCATION = Pin_224;
+       adclk1 : LOCATION = Pin_226;
+       clk0 : LOCATION = Pin_28;
+       clk0 : RESERVE_PIN = "AS INPUT TRI-STATED";
+       clk0 : IO_STANDARD = LVTTL;
+       clk1 : LOCATION = Pin_29;
+       clk1 : RESERVE_PIN = "AS INPUT TRI-STATED";
+       clk1 : IO_STANDARD = LVTTL;
+       clk3 : LOCATION = Pin_152;
+       clk3 : RESERVE_PIN = "AS INPUT TRI-STATED";
+       clk3 : IO_STANDARD = LVTTL;
+       clk_120mhz : LOCATION = Pin_153;
+       clk_120mhz : IO_STANDARD = LVTTL;
+       clk_out : LOCATION = Pin_63;
+       clk_out : IO_STANDARD = LVTTL;
+       dac1_data[0] : LOCATION = Pin_165;
+       dac1_data[10] : LOCATION = Pin_177;
+       dac1_data[11] : LOCATION = Pin_178;
+       dac1_data[12] : LOCATION = Pin_179;
+       dac1_data[13] : LOCATION = Pin_180;
+       dac1_data[1] : LOCATION = Pin_166;
+       dac1_data[2] : LOCATION = Pin_167;
+       dac1_data[3] : LOCATION = Pin_168;
+       dac1_data[4] : LOCATION = Pin_169;
+       dac1_data[5] : LOCATION = Pin_170;
+       dac1_data[6] : LOCATION = Pin_173;
+       dac1_data[7] : LOCATION = Pin_174;
+       dac1_data[8] : LOCATION = Pin_175;
+       dac1_data[9] : LOCATION = Pin_176;
+       dac2_data[0] : LOCATION = Pin_159;
+       dac2_data[10] : LOCATION = Pin_163;
+       dac2_data[11] : LOCATION = Pin_139;
+       dac2_data[12] : LOCATION = Pin_164;
+       dac2_data[13] : LOCATION = Pin_138;
+       dac2_data[1] : LOCATION = Pin_158;
+       dac2_data[2] : LOCATION = Pin_160;
+       dac2_data[3] : LOCATION = Pin_156;
+       dac2_data[4] : LOCATION = Pin_161;
+       dac2_data[5] : LOCATION = Pin_144;
+       dac2_data[6] : LOCATION = Pin_162;
+       dac2_data[7] : LOCATION = Pin_141;
+       dac2_data[8] : LOCATION = Pin_143;
+       dac2_data[9] : LOCATION = Pin_140;
+       dac3_data[0] : LOCATION = Pin_122;
+       dac3_data[10] : LOCATION = Pin_134;
+       dac3_data[11] : LOCATION = Pin_135;
+       dac3_data[12] : LOCATION = Pin_136;
+       dac3_data[13] : LOCATION = Pin_137;
+       dac3_data[1] : LOCATION = Pin_123;
+       dac3_data[2] : LOCATION = Pin_124;
+       dac3_data[3] : LOCATION = Pin_125;
+       dac3_data[4] : LOCATION = Pin_126;
+       dac3_data[5] : LOCATION = Pin_127;
+       dac3_data[6] : LOCATION = Pin_128;
+       dac3_data[7] : LOCATION = Pin_131;
+       dac3_data[8] : LOCATION = Pin_132;
+       dac3_data[9] : LOCATION = Pin_133;
+       dac4_data[0] : LOCATION = Pin_104;
+       dac4_data[10] : LOCATION = Pin_118;
+       dac4_data[11] : LOCATION = Pin_119;
+       dac4_data[12] : LOCATION = Pin_120;
+       dac4_data[13] : LOCATION = Pin_121;
+       dac4_data[1] : LOCATION = Pin_105;
+       dac4_data[2] : LOCATION = Pin_106;
+       dac4_data[3] : LOCATION = Pin_107;
+       dac4_data[4] : LOCATION = Pin_108;
+       dac4_data[5] : LOCATION = Pin_113;
+       dac4_data[6] : LOCATION = Pin_114;
+       dac4_data[7] : LOCATION = Pin_115;
+       dac4_data[8] : LOCATION = Pin_116;
+       dac4_data[9] : LOCATION = Pin_117;
+       enable_rx : LOCATION = Pin_88;
+       enable_tx : LOCATION = Pin_93;
+       gndbus[0] : LOCATION = Pin_223;
+       gndbus[0] : RESERVE_PIN = "AS INPUT TRI-STATED";
+       gndbus[0] : IO_STANDARD = LVTTL;
+       gndbus[1] : LOCATION = Pin_225;
+       gndbus[1] : RESERVE_PIN = "AS INPUT TRI-STATED";
+       gndbus[1] : IO_STANDARD = LVTTL;
+       gndbus[2] : LOCATION = Pin_227;
+       gndbus[2] : RESERVE_PIN = "AS INPUT TRI-STATED";
+       gndbus[2] : IO_STANDARD = LVTTL;
+       gndbus[3] : LOCATION = Pin_62;
+       gndbus[3] : RESERVE_PIN = "AS INPUT TRI-STATED";
+       gndbus[3] : IO_STANDARD = LVTTL;
+       gndbus[4] : LOCATION = Pin_64;
+       gndbus[4] : RESERVE_PIN = "AS INPUT TRI-STATED";
+       gndbus[4] : IO_STANDARD = LVTTL;
+       misc_pins[0] : LOCATION = Pin_87;
+       misc_pins[0] : IO_STANDARD = LVTTL;
+       misc_pins[10] : LOCATION = Pin_76;
+       misc_pins[10] : IO_STANDARD = LVTTL;
+       misc_pins[11] : LOCATION = Pin_74;
+       misc_pins[11] : IO_STANDARD = LVTTL;
+       misc_pins[1] : LOCATION = Pin_86;
+       misc_pins[1] : IO_STANDARD = LVTTL;
+       misc_pins[2] : LOCATION = Pin_85;
+       misc_pins[2] : IO_STANDARD = LVTTL;
+       misc_pins[3] : LOCATION = Pin_84;
+       misc_pins[3] : IO_STANDARD = LVTTL;
+       misc_pins[4] : LOCATION = Pin_83;
+       misc_pins[4] : IO_STANDARD = LVTTL;
+       misc_pins[5] : LOCATION = Pin_82;
+       misc_pins[5] : IO_STANDARD = LVTTL;
+       misc_pins[6] : LOCATION = Pin_79;
+       misc_pins[6] : IO_STANDARD = LVTTL;
+       misc_pins[7] : LOCATION = Pin_78;
+       misc_pins[7] : IO_STANDARD = LVTTL;
+       misc_pins[8] : LOCATION = Pin_77;
+       misc_pins[8] : IO_STANDARD = LVTTL;
+       misc_pins[9] : LOCATION = Pin_75;
+       misc_pins[9] : IO_STANDARD = LVTTL;
+       reset : LOCATION = Pin_94;
+       usbclk : LOCATION = Pin_55;
+       usbctl[0] : LOCATION = Pin_56;
+       usbctl[1] : LOCATION = Pin_54;
+       usbctl[2] : LOCATION = Pin_53;
+       usbctl[3] : LOCATION = Pin_58;
+       usbctl[4] : LOCATION = Pin_57;
+       usbctl[5] : LOCATION = Pin_44;
+       usbdata[0] : LOCATION = Pin_73;
+       usbdata[10] : LOCATION = Pin_41;
+       usbdata[11] : LOCATION = Pin_39;
+       usbdata[12] : LOCATION = Pin_38;
+       usbdata[12] : IO_STANDARD = LVTTL;
+       usbdata[13] : LOCATION = Pin_37;
+       usbdata[14] : LOCATION = Pin_24;
+       usbdata[15] : LOCATION = Pin_23;
+       usbdata[1] : LOCATION = Pin_68;
+       usbdata[2] : LOCATION = Pin_67;
+       usbdata[3] : LOCATION = Pin_66;
+       usbdata[4] : LOCATION = Pin_65;
+       usbdata[5] : LOCATION = Pin_61;
+       usbdata[6] : LOCATION = Pin_60;
+       usbdata[7] : LOCATION = Pin_59;
+       usbdata[8] : LOCATION = Pin_43;
+       usbdata[9] : LOCATION = Pin_42;
+       usbrdy[0] : LOCATION = Pin_45;
+       usbrdy[1] : LOCATION = Pin_46;
+       usbrdy[2] : LOCATION = Pin_47;
+       usbrdy[3] : LOCATION = Pin_48;
+       usbrdy[4] : LOCATION = Pin_49;
+       usbrdy[5] : LOCATION = Pin_50;
+       clear_status : LOCATION = Pin_99;
+}
diff --git a/usrp/fpga/toplevel/mrfm/mrfm.esf b/usrp/fpga/toplevel/mrfm/mrfm.esf
new file mode 100644 (file)
index 0000000..72b84e3
--- /dev/null
@@ -0,0 +1,14 @@
+SIMULATOR_SETTINGS
+{
+       ESTIMATE_POWER_CONSUMPTION = OFF;
+       GLITCH_INTERVAL = 1NS;
+       GLITCH_DETECTION = OFF;
+       SIMULATION_COVERAGE = ON;
+       CHECK_OUTPUTS = OFF;
+       SETUP_HOLD_DETECTION = OFF;
+       POWER_ESTIMATION_START_TIME = "0 NS";
+       ADD_DEFAULT_PINS_TO_SIMULATION_OUTPUT_WAVEFORMS = ON;
+       SIMULATION_MODE = TIMING;
+       START_TIME = 0NS;
+       USE_COMPILER_SETTINGS = mrfm;
+}
diff --git a/usrp/fpga/toplevel/mrfm/mrfm.psf b/usrp/fpga/toplevel/mrfm/mrfm.psf
new file mode 100644 (file)
index 0000000..678a7fa
--- /dev/null
@@ -0,0 +1,312 @@
+DEFAULT_DESIGN_ASSISTANT_SETTINGS
+{
+       HCPY_ALOAD_SIGNALS = OFF;
+       HCPY_VREF_PINS = OFF;
+       HCPY_CAT = OFF;
+       HCPY_ILLEGAL_HC_DEV_PKG = OFF;
+       ACLK_RULE_IMSZER_ADOMAIN = OFF;
+       ACLK_RULE_SZER_BTW_ACLK_DOMAIN = OFF;
+       ACLK_RULE_NO_SZER_ACLK_DOMAIN = OFF;
+       ACLK_CAT = OFF;
+       SIGNALRACE_RULE_ASYNCHPIN_SYNCH_CLKPIN = OFF;
+       SIGNALRACE_CAT = OFF;
+       NONSYNCHSTRUCT_RULE_LATCH_UNIDENTIFIED = OFF;
+       NONSYNCHSTRUCT_RULE_SRLATCH = OFF;
+       NONSYNCHSTRUCT_RULE_DLATCH = OFF;
+       NONSYNCHSTRUCT_RULE_MULTI_VIBRATOR = OFF;
+       NONSYNCHSTRUCT_RULE_ILLEGAL_PULSE_GEN = OFF;
+       NONSYNCHSTRUCT_RULE_RIPPLE_CLK = OFF;
+       NONSYNCHSTRUCT_RULE_DELAY_CHAIN = OFF;
+       NONSYNCHSTRUCT_RULE_REG_LOOP = OFF;
+       NONSYNCHSTRUCT_RULE_COMBLOOP = OFF;
+       NONSYNCHSTRUCT_CAT = OFF;
+       NONSYNCHSTRUCT_RULE_COMB_DRIVES_RAM_WE = OFF;
+       TIMING_RULE_COIN_CLKEDGE = OFF;
+       TIMING_RULE_SHIFT_REG = OFF;
+       TIMING_RULE_HIGH_FANOUTS = OFF;
+       TIMING_CAT = OFF;
+       RESET_RULE_ALL = OFF;
+       RESET_RULE_IMSYNCH_ASYNCH_DOMAIN = OFF;
+       RESET_RULE_UNSYNCH_ASYNCH_DOMAIN = OFF;
+       RESET_RULE_REG_ASNYCH = OFF;
+       RESET_RULE_COMB_ASYNCH_RESET = OFF;
+       RESET_RULE_IMSYNCH_EXRESET = OFF;
+       RESET_RULE_UNSYNCH_EXRESET = OFF;
+       RESET_RULE_INPINS_RESETNET = OFF;
+       RESET_CAT = OFF;
+       CLK_RULE_ALL = OFF;
+       CLK_RULE_MIX_EDGES = OFF;
+       CLK_RULE_CLKNET_CLKSPINES = OFF;
+       CLK_RULE_INPINS_CLKNET = OFF;
+       CLK_RULE_GATING_SCHEME = OFF;
+       CLK_RULE_INV_CLOCK = OFF;
+       CLK_RULE_COMB_CLOCK = OFF;
+       CLK_CAT = OFF;
+       HCPY_EXCEED_USER_IO_USAGE = OFF;
+       HCPY_EXCEED_RAM_USAGE = OFF;
+       NONSYNCHSTRUCT_RULE_ASYN_RAM = OFF;
+       SIGNALRACE_RULE_TRISTATE = OFF;
+       ASSG_RULE_MISSING_TIMING = OFF;
+       ASSG_RULE_MISSING_FMAX = OFF;
+       ASSG_CAT = OFF;
+}
+SYNTHESIS_FITTING_SETTINGS
+{
+       AUTO_SHIFT_REGISTER_RECOGNITION = ON;
+       AUTO_DSP_RECOGNITION = ON;
+       AUTO_RAM_RECOGNITION = ON;
+       REMOVE_DUPLICATE_LOGIC = ON;
+       AUTO_TURBO_BIT = ON;
+       AUTO_MERGE_PLLS = ON;
+       AUTO_OPEN_DRAIN_PINS = ON;
+       AUTO_PARALLEL_EXPANDERS = ON;
+       AUTO_FAST_OUTPUT_ENABLE_REGISTERS = OFF;
+       AUTO_FAST_OUTPUT_REGISTERS = OFF;
+       AUTO_FAST_INPUT_REGISTERS = OFF;
+       AUTO_CASCADE_CHAINS = ON;
+       AUTO_CARRY_CHAINS = ON;
+       AUTO_DELAY_CHAINS = ON;
+       MAX7000_PARALLEL_EXPANDER_CHAIN_LENGTH = 4;
+       PARALLEL_EXPANDER_CHAIN_LENGTH = 16;
+       CASCADE_CHAIN_LENGTH = 2;
+       STRATIX_CARRY_CHAIN_LENGTH = 70;
+       MERCURY_CARRY_CHAIN_LENGTH = 48;
+       FLEX10K_CARRY_CHAIN_LENGTH = 32;
+       FLEX6K_CARRY_CHAIN_LENGTH = 32;
+       CARRY_CHAIN_LENGTH = 48;
+       CARRY_OUT_PINS_LCELL_INSERT = ON;
+       NORMAL_LCELL_INSERT = ON;
+       AUTO_LCELL_INSERTION = ON;
+       ALLOW_XOR_GATE_USAGE = ON;
+       AUTO_PACKED_REGISTERS_STRATIX = NORMAL;
+       AUTO_PACKED_REGISTERS = OFF;
+       AUTO_PACKED_REG_CYCLONE = NORMAL;
+       FLEX10K_OPTIMIZATION_TECHNIQUE = AREA;
+       FLEX6K_OPTIMIZATION_TECHNIQUE = AREA;
+       MERCURY_OPTIMIZATION_TECHNIQUE = AREA;
+       APEX20K_OPTIMIZATION_TECHNIQUE = SPEED;
+       MAX7000_OPTIMIZATION_TECHNIQUE = SPEED;
+       STRATIX_OPTIMIZATION_TECHNIQUE = SPEED;
+       CYCLONE_OPTIMIZATION_TECHNIQUE = AREA;
+       FLEX10K_TECHNOLOGY_MAPPER = LUT;
+       FLEX6K_TECHNOLOGY_MAPPER = LUT;
+       MERCURY_TECHNOLOGY_MAPPER = LUT;
+       APEX20K_TECHNOLOGY_MAPPER = LUT;
+       MAX7000_TECHNOLOGY_MAPPER = "PRODUCT TERM";
+       STRATIX_TECHNOLOGY_MAPPER = LUT;
+       AUTO_IMPLEMENT_IN_ROM = OFF;
+       AUTO_GLOBAL_MEMORY_CONTROLS = OFF;
+       AUTO_GLOBAL_REGISTER_CONTROLS = ON;
+       AUTO_GLOBAL_OE = ON;
+       AUTO_GLOBAL_CLOCK = ON;
+       USE_LPM_FOR_AHDL_OPERATORS = ON;
+       LIMIT_AHDL_INTEGERS_TO_32_BITS = OFF;
+       ENABLE_BUS_HOLD_CIRCUITRY = OFF;
+       WEAK_PULL_UP_RESISTOR = OFF;
+       TURBO_BIT = ON;
+       MAX7000_IGNORE_SOFT_BUFFERS = OFF;
+       IGNORE_SOFT_BUFFERS = ON;
+       MAX7000_IGNORE_LCELL_BUFFERS = AUTO;
+       IGNORE_LCELL_BUFFERS = OFF;
+       IGNORE_ROW_GLOBAL_BUFFERS = OFF;
+       IGNORE_GLOBAL_BUFFERS = OFF;
+       IGNORE_CASCADE_BUFFERS = OFF;
+       IGNORE_CARRY_BUFFERS = OFF;
+       REMOVE_DUPLICATE_REGISTERS = ON;
+       REMOVE_REDUNDANT_LOGIC_CELLS = OFF;
+       ALLOW_POWER_UP_DONT_CARE = ON;
+       PCI_IO = OFF;
+       NOT_GATE_PUSH_BACK = ON;
+       SLOW_SLEW_RATE = OFF;
+       DSP_BLOCK_BALANCING = AUTO;
+       STATE_MACHINE_PROCESSING = AUTO;
+}
+DEFAULT_HARDCOPY_SETTINGS
+{
+       HARDCOPY_EXTERNAL_CLOCK_JITTER = "0.0 NS";
+}
+DEFAULT_TIMING_REQUIREMENTS
+{
+       INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS = OFF;
+       RUN_ALL_TIMING_ANALYSES = ON;
+       IGNORE_CLOCK_SETTINGS = OFF;
+       DEFAULT_HOLD_MULTICYCLE = "SAME AS MULTICYCLE";
+       CUT_OFF_IO_PIN_FEEDBACK = ON;
+       CUT_OFF_CLEAR_AND_PRESET_PATHS = ON;
+       CUT_OFF_READ_DURING_WRITE_PATHS = ON;
+       CUT_OFF_PATHS_BETWEEN_CLOCK_DOMAINS = ON;
+       DO_MIN_ANALYSIS = ON;
+       DO_MIN_TIMING = OFF;
+       NUMBER_OF_PATHS_TO_REPORT = 200;
+       NUMBER_OF_DESTINATION_TO_REPORT = 10;
+       NUMBER_OF_SOURCES_PER_DESTINATION_TO_REPORT = 10;
+       MAX_SCC_SIZE = 50;
+}
+HDL_SETTINGS
+{
+       VERILOG_INPUT_VERSION = VERILOG_2001;
+       ENABLE_IP_DEBUG = OFF;
+       VHDL_INPUT_VERSION = VHDL93;
+       VHDL_SHOW_LMF_MAPPING_MESSAGES = OFF;
+}
+PROJECT_INFO(mrfm)
+{
+       ORIGINAL_QUARTUS_VERSION = 3.0;
+       PROJECT_CREATION_TIME_DATE = "00:14:04  JULY 13, 2003";
+       LAST_QUARTUS_VERSION = 3.0;
+       SHOW_REGISTRATION_MESSAGE = ON;
+       USER_LIBRARIES = "e:\usrp\fpga\megacells";
+}
+THIRD_PARTY_EDA_TOOLS(mrfm)
+{
+       EDA_DESIGN_ENTRY_SYNTHESIS_TOOL = "<NONE>";
+       EDA_SIMULATION_TOOL = "<NONE>";
+       EDA_TIMING_ANALYSIS_TOOL = "<NONE>";
+       EDA_BOARD_DESIGN_TOOL = "<NONE>";
+       EDA_FORMAL_VERIFICATION_TOOL = "<NONE>";
+       EDA_RESYNTHESIS_TOOL = "<NONE>";
+}
+EDA_TOOL_SETTINGS(eda_design_synthesis)
+{
+       EDA_INPUT_GND_NAME = GND;
+       EDA_INPUT_VCC_NAME = VCC;
+       EDA_SHOW_LMF_MAPPING_MESSAGES = OFF;
+       EDA_RUN_TOOL_AUTOMATICALLY = OFF;
+       EDA_INPUT_DATA_FORMAT = EDIF;
+       EDA_OUTPUT_DATA_FORMAT = NONE;
+       USE_GENERATED_PHYSICAL_CONSTRAINTS = ON;
+       RESYNTHESIS_PHYSICAL_SYNTHESIS = NORMAL;
+       RESYNTHESIS_OPTIMIZATION_EFFORT = NORMAL;
+       RESYNTHESIS_RETIMING = FULL;
+}
+EDA_TOOL_SETTINGS(eda_simulation)
+{
+       EDA_INCLUDE_VHDL_CONFIGURATION_DECLARATION = OFF;
+       EDA_TRUNCATE_LONG_HIERARCHY_PATHS = OFF;
+       EDA_MAINTAIN_DESIGN_HIERARCHY = OFF;
+       EDA_WRITE_DEVICE_CONTROL_PORTS = OFF;
+       EDA_GENERATE_FUNCTIONAL_NETLIST = OFF;
+       EDA_FLATTEN_BUSES = OFF;
+       EDA_MAP_ILLEGAL_CHARACTERS = OFF;
+       EDA_EXCALIBUR_ATOMS_AS_SINGLE_STRIPE = OFF;
+       EDA_RUN_TOOL_AUTOMATICALLY = OFF;
+       EDA_OUTPUT_DATA_FORMAT = NONE;
+       USE_GENERATED_PHYSICAL_CONSTRAINTS = ON;
+       RESYNTHESIS_PHYSICAL_SYNTHESIS = NORMAL;
+       RESYNTHESIS_OPTIMIZATION_EFFORT = NORMAL;
+       RESYNTHESIS_RETIMING = FULL;
+}
+EDA_TOOL_SETTINGS(eda_timing_analysis)
+{
+       EDA_INCLUDE_VHDL_CONFIGURATION_DECLARATION = OFF;
+       EDA_TRUNCATE_LONG_HIERARCHY_PATHS = OFF;
+       EDA_MAINTAIN_DESIGN_HIERARCHY = OFF;
+       EDA_WRITE_DEVICE_CONTROL_PORTS = OFF;
+       EDA_GENERATE_FUNCTIONAL_NETLIST = OFF;
+       EDA_FLATTEN_BUSES = OFF;
+       EDA_MAP_ILLEGAL_CHARACTERS = OFF;
+       EDA_EXCALIBUR_ATOMS_AS_SINGLE_STRIPE = OFF;
+       EDA_RUN_TOOL_AUTOMATICALLY = OFF;
+       EDA_OUTPUT_DATA_FORMAT = NONE;
+       EDA_LAUNCH_CMD_LINE_TOOL = OFF;
+       USE_GENERATED_PHYSICAL_CONSTRAINTS = ON;
+       RESYNTHESIS_PHYSICAL_SYNTHESIS = NORMAL;
+       RESYNTHESIS_OPTIMIZATION_EFFORT = NORMAL;
+       RESYNTHESIS_RETIMING = FULL;
+}
+EDA_TOOL_SETTINGS(eda_board_design)
+{
+       EDA_INCLUDE_VHDL_CONFIGURATION_DECLARATION = OFF;
+       EDA_TRUNCATE_LONG_HIERARCHY_PATHS = OFF;
+       EDA_MAINTAIN_DESIGN_HIERARCHY = OFF;
+       EDA_WRITE_DEVICE_CONTROL_PORTS = OFF;
+       EDA_GENERATE_FUNCTIONAL_NETLIST = OFF;
+       EDA_FLATTEN_BUSES = OFF;
+       EDA_MAP_ILLEGAL_CHARACTERS = OFF;
+       EDA_EXCALIBUR_ATOMS_AS_SINGLE_STRIPE = OFF;
+       EDA_RUN_TOOL_AUTOMATICALLY = OFF;
+       EDA_OUTPUT_DATA_FORMAT = NONE;
+       USE_GENERATED_PHYSICAL_CONSTRAINTS = ON;
+       RESYNTHESIS_PHYSICAL_SYNTHESIS = NORMAL;
+       RESYNTHESIS_OPTIMIZATION_EFFORT = NORMAL;
+       RESYNTHESIS_RETIMING = FULL;
+}
+EDA_TOOL_SETTINGS(eda_formal_verification)
+{
+       EDA_INCLUDE_VHDL_CONFIGURATION_DECLARATION = OFF;
+       EDA_TRUNCATE_LONG_HIERARCHY_PATHS = OFF;
+       EDA_MAINTAIN_DESIGN_HIERARCHY = OFF;
+       EDA_WRITE_DEVICE_CONTROL_PORTS = OFF;
+       EDA_GENERATE_FUNCTIONAL_NETLIST = OFF;
+       EDA_FLATTEN_BUSES = OFF;
+       EDA_MAP_ILLEGAL_CHARACTERS = OFF;
+       EDA_EXCALIBUR_ATOMS_AS_SINGLE_STRIPE = OFF;
+       EDA_RUN_TOOL_AUTOMATICALLY = OFF;
+       EDA_OUTPUT_DATA_FORMAT = NONE;
+       USE_GENERATED_PHYSICAL_CONSTRAINTS = ON;
+       RESYNTHESIS_PHYSICAL_SYNTHESIS = NORMAL;
+       RESYNTHESIS_OPTIMIZATION_EFFORT = NORMAL;
+       RESYNTHESIS_RETIMING = FULL;
+}
+EDA_TOOL_SETTINGS(eda_palace)
+{
+       EDA_INCLUDE_VHDL_CONFIGURATION_DECLARATION = OFF;
+       EDA_TRUNCATE_LONG_HIERARCHY_PATHS = OFF;
+       EDA_MAINTAIN_DESIGN_HIERARCHY = OFF;
+       EDA_WRITE_DEVICE_CONTROL_PORTS = OFF;
+       EDA_GENERATE_FUNCTIONAL_NETLIST = OFF;
+       EDA_FLATTEN_BUSES = OFF;
+       EDA_MAP_ILLEGAL_CHARACTERS = OFF;
+       EDA_EXCALIBUR_ATOMS_AS_SINGLE_STRIPE = OFF;
+       EDA_RUN_TOOL_AUTOMATICALLY = OFF;
+       EDA_OUTPUT_DATA_FORMAT = NONE;
+       RESYNTHESIS_RETIMING = FULL;
+       RESYNTHESIS_PHYSICAL_SYNTHESIS = NORMAL;
+       RESYNTHESIS_OPTIMIZATION_EFFORT = NORMAL;
+       USE_GENERATED_PHYSICAL_CONSTRAINTS = ON;
+}
+CLOCK(clk_120mhz)
+{
+       FMAX_REQUIREMENT = "120.0 MHz";
+       INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS = OFF;
+       DUTY_CYCLE = 50;
+       DIVIDE_BASE_CLOCK_PERIOD_BY = 1;
+       MULTIPLY_BASE_CLOCK_PERIOD_BY = 1;
+       INVERT_BASE_CLOCK = OFF;
+}
+CLOCK(usbclk)
+{
+       FMAX_REQUIREMENT = "48.0 MHz";
+       INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS = OFF;
+       DUTY_CYCLE = 50;
+       DIVIDE_BASE_CLOCK_PERIOD_BY = 1;
+       MULTIPLY_BASE_CLOCK_PERIOD_BY = 1;
+       INVERT_BASE_CLOCK = OFF;
+}
+CLOCK(SCLK)
+{
+       FMAX_REQUIREMENT = "1.0 MHz";
+       INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS = OFF;
+       DUTY_CYCLE = 50;
+       DIVIDE_BASE_CLOCK_PERIOD_BY = 1;
+       MULTIPLY_BASE_CLOCK_PERIOD_BY = 1;
+       INVERT_BASE_CLOCK = OFF;
+}
+CLOCK(adclk0)
+{
+       FMAX_REQUIREMENT = "60.0 MHz";
+       INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS = OFF;
+       DUTY_CYCLE = 50;
+       DIVIDE_BASE_CLOCK_PERIOD_BY = 1;
+       MULTIPLY_BASE_CLOCK_PERIOD_BY = 1;
+       INVERT_BASE_CLOCK = OFF;
+}
+CLOCK(adclk1)
+{
+       FMAX_REQUIREMENT = "60.0 MHz";
+       INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS = OFF;
+       DUTY_CYCLE = 50;
+       DIVIDE_BASE_CLOCK_PERIOD_BY = 1;
+       MULTIPLY_BASE_CLOCK_PERIOD_BY = 1;
+       INVERT_BASE_CLOCK = OFF;
+}
diff --git a/usrp/fpga/toplevel/mrfm/mrfm.py b/usrp/fpga/toplevel/mrfm/mrfm.py
new file mode 100644 (file)
index 0000000..100db69
--- /dev/null
@@ -0,0 +1,129 @@
+#!/usr/bin/env python
+#
+# This is mrfm_fft_sos.py
+# Modification of Matt's mrfm_fft.py that reads filter coefs from file
+#
+# Copyright 2004,2005 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+from gnuradio import gr, gru
+from gnuradio import usrp
+
+class source_c(usrp.source_c):
+    def __init__(self,fpga_filename):
+        usrp.source_c.__init__(self,which=0, decim_rate=64, nchan=2, mux=0x32103210, mode=0,
+                               fpga_filename=fpga_filename)
+
+        self._write_9862(0,2,0x80)  # Bypass ADC buffer, minimum gain
+        self._write_9862(0,3,0x80)  # Bypass ADC buffer, minimum gain
+
+        self._write_9862(0,8,0)   # TX PWR Down
+        self._write_9862(0,10,0)  # DAC offset
+        self._write_9862(0,11,0)  # DAC offset
+        self._write_9862(0,14,0x80)  # gain
+        self._write_9862(0,16,0xff)  # pga
+        self._write_9862(0,18,0x0c)  # TX IF
+        self._write_9862(0,19,0x01)  # TX Digital
+        self._write_9862(0,20,0x00)  # TX Mod
+
+        # max/min values are +/-2, so scale is set to make 2 = 32767 
+
+        self._write_fpga_reg(69,0x0e)   # debug mux
+        self._write_fpga_reg(5,-1)
+        self._write_fpga_reg(7,-1)
+        self._write_oe(0,0xffff, 0xffff)
+        self._write_oe(1,0xffff, 0xffff)
+        self._write_fpga_reg(14,0xf)
+
+        self.decim = None
+        
+    def set_coeffs(self,frac_bits,b20,b10,b00,a20,a10,b21,b11,b01,a21,a11):
+        def make_val(address,value):
+            return (address << 16) | (value & 0xffff)
+
+        # gain, scale already included in a's and b's from file
+
+        self._write_fpga_reg(67,make_val(1,b20))
+        self._write_fpga_reg(67,make_val(2,b10))
+        self._write_fpga_reg(67,make_val(3,b00))
+        self._write_fpga_reg(67,make_val(4,a20))
+        self._write_fpga_reg(67,make_val(5,a10))
+        
+        self._write_fpga_reg(67,make_val(7,b21))
+        self._write_fpga_reg(67,make_val(8,b11))
+        self._write_fpga_reg(67,make_val(9,b01))
+        self._write_fpga_reg(67,make_val(10,a21))
+        self._write_fpga_reg(67,make_val(11,a11))
+        
+        self._write_fpga_reg(68,frac_bits)   # Shift
+        
+        print "Biquad 0 : b2=%d b1=%d b0=%d a2=%d a1=%d" % (b20,b10,b00,a20,a10)
+        print "Biquad 1 : b2=%d b1=%d b0=%d a2=%d a1=%d" % (b21,b11,b01,a21,a11)
+
+    def set_decim_rate(self,rate=None):
+        i=2
+        turn=1
+        a=1
+        b=1
+        while (rate>1) and (i<257):
+            if (rate/i) * i == rate:
+                if turn == 1:
+                    if a*i<257:
+                        a = a * i
+                        turn = 0
+                    elif b*i<257:
+                        b = b * i
+                        turn = 0
+                    else:
+                        print "Failed to set DECIMATOR"
+                        return self.decim
+                elif b*i<257:
+                    b = b * i
+                    turn = 1
+                elif a*i<257:
+                    a = a * i
+                    turn = 1
+                else:
+                    print "Failed to set DECIMATOR"
+                    return self.decim
+                rate=rate/i
+                continue
+            i = i + 1
+        if rate > 1:
+            print "Failed to set DECIMATOR"
+            return self.decim
+        else:    
+            self.decim = a*b
+            print "a = %d  b = %d" % (a,b)
+            self._write_fpga_reg(64,(a-1)*256+(b-1))   # Set actual decimation
+
+    def decim_rate(self):
+        return self.decim
+    
+    def set_center_freq(self,freq):
+        self._write_fpga_reg(65,int(-freq/64e6*65536*65536))  # set center freq
+
+    def set_compensator(self,a11,a12,a21,a22,shift):
+        self._write_fpga_reg(70,a11)
+        self._write_fpga_reg(71,a12)
+        self._write_fpga_reg(72,a21)
+        self._write_fpga_reg(73,a22)
+        self._write_fpga_reg(74,shift)   # comp shift
+        
diff --git a/usrp/fpga/toplevel/mrfm/mrfm.qpf b/usrp/fpga/toplevel/mrfm/mrfm.qpf
new file mode 100644 (file)
index 0000000..9591408
--- /dev/null
@@ -0,0 +1,29 @@
+# Copyright (C) 1991-2004 Altera Corporation
+# Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
+# support information,  device programming or simulation file,  and any other
+# associated  documentation or information  provided by  Altera  or a partner
+# under  Altera's   Megafunction   Partnership   Program  may  be  used  only
+# to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
+# other  use  of such  megafunction  design,  netlist,  support  information,
+# device programming or simulation file,  or any other  related documentation
+# or information  is prohibited  for  any  other purpose,  including, but not
+# limited to  modification,  reverse engineering,  de-compiling, or use  with
+# any other  silicon devices,  unless such use is  explicitly  licensed under
+# a separate agreement with  Altera  or a megafunction partner.  Title to the
+# intellectual property,  including patents,  copyrights,  trademarks,  trade
+# secrets,  or maskworks,  embodied in any such megafunction design, netlist,
+# support  information,  device programming or simulation file,  or any other
+# related documentation or information provided by  Altera  or a megafunction
+# partner, remains with Altera, the megafunction partner, or their respective
+# licensors. No other licenses, including any licenses needed under any third
+# party's intellectual property, are provided herein.
+
+
+
+QUARTUS_VERSION = "4.0"
+DATE = "17:10:11  December 20, 2004"
+
+
+# Active Revisions
+
+PROJECT_REVISION = "mrfm"
diff --git a/usrp/fpga/toplevel/mrfm/mrfm.qsf b/usrp/fpga/toplevel/mrfm/mrfm.qsf
new file mode 100644 (file)
index 0000000..ba1ae02
--- /dev/null
@@ -0,0 +1,411 @@
+# Copyright (C) 1991-2005 Altera Corporation
+# Your use of Altera Corporation's design tools, logic functions 
+# and other software and tools, and its AMPP partner logic       
+# functions, and any output files any of the foregoing           
+# (including device programming or simulation files), and any    
+# associated documentation or information are expressly subject  
+# to the terms and conditions of the Altera Program License      
+# Subscription Agreement, Altera MegaCore Function License       
+# Agreement, or other applicable license agreement, including,   
+# without limitation, that your use is for the sole purpose of   
+# programming logic devices manufactured by Altera and sold by   
+# Altera or its authorized distributors.  Please refer to the    
+# applicable agreement for further details.
+
+
+# The default values for assignments are stored in the file
+#              mrfm_assignment_defaults.qdf
+# If this file doesn't exist, and for assignments not listed, see file
+#              assignment_defaults.qdf
+
+# Altera recommends that you do not modify this file. This
+# file is updated automatically by the Quartus II software
+# and any changes you make may be lost or overwritten.
+
+
+# Project-Wide Assignments
+# ========================
+set_global_assignment -name ORIGINAL_QUARTUS_VERSION 3.0
+set_global_assignment -name PROJECT_CREATION_TIME_DATE "00:14:04  JULY 13, 2003"
+set_global_assignment -name LAST_QUARTUS_VERSION "5.1 SP2"
+
+# Pin & Location Assignments
+# ==========================
+set_global_assignment -name RESERVE_PIN "AS INPUT TRI-STATED"
+set_location_assignment PIN_29 -to SCLK
+set_location_assignment PIN_117 -to SDI
+set_location_assignment PIN_28 -to usbclk
+set_location_assignment PIN_107 -to usbctl[0]
+set_location_assignment PIN_106 -to usbctl[1]
+set_location_assignment PIN_105 -to usbctl[2]
+set_location_assignment PIN_100 -to usbdata[0]
+set_location_assignment PIN_84 -to usbdata[10]
+set_location_assignment PIN_83 -to usbdata[11]
+set_location_assignment PIN_82 -to usbdata[12]
+set_location_assignment PIN_79 -to usbdata[13]
+set_location_assignment PIN_78 -to usbdata[14]
+set_location_assignment PIN_77 -to usbdata[15]
+set_location_assignment PIN_99 -to usbdata[1]
+set_location_assignment PIN_98 -to usbdata[2]
+set_location_assignment PIN_95 -to usbdata[3]
+set_location_assignment PIN_94 -to usbdata[4]
+set_location_assignment PIN_93 -to usbdata[5]
+set_location_assignment PIN_88 -to usbdata[6]
+set_location_assignment PIN_87 -to usbdata[7]
+set_location_assignment PIN_86 -to usbdata[8]
+set_location_assignment PIN_85 -to usbdata[9]
+set_location_assignment PIN_104 -to usbrdy[0]
+set_location_assignment PIN_101 -to usbrdy[1]
+set_location_assignment PIN_76 -to FX2_1
+set_location_assignment PIN_75 -to FX2_2
+set_location_assignment PIN_74 -to FX2_3
+set_location_assignment PIN_116 -to io_rx_a[0]
+set_location_assignment PIN_115 -to io_rx_a[1]
+set_location_assignment PIN_114 -to io_rx_a[2]
+set_location_assignment PIN_113 -to io_rx_a[3]
+set_location_assignment PIN_108 -to io_rx_a[4]
+set_location_assignment PIN_195 -to io_rx_a[5]
+set_location_assignment PIN_196 -to io_rx_a[6]
+set_location_assignment PIN_197 -to io_rx_a[7]
+set_location_assignment PIN_200 -to io_rx_a[8]
+set_location_assignment PIN_201 -to io_rx_a[9]
+set_location_assignment PIN_202 -to io_rx_a[10]
+set_location_assignment PIN_203 -to io_rx_a[11]
+set_location_assignment PIN_206 -to io_rx_a[12]
+set_location_assignment PIN_207 -to io_rx_a[13]
+set_location_assignment PIN_208 -to io_rx_a[14]
+set_location_assignment PIN_214 -to io_rx_b[0]
+set_location_assignment PIN_215 -to io_rx_b[1]
+set_location_assignment PIN_216 -to io_rx_b[2]
+set_location_assignment PIN_217 -to io_rx_b[3]
+set_location_assignment PIN_218 -to io_rx_b[4]
+set_location_assignment PIN_219 -to io_rx_b[5]
+set_location_assignment PIN_222 -to io_rx_b[6]
+set_location_assignment PIN_223 -to io_rx_b[7]
+set_location_assignment PIN_224 -to io_rx_b[8]
+set_location_assignment PIN_225 -to io_rx_b[9]
+set_location_assignment PIN_226 -to io_rx_b[10]
+set_location_assignment PIN_227 -to io_rx_b[11]
+set_location_assignment PIN_228 -to io_rx_b[12]
+set_location_assignment PIN_233 -to io_rx_b[13]
+set_location_assignment PIN_234 -to io_rx_b[14]
+set_location_assignment PIN_175 -to io_tx_a[0]
+set_location_assignment PIN_176 -to io_tx_a[1]
+set_location_assignment PIN_177 -to io_tx_a[2]
+set_location_assignment PIN_178 -to io_tx_a[3]
+set_location_assignment PIN_179 -to io_tx_a[4]
+set_location_assignment PIN_180 -to io_tx_a[5]
+set_location_assignment PIN_181 -to io_tx_a[6]
+set_location_assignment PIN_182 -to io_tx_a[7]
+set_location_assignment PIN_183 -to io_tx_a[8]
+set_location_assignment PIN_184 -to io_tx_a[9]
+set_location_assignment PIN_185 -to io_tx_a[10]
+set_location_assignment PIN_186 -to io_tx_a[11]
+set_location_assignment PIN_187 -to io_tx_a[12]
+set_location_assignment PIN_188 -to io_tx_a[13]
+set_location_assignment PIN_193 -to io_tx_a[14]
+set_location_assignment PIN_73 -to io_tx_b[0]
+set_location_assignment PIN_68 -to io_tx_b[1]
+set_location_assignment PIN_67 -to io_tx_b[2]
+set_location_assignment PIN_66 -to io_tx_b[3]
+set_location_assignment PIN_65 -to io_tx_b[4]
+set_location_assignment PIN_64 -to io_tx_b[5]
+set_location_assignment PIN_63 -to io_tx_b[6]
+set_location_assignment PIN_62 -to io_tx_b[7]
+set_location_assignment PIN_61 -to io_tx_b[8]
+set_location_assignment PIN_60 -to io_tx_b[9]
+set_location_assignment PIN_59 -to io_tx_b[10]
+set_location_assignment PIN_58 -to io_tx_b[11]
+set_location_assignment PIN_57 -to io_tx_b[12]
+set_location_assignment PIN_56 -to io_tx_b[13]
+set_location_assignment PIN_55 -to io_tx_b[14]
+set_location_assignment PIN_152 -to master_clk
+set_location_assignment PIN_144 -to rx_a_a[0]
+set_location_assignment PIN_143 -to rx_a_a[1]
+set_location_assignment PIN_141 -to rx_a_a[2]
+set_location_assignment PIN_140 -to rx_a_a[3]
+set_location_assignment PIN_139 -to rx_a_a[4]
+set_location_assignment PIN_138 -to rx_a_a[5]
+set_location_assignment PIN_137 -to rx_a_a[6]
+set_location_assignment PIN_136 -to rx_a_a[7]
+set_location_assignment PIN_135 -to rx_a_a[8]
+set_location_assignment PIN_134 -to rx_a_a[9]
+set_location_assignment PIN_133 -to rx_a_a[10]
+set_location_assignment PIN_132 -to rx_a_a[11]
+set_location_assignment PIN_23 -to rx_a_b[0]
+set_location_assignment PIN_21 -to rx_a_b[1]
+set_location_assignment PIN_20 -to rx_a_b[2]
+set_location_assignment PIN_19 -to rx_a_b[3]
+set_location_assignment PIN_18 -to rx_a_b[4]
+set_location_assignment PIN_17 -to rx_a_b[5]
+set_location_assignment PIN_16 -to rx_a_b[6]
+set_location_assignment PIN_15 -to rx_a_b[7]
+set_location_assignment PIN_14 -to rx_a_b[8]
+set_location_assignment PIN_13 -to rx_a_b[9]
+set_location_assignment PIN_12 -to rx_a_b[10]
+set_location_assignment PIN_11 -to rx_a_b[11]
+set_location_assignment PIN_131 -to rx_b_a[0]
+set_location_assignment PIN_128 -to rx_b_a[1]
+set_location_assignment PIN_127 -to rx_b_a[2]
+set_location_assignment PIN_126 -to rx_b_a[3]
+set_location_assignment PIN_125 -to rx_b_a[4]
+set_location_assignment PIN_124 -to rx_b_a[5]
+set_location_assignment PIN_123 -to rx_b_a[6]
+set_location_assignment PIN_122 -to rx_b_a[7]
+set_location_assignment PIN_121 -to rx_b_a[8]
+set_location_assignment PIN_120 -to rx_b_a[9]
+set_location_assignment PIN_119 -to rx_b_a[10]
+set_location_assignment PIN_118 -to rx_b_a[11]
+set_location_assignment PIN_8 -to rx_b_b[0]
+set_location_assignment PIN_7 -to rx_b_b[1]
+set_location_assignment PIN_6 -to rx_b_b[2]
+set_location_assignment PIN_5 -to rx_b_b[3]
+set_location_assignment PIN_4 -to rx_b_b[4]
+set_location_assignment PIN_3 -to rx_b_b[5]
+set_location_assignment PIN_2 -to rx_b_b[6]
+set_location_assignment PIN_240 -to rx_b_b[7]
+set_location_assignment PIN_239 -to rx_b_b[8]
+set_location_assignment PIN_238 -to rx_b_b[9]
+set_location_assignment PIN_237 -to rx_b_b[10]
+set_location_assignment PIN_236 -to rx_b_b[11]
+set_location_assignment PIN_156 -to SDO
+set_location_assignment PIN_153 -to SEN_FPGA
+set_location_assignment PIN_159 -to tx_a[0]
+set_location_assignment PIN_160 -to tx_a[1]
+set_location_assignment PIN_161 -to tx_a[2]
+set_location_assignment PIN_162 -to tx_a[3]
+set_location_assignment PIN_163 -to tx_a[4]
+set_location_assignment PIN_164 -to tx_a[5]
+set_location_assignment PIN_165 -to tx_a[6]
+set_location_assignment PIN_166 -to tx_a[7]
+set_location_assignment PIN_167 -to tx_a[8]
+set_location_assignment PIN_168 -to tx_a[9]
+set_location_assignment PIN_169 -to tx_a[10]
+set_location_assignment PIN_170 -to tx_a[11]
+set_location_assignment PIN_173 -to tx_a[12]
+set_location_assignment PIN_174 -to tx_a[13]
+set_location_assignment PIN_38 -to tx_b[0]
+set_location_assignment PIN_39 -to tx_b[1]
+set_location_assignment PIN_41 -to tx_b[2]
+set_location_assignment PIN_42 -to tx_b[3]
+set_location_assignment PIN_43 -to tx_b[4]
+set_location_assignment PIN_44 -to tx_b[5]
+set_location_assignment PIN_45 -to tx_b[6]
+set_location_assignment PIN_46 -to tx_b[7]
+set_location_assignment PIN_47 -to tx_b[8]
+set_location_assignment PIN_48 -to tx_b[9]
+set_location_assignment PIN_49 -to tx_b[10]
+set_location_assignment PIN_50 -to tx_b[11]
+set_location_assignment PIN_53 -to tx_b[12]
+set_location_assignment PIN_54 -to tx_b[13]
+set_location_assignment PIN_158 -to TXSYNC_A
+set_location_assignment PIN_37 -to TXSYNC_B
+set_location_assignment PIN_235 -to io_rx_b[15]
+set_location_assignment PIN_24 -to io_tx_b[15]
+set_location_assignment PIN_213 -to io_rx_a[15]
+set_location_assignment PIN_194 -to io_tx_a[15]
+set_location_assignment PIN_1 -to MYSTERY_SIGNAL
+
+# Timing Assignments
+# ==================
+set_global_assignment -name INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS OFF
+
+# Analysis & Synthesis Assignments
+# ================================
+set_global_assignment -name SAVE_DISK_SPACE OFF
+set_global_assignment -name DEVICE_FILTER_PACKAGE "ANY QFP"
+set_global_assignment -name DEVICE_FILTER_PIN_COUNT 240
+set_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "<None>"
+set_global_assignment -name FAMILY Cyclone
+set_global_assignment -name CYCLONE_OPTIMIZATION_TECHNIQUE SPEED
+set_global_assignment -name STRATIX_OPTIMIZATION_TECHNIQUE SPEED
+set_global_assignment -name APEX20K_OPTIMIZATION_TECHNIQUE SPEED
+set_global_assignment -name TOP_LEVEL_ENTITY mrfm
+set_global_assignment -name VHDL_SHOW_LMF_MAPPING_MESSAGES OFF
+set_global_assignment -name USER_LIBRARIES "e:\\usrp\\fpga\\megacells"
+set_global_assignment -name AUTO_ENABLE_SMART_COMPILE ON
+
+# Fitter Assignments
+# ==================
+set_global_assignment -name DEVICE EP1C12Q240C8
+set_global_assignment -name CYCLONE_CONFIGURATION_SCHEME "PASSIVE SERIAL"
+set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED"
+set_global_assignment -name OPTIMIZE_HOLD_TIMING OFF
+set_global_assignment -name OPTIMIZE_TIMING "NORMAL COMPILATION"
+set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC ON
+set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION ON
+set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING ON
+set_global_assignment -name IO_PLACEMENT_OPTIMIZATION OFF
+set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT EXTRA
+set_global_assignment -name INC_PLC_MODE OFF
+set_global_assignment -name ROUTING_BACK_ANNOTATION_MODE OFF
+set_instance_assignment -name IO_STANDARD LVTTL -to usbdata[12]
+set_global_assignment -name STRATIX_DEVICE_IO_STANDARD LVTTL
+set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1
+
+# Timing Analysis Assignments
+# ===========================
+set_global_assignment -name MAX_SCC_SIZE 50
+
+# EDA Netlist Writer Assignments
+# ==============================
+set_global_assignment -name EDA_SIMULATION_TOOL "<None>"
+set_global_assignment -name EDA_TIMING_ANALYSIS_TOOL "<NONE>"
+set_global_assignment -name EDA_BOARD_DESIGN_TOOL "<NONE>"
+set_global_assignment -name EDA_FORMAL_VERIFICATION_TOOL "<NONE>"
+set_global_assignment -name EDA_RESYNTHESIS_TOOL "<NONE>"
+
+# Assembler Assignments
+# =====================
+set_global_assignment -name USE_CONFIGURATION_DEVICE OFF
+set_global_assignment -name GENERATE_RBF_FILE ON
+set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED"
+set_global_assignment -name AUTO_RESTART_CONFIGURATION OFF
+
+# Simulator Assignments
+# =====================
+set_global_assignment -name START_TIME "0 ns"
+set_global_assignment -name GLITCH_INTERVAL "1 ns"
+
+# Design Assistant Assignments
+# ============================
+set_global_assignment -name DRC_REPORT_TOP_FANOUT OFF
+set_global_assignment -name DRC_REPORT_FANOUT_EXCEEDING OFF
+set_global_assignment -name ASSG_CAT OFF
+set_global_assignment -name ASSG_RULE_MISSING_FMAX OFF
+set_global_assignment -name ASSG_RULE_MISSING_TIMING OFF
+set_global_assignment -name NONSYNCHSTRUCT_RULE_ASYN_RAM OFF
+set_global_assignment -name CLK_CAT OFF
+set_global_assignment -name CLK_RULE_COMB_CLOCK OFF
+set_global_assignment -name CLK_RULE_INV_CLOCK OFF
+set_global_assignment -name CLK_RULE_GATING_SCHEME OFF
+set_global_assignment -name CLK_RULE_INPINS_CLKNET OFF
+set_global_assignment -name CLK_RULE_CLKNET_CLKSPINES OFF
+set_global_assignment -name CLK_RULE_MIX_EDGES OFF
+set_global_assignment -name RESET_CAT OFF
+set_global_assignment -name RESET_RULE_INPINS_RESETNET OFF
+set_global_assignment -name RESET_RULE_UNSYNCH_EXRESET OFF
+set_global_assignment -name RESET_RULE_IMSYNCH_EXRESET OFF
+set_global_assignment -name RESET_RULE_COMB_ASYNCH_RESET OFF
+set_global_assignment -name RESET_RULE_UNSYNCH_ASYNCH_DOMAIN OFF
+set_global_assignment -name RESET_RULE_IMSYNCH_ASYNCH_DOMAIN OFF
+set_global_assignment -name TIMING_CAT OFF
+set_global_assignment -name TIMING_RULE_SHIFT_REG OFF
+set_global_assignment -name TIMING_RULE_COIN_CLKEDGE OFF
+set_global_assignment -name NONSYNCHSTRUCT_RULE_COMB_DRIVES_RAM_WE OFF
+set_global_assignment -name NONSYNCHSTRUCT_CAT OFF
+set_global_assignment -name NONSYNCHSTRUCT_RULE_COMBLOOP OFF
+set_global_assignment -name NONSYNCHSTRUCT_RULE_REG_LOOP OFF
+set_global_assignment -name NONSYNCHSTRUCT_RULE_DELAY_CHAIN OFF
+set_global_assignment -name NONSYNCHSTRUCT_RULE_RIPPLE_CLK OFF
+set_global_assignment -name NONSYNCHSTRUCT_RULE_ILLEGAL_PULSE_GEN OFF
+set_global_assignment -name NONSYNCHSTRUCT_RULE_MULTI_VIBRATOR OFF
+set_global_assignment -name NONSYNCHSTRUCT_RULE_SRLATCH OFF
+set_global_assignment -name NONSYNCHSTRUCT_RULE_LATCH_UNIDENTIFIED OFF
+set_global_assignment -name SIGNALRACE_CAT OFF
+set_global_assignment -name ACLK_CAT OFF
+set_global_assignment -name ACLK_RULE_NO_SZER_ACLK_DOMAIN OFF
+set_global_assignment -name ACLK_RULE_SZER_BTW_ACLK_DOMAIN OFF
+set_global_assignment -name ACLK_RULE_IMSZER_ADOMAIN OFF
+set_global_assignment -name HCPY_CAT OFF
+set_global_assignment -name HCPY_VREF_PINS OFF
+
+# SignalTap II Assignments
+# ========================
+set_global_assignment -name HUB_ENTITY_NAME SLD_HUB
+set_global_assignment -name HUB_INSTANCE_NAME SLD_HUB_INST
+set_global_assignment -name ENABLE_SIGNALTAP OFF
+
+# LogicLock Region Assignments
+# ============================
+set_global_assignment -name LOGICLOCK_INCREMENTAL_COMPILE_ASSIGNMENT OFF
+
+# -----------------
+# start CLOCK(SCLK)
+
+       # Timing Assignments
+       # ==================
+set_global_assignment -name DUTY_CYCLE 50 -section_id SCLK
+set_global_assignment -name FMAX_REQUIREMENT "1 MHz" -section_id SCLK
+set_global_assignment -name INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS OFF -section_id SCLK
+
+# end CLOCK(SCLK)
+# ---------------
+
+# -----------------------
+# start CLOCK(master_clk)
+
+       # Timing Assignments
+       # ==================
+set_global_assignment -name DUTY_CYCLE 50 -section_id master_clk
+set_global_assignment -name FMAX_REQUIREMENT "64 MHz" -section_id master_clk
+set_global_assignment -name INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS OFF -section_id master_clk
+
+# end CLOCK(master_clk)
+# ---------------------
+
+# -------------------
+# start CLOCK(usbclk)
+
+       # Timing Assignments
+       # ==================
+set_global_assignment -name DUTY_CYCLE 50 -section_id usbclk
+set_global_assignment -name FMAX_REQUIREMENT "48 MHz" -section_id usbclk
+set_global_assignment -name INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS OFF -section_id usbclk
+
+# end CLOCK(usbclk)
+# -----------------
+
+# ----------------------
+# start ENTITY(mrfm)
+
+       # Timing Assignments
+       # ==================
+set_instance_assignment -name CLOCK_SETTINGS SCLK -to SCLK
+set_instance_assignment -name CLOCK_SETTINGS usbclk -to usbclk
+set_instance_assignment -name CLOCK_SETTINGS master_clk -to master_clk
+
+# end ENTITY(mrfm)
+# --------------------
+
+
+set_global_assignment -name PHYSICAL_SYNTHESIS_ASYNCHRONOUS_SIGNAL_PIPELINING ON
+set_global_assignment -name SMART_RECOMPILE ON
+set_global_assignment -name VERILOG_FILE mrfm.vh
+set_global_assignment -name VERILOG_FILE biquad_2stage.v
+set_global_assignment -name VERILOG_FILE mrfm_compensator.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/ram16.v
+set_global_assignment -name VERILOG_FILE mrfm_proc.v
+set_global_assignment -name VERILOG_FILE ../../megacells/fifo_4k.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/hb/acc.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/hb/mult.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/hb/ram16_2sum.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/hb/coeff_rom.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/hb/halfband_decim.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/hb/mac.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/hb/coeff_ram.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/tx_chain.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/rx_dcoffset.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/adc_interface.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/io_pins.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/setting_reg.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/bidir_reg.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/cic_int_shifter.v
+set_global_assignment -name VERILOG_FILE ../../megacells/clk_doubler.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/gen_sync.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/master_control.v
+set_global_assignment -name VERILOG_FILE ../../megacells/fifo_2k.v
+set_global_assignment -name VERILOG_FILE ../../megacells/bustri.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/rx_buffer.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/tx_buffer.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/phase_acc.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/cic_interp.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/cic_decim.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/cordic_stage.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/cordic.v
+set_global_assignment -name VERILOG_FILE mrfm.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/clk_divider.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/serial_io.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/strobe_gen.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/sign_extend.v
+set_global_assignment -name FITTER_EFFORT "STANDARD FIT"
\ No newline at end of file
diff --git a/usrp/fpga/toplevel/mrfm/mrfm.v b/usrp/fpga/toplevel/mrfm/mrfm.v
new file mode 100644 (file)
index 0000000..7a0e380
--- /dev/null
@@ -0,0 +1,199 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2006 Matt Ettus
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+// Top level module for a full setup with DUCs and DDCs
+
+// Uncomment the following to include optional circuitry
+
+`include "mrfm.vh"
+`include "../../../firmware/include/fpga_regs_common.v"
+`include "../../../firmware/include/fpga_regs_standard.v"
+
+module mrfm
+(output MYSTERY_SIGNAL,
+ input master_clk,
+ input SCLK,
+ input SDI,
+ inout SDO,
+ input SEN_FPGA,
+
+ input FX2_1,
+ output FX2_2,
+ output FX2_3,
+ input wire [11:0] rx_a_a,
+ input wire [11:0] rx_b_a,
+ input wire [11:0] rx_a_b,
+ input wire [11:0] rx_b_b,
+ output wire [13:0] tx_a,
+ output wire [13:0] tx_b,
+ output wire TXSYNC_A,
+ output wire TXSYNC_B,
+ // USB interface
+ input usbclk,
+ input wire [2:0] usbctl,
+ output wire [1:0] usbrdy,
+ inout [15:0] usbdata,  // NB Careful, inout
+ // These are the general purpose i/o's that go to the daughterboard slots
+ inout wire [15:0] io_tx_a,
+ inout wire [15:0] io_tx_b,
+ inout wire [15:0] io_rx_a,
+ inout wire [15:0] io_rx_b
+ );    
+   wire [15:0] debugdata,debugctrl;
+   assign MYSTERY_SIGNAL = 1'b0;
+   
+   wire clk64;
+   
+   wire WR = usbctl[0];
+   wire RD = usbctl[1];
+   wire OE = usbctl[2];
+
+   wire have_space, have_pkt_rdy;
+   assign usbrdy[0] = have_space;
+   assign usbrdy[1] = have_pkt_rdy;
+
+   wire   tx_underrun, rx_overrun;    
+   wire   clear_status = FX2_1;
+   assign FX2_2 = rx_overrun;
+   assign FX2_3 = tx_underrun;
+      
+   wire [15:0] usbdata_out;
+   
+   wire [3:0]  dac0mux,dac1mux,dac2mux,dac3mux;
+   
+   wire        tx_realsignals;
+   wire [3:0]  rx_numchan;
+   
+   wire [15:0] tx_debugbus, rx_debugbus;
+   
+   wire        enable_tx, enable_rx;
+   wire        tx_dsp_reset, rx_dsp_reset, tx_bus_reset, rx_bus_reset;
+   wire [7:0]  settings;
+   
+   // Tri-state bus macro
+   bustri bustri( .data(usbdata_out),.enabledt(OE),.tridata(usbdata) );
+
+   assign      clk64 = master_clk;
+
+   wire [15:0] ch0tx,ch1tx,ch2tx,ch3tx; 
+   wire [15:0] ch0rx,ch1rx,ch2rx,ch3rx,ch4rx,ch5rx,ch6rx,ch7rx;
+   
+   wire        serial_strobe;
+   wire [6:0]  serial_addr;
+   wire [31:0] serial_data;
+   
+   /////////////////////////////////////////////////////////////////////////////////////////////////////
+   
+   setting_reg #(`FR_TX_MUX) 
+     sr_txmux(.clock(clk64),.reset(tx_dsp_reset),.strobe(serial_strobe),.addr(serial_addr),.in(serial_data),
+             .out({dac3mux,dac2mux,dac1mux,dac0mux,tx_realsignals,tx_numchan}));
+      
+   //////////////////////////////////////////////////////////////////////////////////////////////////////
+   // Signal Processing Chain
+
+   reg [15:0]  adc0;
+   wire [15:0] dac0;
+   wire [15:0] i,q,ip,qp;
+   wire        strobe_out;
+   wire        sync_out;
+   
+   always @(posedge clk64)
+     adc0 <= #1 {rx_a_a[11],rx_a_a[11:0],3'b0};
+
+   wire [15:0] adc0_corr;
+   rx_dcoffset #(0)rx_dcoffset0(.clock(clk64),.enable(1'b1),.reset(reset),.adc_in(adc0),.adc_out(adc0_corr),
+       .serial_addr(7'd0),.serial_data(32'd0),.serial_strobe(1'b0));
+
+   //wire [63:0] filt_debug = 64'd0;
+   
+   mrfm_proc mrfm_proc(.clock(clk64),.reset(rx_dsp_reset),.enable(enable_rx),
+                      .serial_addr(serial_addr),.serial_data(serial_data),.serial_strobe(serial_strobe),
+                      .signal_in(adc0_corr),.signal_out(dac0),.sync_out(sync_out),
+                      .i(i),.q(q),.ip(ip),.qp(qp),.strobe_out(strobe_out),
+                      .debugbus( /* filt_debug */ ));
+          
+   wire txsync = 1'b0;
+   assign TXSYNC_A = txsync;
+   assign TXSYNC_B = txsync;
+
+   assign tx_a = dac0[15:2];
+
+   //////////////////////////////////////////////////////////////////////////////////////////////////
+   //  Data Collection on RX Buffer
+
+   assign     rx_numchan[0] = 1'b0;
+   setting_reg #(`FR_RX_MUX) sr_rxmux(.clock(clk64),.reset(rx_dsp_reset),.strobe(serial_strobe),.addr(serial_addr),
+                                     .in(serial_data),.out(rx_numchan[3:1]));
+   
+   rx_buffer rx_buffer
+     ( .usbclk(usbclk),.bus_reset(rx_bus_reset),.reset(rx_dsp_reset),
+       .usbdata(usbdata_out),.RD(RD),.have_pkt_rdy(have_pkt_rdy),.rx_overrun(rx_overrun),
+       .channels(rx_numchan),
+       .ch_0(i),.ch_1(q),
+       .ch_2(ip),.ch_3(qp),
+       .ch_4(16'd0),.ch_5(16'd0),
+       .ch_6(16'd0),.ch_7(16'd0),
+       .rxclk(clk64),.rxstrobe(strobe_out),
+       .clear_status(clear_status),
+       .serial_addr(serial_addr),.serial_data(serial_data),.serial_strobe(serial_strobe),
+       .debugbus(rx_debugbus) );
+   
+   //////////////////////////////////////////////////////////////////////////////
+   // Control Functions
+       
+   wire [31:0] capabilities = 32'd2;
+
+   serial_io serial_io
+     ( .master_clk(clk64),.serial_clock(SCLK),.serial_data_in(SDI),
+       .enable(SEN_FPGA),.reset(1'b0),.serial_data_out(SDO),
+       .serial_addr(serial_addr),.serial_data(serial_data),.serial_strobe(serial_strobe),
+       .readback_0({io_rx_a,io_tx_a}),.readback_1({io_rx_b,io_tx_b}),.readback_2(capabilities),.readback_3(32'hf0f0931a) );
+
+   wire [15:0] reg_0,reg_1,reg_2,reg_3;
+   master_control master_control
+     ( .master_clk(clk64),.usbclk(usbclk),
+       .serial_addr(serial_addr),.serial_data(serial_data),.serial_strobe(serial_strobe),
+       .tx_bus_reset(tx_bus_reset),.rx_bus_reset(rx_bus_reset),
+       .tx_dsp_reset(tx_dsp_reset),.rx_dsp_reset(rx_dsp_reset),
+       .enable_tx(enable_tx),.enable_rx(enable_rx),
+       .interp_rate(interp_rate),.decim_rate(decim_rate),
+       .tx_sample_strobe(tx_sample_strobe),.strobe_interp(strobe_interp),
+       .rx_sample_strobe(rx_sample_strobe),.strobe_decim(strobe_decim),
+       .tx_empty(tx_empty),
+       .debug_0({15'd0,sync_out}), //filt_debug[63:48]),
+       .debug_1({15'd0,sync_out}), //filt_debug[47:32]),
+       .debug_2({15'd0,sync_out}), //filt_debug[31:16]),
+       .debug_3({15'd0,sync_out}), //filt_debug[15:0]),
+       .reg_0(reg_0),.reg_1(reg_1),.reg_2(reg_2),.reg_3(reg_3) );
+   
+   io_pins io_pins
+     (.io_0(io_tx_a),.io_1(io_rx_a),.io_2(io_tx_b),.io_3(io_rx_b),
+      .reg_0(reg_0),.reg_1(reg_1),.reg_2(reg_2),.reg_3(reg_3),
+      .clock(clk64),.rx_reset(rx_dsp_reset),.tx_reset(tx_dsp_reset),
+      .serial_addr(serial_addr),.serial_data(serial_data),.serial_strobe(serial_strobe));
+   
+endmodule // mrfm
+
diff --git a/usrp/fpga/toplevel/mrfm/mrfm.vh b/usrp/fpga/toplevel/mrfm/mrfm.vh
new file mode 100644 (file)
index 0000000..808342d
--- /dev/null
@@ -0,0 +1,21 @@
+
+
+//   MRFM Register defines
+
+`define FR_MRFM_DECIM             7'd64
+`define FR_MRFM_FREQ              7'd65
+`define FR_MRFM_PHASE             7'd66
+`define FR_MRFM_IIR_COEFF         7'd67
+`define FR_MRFM_IIR_SHIFT         7'd68
+`define FR_MRFM_DEBUG             7'd69
+`define FR_MRFM_COMP_A11          7'd70
+`define FR_MRFM_COMP_A12          7'd71
+`define FR_MRFM_COMP_A21          7'd72
+`define FR_MRFM_COMP_A22          7'd73
+`define FR_MRFM_COMP_SHIFT        7'd74
+`define FR_USER_11                7'd75
+`define FR_USER_12                7'd76
+`define FR_USER_13                7'd77
+`define FR_USER_14                7'd78
+`define FR_USER_15                7'd79
+
diff --git a/usrp/fpga/toplevel/mrfm/mrfm_compensator.v b/usrp/fpga/toplevel/mrfm/mrfm_compensator.v
new file mode 100644 (file)
index 0000000..f44b73b
--- /dev/null
@@ -0,0 +1,80 @@
+
+
+module mrfm_compensator (input clock, input reset, input strobe_in,
+                        input serial_strobe, input [6:0] serial_addr, input [31:0] serial_data,
+                        input [15:0] i_in, input [15:0] q_in, output reg [15:0] i_out, output reg [15:0] q_out);
+
+   wire [15:0]                               a11,a12,a21,a22;
+   reg [15:0]                        i_in_reg, q_in_reg;
+   wire [30:0]                               product;
+   reg [3:0]                         phase;
+   wire [15:0]                               data,coeff;
+   wire [7:0]                        shift;
+   wire [33:0]                               accum;
+   wire [15:0]                               scaled_accum;
+   wire enable_acc;
+
+   setting_reg #(`FR_MRFM_COMP_A11) sr_a11(.clock(clock),.reset(reset),
+                                          .strobe(serial_strobe),.addr(serial_addr),.in(serial_data),
+                                          .out(a11),.changed());
+   setting_reg #(`FR_MRFM_COMP_A12) sr_a12(.clock(clock),.reset(reset),
+                                          .strobe(serial_strobe),.addr(serial_addr),.in(serial_data),
+                                          .out(a12),.changed());
+   setting_reg #(`FR_MRFM_COMP_A21) sr_a21(.clock(clock),.reset(reset),
+                                          .strobe(serial_strobe),.addr(serial_addr),.in(serial_data),
+                                          .out(a21),.changed());
+   setting_reg #(`FR_MRFM_COMP_A22) sr_a22(.clock(clock),.reset(reset),
+                                          .strobe(serial_strobe),.addr(serial_addr),.in(serial_data),
+                                          .out(a22),.changed());
+   setting_reg #(`FR_MRFM_COMP_SHIFT) sr_cshift(.clock(clock),.reset(reset),
+                                               .strobe(serial_strobe),.addr(serial_addr),.in(serial_data),
+                                               .out(shift),.changed());
+   
+   mult mult (.clock(clock),.x(data),.y(coeff),.product(product),.enable_in(1'b1),.enable_out() );
+   acc acc (.clock(clock),.reset(reset),.clear(clear_acc),.enable_in(enable_acc),.enable_out(),
+           .addend(product),.sum(accum) );   
+   shifter shifter (.in(accum),.out(scaled_accum),.shift(shift));
+   
+   always @(posedge clock)
+     if(reset)
+       begin
+         i_in_reg <= #1 16'd0;
+         q_in_reg <= #1 16'd0;
+       end
+     else if(strobe_in)
+       begin
+         i_in_reg <= #1 i_in;
+         q_in_reg <= #1 q_in;
+       end       
+
+   always @(posedge clock)
+     if(reset)
+       phase <= #1 4'd0;
+     else if(strobe_in)
+       phase <= #1 4'd1;
+     else if(strobe_in != 4'd8)
+       phase <= #1 phase + 4'd1;
+
+   assign data = ((phase == 4'd1)||(phase === 4'd4)) ? i_in_reg : 
+         ((phase == 4'd2)||(phase == 4'd5)) ? q_in_reg : 16'd0;
+
+   assign coeff = (phase == 4'd1) ? a11 : (phase == 4'd2) ? a12 : 
+         (phase == 4'd4) ? a21 : (phase == 4'd5) ? a22 : 16'd0;
+
+   assign clear_acc = (phase == 4'd0) || (phase == 4'd1) || (phase == 4'd4) || (phase==4'd8);
+   assign enable_acc = ~clear_acc;
+   
+   always @(posedge clock)
+     if(reset)
+       i_out <= #1 16'd0;
+     else if(phase == 4'd4)
+       i_out <= #1 scaled_accum;
+
+   always @(posedge clock)
+     if(reset)
+       q_out <= #1 16'd0;
+     else if(phase == 4'd7)
+       q_out <= #1 scaled_accum;
+   
+       
+endmodule // mrfm_compensator
diff --git a/usrp/fpga/toplevel/mrfm/mrfm_fft.py b/usrp/fpga/toplevel/mrfm/mrfm_fft.py
new file mode 100755 (executable)
index 0000000..a4db0a5
--- /dev/null
@@ -0,0 +1,319 @@
+#!/usr/bin/env python
+#
+# This is mrfm_fft_sos.py
+# Modification of Matt's mrfm_fft.py that reads filter coefs from file
+#
+# Copyright 2004,2005 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+from gnuradio import gr, gru
+from gnuradio import usrp
+from gnuradio import eng_notation
+from gnuradio.eng_option import eng_option
+from gnuradio.wxgui import stdgui, fftsink, waterfallsink, scopesink, form, slider
+from optparse import OptionParser
+import wx
+import sys
+import mrfm
+
+
+def pick_subdevice(u):
+    """
+    The user didn't specify a subdevice on the command line.
+    If there's a daughterboard on A, select A.
+    If there's a daughterboard on B, select B.
+    Otherwise, select A.
+    """
+    if u.db[0][0].dbid() >= 0:       # dbid is < 0 if there's no d'board or a problem
+        return (0, 0)
+    if u.db[1][0].dbid() >= 0:
+        return (1, 0)
+    return (0, 0)
+
+def read_ints(filename):
+    try:
+        f = open(filename)
+        ints = [ int(i) for i in f.read().split() ]
+        f.close()
+        return ints
+    except:
+        return []
+
+class app_flow_graph(stdgui.gui_flow_graph):
+    def __init__(self, frame, panel, vbox, argv):
+        stdgui.gui_flow_graph.__init__(self)
+
+        self.frame = frame
+        self.panel = panel
+        
+        parser = OptionParser(option_class=eng_option)
+        parser.add_option("-R", "--rx-subdev-spec", type="subdev", default=None,
+                          help="select USRP Rx side A or B (default=first one with a daughterboard)")
+        parser.add_option("-d", "--decim", type="int", default=16,
+                          help="set fgpa decimation rate to DECIM [default=%default]")
+        parser.add_option("-f", "--freq", type="eng_float", default=None,
+                          help="set frequency to FREQ", metavar="FREQ")
+        parser.add_option("-g", "--gain", type="eng_float", default=None,
+                          help="set gain in dB (default is midpoint)")
+        parser.add_option("-W", "--waterfall", action="store_true", default=False,
+                          help="Enable waterfall display")
+        parser.add_option("-8", "--width-8", action="store_true", default=False,
+                          help="Enable 8-bit samples across USB")
+        parser.add_option("-S", "--oscilloscope", action="store_true", default=False,
+                          help="Enable oscilloscope display")
+        parser.add_option("-F", "--filename", default=None,
+                          help="Name of file with filter coefficients")
+        parser.add_option("-C", "--cfilename", default=None,
+                          help="Name of file with compensator coefficients")
+        parser.add_option("-B", "--bitstream", default="mrfm.rbf",
+                          help="Name of FPGA Bitstream file (.rbf)")
+        parser.add_option("-n", "--frame-decim", type="int", default=20,
+                          help="set oscope frame decimation factor to n [default=12]")
+        (options, args) = parser.parse_args()
+        if len(args) != 0:
+            parser.print_help()
+            sys.exit(1)
+
+        self.show_debug_info = True
+
+        # default filter coefs
+        b00 = b01 = 16384  
+        b10 = b20 = a10 = a20 = b11 = b21 = a11 = a21 = 0
+
+        ba = read_ints(options.filename)
+        if len(ba) >= 6:
+            b00 = ba[0]; b10 = ba[1]; b20 = ba[2]; a10 = ba[4]; a20 = ba[5]
+        if len(ba) >= 12:
+            b01 = ba[6]; b11 = ba[7]; b21 = ba[8]; a11 = ba[10]; a21=ba[11]
+        print b00, b10, b20, a10, a20, b01, b11, b21, a11, a21 
+
+        # default compensator coefficients
+        c11 = c22 = 1
+        c12 = c21 = cscale = 0
+
+        cs = read_ints(options.cfilename)
+        if len(cs) >= 5:
+            c11 = cs[0]; c12 = cs[1]; c21 = cs[2]; c22 = cs[3]; cscale = cs[4]
+        print c11, c12, c21, c22, cscale
+     
+        # build the graph
+        self.u = mrfm.source_c(options.bitstream)
+
+        self.u.set_decim_rate(options.decim)
+        self.u.set_center_freq(options.freq)
+
+        frac_bits = 14
+        self.u.set_coeffs(frac_bits,b20,b10,b00,a20,a10,b21,b11,b01,a21,a11)
+
+        self.u.set_compensator(c11,c12,c21,c22,cscale)
+
+        if options.rx_subdev_spec is None:
+            options.rx_subdev_spec = pick_subdevice(self.u)
+        self.u.set_mux(usrp.determine_rx_mux_value(self.u, options.rx_subdev_spec))
+
+        if options.width_8:
+            width = 8
+            shift = 8
+            format = self.u.make_format(width, shift)
+            print "format =", hex(format)
+            r = self.u.set_format(format)
+            print "set_format =", r
+            
+        # determine the daughterboard subdevice we're using
+        self.subdev = usrp.selected_subdev(self.u, options.rx_subdev_spec)
+
+        #input_rate = self.u.adc_freq() / self.u.decim_rate()
+        input_rate = self.u.adc_freq() / options.decim
+
+        # fft_rate = 15
+        fft_rate = 5
+                
+        self.deint = gr.deinterleave(gr.sizeof_gr_complex)
+        self.connect(self.u,self.deint)
+        
+        if options.waterfall:
+            self.scope1=waterfallsink.waterfall_sink_c (self, panel, fft_size=1024, sample_rate=input_rate,
+                                                        fft_rate=fft_rate)
+            self.scope2=waterfallsink.waterfall_sink_c (self, panel, fft_size=1024, sample_rate=input_rate,
+                                                        fft_rate=fft_rate)
+
+        elif options.oscilloscope:
+            self.scope1 = scopesink.scope_sink_c(self, panel, sample_rate=input_rate,frame_decim=options.frame_decim) # added option JPJ 4/21/2006
+            self.scope2 = scopesink.scope_sink_c(self, panel, sample_rate=input_rate,frame_decim=options.frame_decim) 
+
+        else:
+            self.scope1 = fftsink.fft_sink_c (self, panel, fft_size=1024, sample_rate=input_rate,
+                                             fft_rate=fft_rate)
+            self.scope2 = fftsink.fft_sink_c (self, panel, fft_size=1024, sample_rate=input_rate,
+                                             fft_rate=fft_rate)
+
+        # Show I, I' on top scope panel, Q, Q' on bottom
+        #self.fin = gr.complex_to_float()
+        #self.fout = gr.complex_to_float()
+
+        #self.connect((self.deint,0), self.fin)
+        #self.connect((self.deint,1), self.fout)
+
+        #self.ii = gr.float_to_complex()
+        #self.qq = gr.float_to_complex()
+        
+        #self.connect((self.fin,0), (self.ii,0))
+        #self.connect((self.fout,0), (self.ii,1))
+        #self.connect((self.fin,1), (self.qq,0))
+        #self.connect((self.fout,1), (self.qq,1))
+        
+        #self.connect(self.ii, self.scope1)
+        #self.connect(self.qq, self.scope2)
+
+        self.connect ((self.deint,0),self.scope1)
+        self.connect ((self.deint,1),self.scope2)
+
+        self._build_gui(vbox)
+
+        # set initial values
+
+        if options.gain is None:
+            # if no gain was specified, use the mid-point in dB
+            g = self.subdev.gain_range()
+            options.gain = float(g[0]+g[1])/2
+
+        if options.freq is None:
+            # if no freq was specified, use the mid-point
+            r = self.subdev.freq_range()
+            options.freq = float(r[0]+r[1])/2
+
+        self.set_gain(options.gain)
+
+        if not(self.set_freq(options.freq)):
+            self._set_status_msg("Failed to set initial frequency")
+
+        if self.show_debug_info:
+            self.myform['decim'].set_value(self.u.decim_rate())
+            self.myform['fs@usb'].set_value(self.u.adc_freq() / self.u.decim_rate())
+            self.myform['dbname'].set_value(self.subdev.name())
+                        
+
+    def _set_status_msg(self, msg):
+        self.frame.GetStatusBar().SetStatusText(msg, 0)
+
+    def _build_gui(self, vbox):
+
+        def _form_set_freq(kv):
+            return self.set_freq(kv['freq'])
+            
+        vbox.Add(self.scope1.win, 10, wx.EXPAND)
+        vbox.Add(self.scope2.win, 10, wx.EXPAND)
+        
+        # add control area at the bottom
+        self.myform = myform = form.form()
+        hbox = wx.BoxSizer(wx.HORIZONTAL)
+        hbox.Add((5,0), 0, 0)
+        myform['freq'] = form.float_field(
+            parent=self.panel, sizer=hbox, label="Center freq", weight=1,
+            callback=myform.check_input_and_call(_form_set_freq, self._set_status_msg))
+
+        hbox.Add((5,0), 0, 0)
+        g = self.subdev.gain_range()
+        myform['gain'] = form.slider_field(parent=self.panel, sizer=hbox, label="Gain",
+                                           weight=3,
+                                           min=int(g[0]), max=int(g[1]),
+                                           callback=self.set_gain)
+
+        hbox.Add((5,0), 0, 0)
+        vbox.Add(hbox, 0, wx.EXPAND)
+
+        self._build_subpanel(vbox)
+
+    def _build_subpanel(self, vbox_arg):
+        # build a secondary information panel (sometimes hidden)
+
+        # FIXME figure out how to have this be a subpanel that is always
+        # created, but has its visibility controlled by foo.Show(True/False)
+        
+        if not(self.show_debug_info):
+            return
+
+        panel = self.panel
+        vbox = vbox_arg
+        myform = self.myform
+
+        #panel = wx.Panel(self.panel, -1)
+        #vbox = wx.BoxSizer(wx.VERTICAL)
+
+        hbox = wx.BoxSizer(wx.HORIZONTAL)
+        hbox.Add((5,0), 0)
+        myform['decim'] = form.static_float_field(
+            parent=panel, sizer=hbox, label="Decim")
+
+        hbox.Add((5,0), 1)
+        myform['fs@usb'] = form.static_float_field(
+            parent=panel, sizer=hbox, label="Fs@USB")
+
+        hbox.Add((5,0), 1)
+        myform['dbname'] = form.static_text_field(
+            parent=panel, sizer=hbox)
+
+        hbox.Add((5,0), 1)
+        myform['baseband'] = form.static_float_field(
+            parent=panel, sizer=hbox, label="Analog BB")
+
+        hbox.Add((5,0), 1)
+        myform['ddc'] = form.static_float_field(
+            parent=panel, sizer=hbox, label="DDC")
+
+        hbox.Add((5,0), 0)
+        vbox.Add(hbox, 0, wx.EXPAND)
+
+        
+        
+    def set_freq(self, target_freq):
+        """
+        Set the center frequency we're interested in.
+
+        @param target_freq: frequency in Hz
+        @rypte: bool
+
+        Tuning is a two step process.  First we ask the front-end to
+        tune as close to the desired frequency as it can.  Then we use
+        the result of that operation and our target_frequency to
+        determine the value for the digital down converter.
+        """
+        r = self.u.tune(0, self.subdev, target_freq)
+        
+        if r:
+            self.myform['freq'].set_value(target_freq)     # update displayed value
+            if self.show_debug_info:
+                self.myform['baseband'].set_value(r.baseband_freq)
+                self.myform['ddc'].set_value(r.dxc_freq)
+            return True
+
+        return False
+
+    def set_gain(self, gain):
+        self.myform['gain'].set_value(gain)     # update displayed value
+        self.subdev.set_gain(gain)
+
+
+def main ():
+    app = stdgui.stdapp(app_flow_graph, "USRP FFT", nstatus=1)
+    app.MainLoop()
+
+if __name__ == '__main__':
+    main ()
diff --git a/usrp/fpga/toplevel/mrfm/mrfm_proc.v b/usrp/fpga/toplevel/mrfm/mrfm_proc.v
new file mode 100644 (file)
index 0000000..80de9fc
--- /dev/null
@@ -0,0 +1,96 @@
+
+`include "mrfm.vh"
+`include "../../../firmware/include/fpga_regs_common.v"
+`include "../../../firmware/include/fpga_regs_standard.v"
+
+module mrfm_proc (input clock, input reset, input enable,
+                 input [6:0] serial_addr, input [31:0] serial_data, input serial_strobe,
+                 input [15:0] signal_in, output wire [15:0] signal_out, output wire sync_out,
+                 output wire [15:0] i, output wire [15:0] q, 
+                 output wire [15:0] ip, output wire [15:0] qp,
+                 output wire strobe_out, output wire [63:0] debugbus);
+
+   // Strobes
+   wire        sample_strobe, strobe_0, strobe_1, strobe_2;
+   assign      sample_strobe = 1'b1;
+   wire [7:0]  rate_0, rate_1, rate_2;
+
+   setting_reg #(`FR_MRFM_DECIM) sr_decim(.clock(clock),.reset(reset),.strobe(serial_strobe),.addr(serial_addr),.in(serial_data),.out({rate_2,rate_1,rate_0}));
+   
+   strobe_gen strobe_gen_0
+     ( .clock(clock),.reset(reset),.enable(enable),
+       .rate(rate_0),.strobe_in(sample_strobe),.strobe(strobe_0) );
+   strobe_gen strobe_gen_1
+     ( .clock(clock),.reset(reset),.enable(enable),
+       .rate(rate_1),.strobe_in(strobe_0),.strobe(strobe_1) );
+   
+   wire [31:0] phase;
+
+   assign      sync_out = phase[31];
+   wire [15:0] i_decim_0, i_decim_1, i_decim_2;
+   wire [15:0] q_decim_0, q_decim_1, q_decim_2;
+
+   wire [15:0] i_interp_0, i_interp_1, i_interp_2;
+   wire [15:0] q_interp_0, q_interp_1, q_interp_2;
+
+   wire [15:0] i_filt, q_filt, i_comp, q_comp;
+
+   assign      ip=i_comp;
+   assign      qp=q_comp;
+   
+   phase_acc #(`FR_MRFM_FREQ,`FR_MRFM_PHASE,32) rx_phase_acc
+     (.clk(clock),.reset(reset),.enable(enable),
+      .serial_addr(serial_addr),.serial_data(serial_data),.serial_strobe(serial_strobe),
+      .strobe(sample_strobe),.phase(phase) );
+   
+   cordic rx_cordic (.clock(clock),.reset(reset),.enable(enable),
+                    .xi(signal_in),.yi(16'd0),.zi(phase[31:16]),
+                    .xo(i_decim_0),.yo(q_decim_0),.zo() );
+   
+   cic_decim cic_decim_i_0 (.clock(clock),.reset(reset),.enable(enable),
+                           .rate(rate_0),.strobe_in(sample_strobe),.strobe_out(strobe_0),
+                           .signal_in(i_decim_0),.signal_out(i_decim_1));
+   cic_decim cic_decim_i_1 (.clock(clock),.reset(reset),.enable(enable),
+                           .rate(rate_1),.strobe_in(strobe_0),.strobe_out(strobe_1),
+                           .signal_in(i_decim_1),.signal_out(i));
+
+   cic_decim cic_decim_q_0 (.clock(clock),.reset(reset),.enable(enable),
+                           .rate(rate_0),.strobe_in(sample_strobe),.strobe_out(strobe_0),
+                           .signal_in(q_decim_0),.signal_out(q_decim_1));
+   cic_decim cic_decim_q_1 (.clock(clock),.reset(reset),.enable(enable),
+                           .rate(rate_1),.strobe_in(strobe_0),.strobe_out(strobe_1),
+                           .signal_in(q_decim_1),.signal_out(q));
+
+   assign      strobe_out = strobe_1;
+
+   biquad_2stage iir_i (.clock(clock),.reset(reset),.strobe_in(strobe_1),
+                       .serial_strobe(serial_strobe),.serial_addr(serial_addr),.serial_data(serial_data),
+                       .sample_in(i),.sample_out(i_filt),.debugbus(debugbus));
+
+   biquad_2stage iir_q (.clock(clock),.reset(reset),.strobe_in(strobe_1),
+                       .serial_strobe(serial_strobe),.serial_addr(serial_addr),.serial_data(serial_data),
+                       .sample_in(q),.sample_out(q_filt),.debugbus());
+
+   mrfm_compensator compensator (.clock(clock),.reset(reset),.strobe_in(strobe_1),
+                                .serial_strobe(serial_strobe),.serial_addr(serial_addr),.serial_data(serial_data),
+                                .i_in(i_filt),.q_in(q_filt),.i_out(i_comp),.q_out(q_comp));
+   
+   cic_interp cic_interp_i_0 (.clock(clock),.reset(reset),.enable(enable),
+                           .rate(rate_1),.strobe_in(strobe_1),.strobe_out(strobe_0),
+                           .signal_in(i_comp),.signal_out(i_interp_0));
+   cic_interp cic_interp_i_1 (.clock(clock),.reset(reset),.enable(enable),
+                           .rate(rate_0),.strobe_in(strobe_0),.strobe_out(sample_strobe),
+                           .signal_in(i_interp_0),.signal_out(i_interp_1));
+
+   cic_interp cic_interp_q_0 (.clock(clock),.reset(reset),.enable(enable),
+                           .rate(rate_1),.strobe_in(strobe_1),.strobe_out(strobe_0),
+                           .signal_in(q_comp),.signal_out(q_interp_0));
+   cic_interp cic_interp_q_1 (.clock(clock),.reset(reset),.enable(enable),
+                           .rate(rate_0),.strobe_in(strobe_0),.strobe_out(sample_strobe),
+                           .signal_in(q_interp_0),.signal_out(q_interp_1));
+
+   cordic tx_cordic (.clock(clock),.reset(reset),.enable(enable),
+                    .xi(i_interp_1),.yi(q_interp_1),.zi(-phase[31:16]),
+                    .xo(signal_out),.yo(),.zo() );
+
+endmodule // mrfm_proc
diff --git a/usrp/fpga/toplevel/mrfm/shifter.v b/usrp/fpga/toplevel/mrfm/shifter.v
new file mode 100644 (file)
index 0000000..dd4d4b5
--- /dev/null
@@ -0,0 +1,106 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2005,2006 Matt Ettus
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+module shifter(input wire [33:0] in, output wire [15:0] out, input wire [7:0] shift);
+   // Wish we could do  assign out = in[15+shift:shift];
+
+   reg [15:0] quotient, remainder;
+   wire [15:0] out_unclipped;
+   reg [18:0]  msbs;
+   wire        in_range;
+   
+   always @*
+     case(shift)
+       0 : quotient = in[15:0];
+       1 : quotient = in[16:1];
+       2 : quotient = in[17:2];
+       3 : quotient = in[18:3];
+       4 : quotient = in[19:4];
+       5 : quotient = in[20:5];
+       6 : quotient = in[21:6];
+       7 : quotient = in[22:7];
+       8 : quotient = in[23:8];
+       9 : quotient = in[24:9];
+       10 : quotient = in[25:10];
+       11 : quotient = in[26:11];
+       12 : quotient = in[27:12];
+       13 : quotient = in[28:13];
+       14 : quotient = in[29:14];
+       15 : quotient = in[30:15];
+       16 : quotient = in[31:16];
+       17 : quotient = in[32:17];
+       18 : quotient = in[33:18];
+       default : quotient = in[15:0];
+     endcase // case(shift)
+
+   always @*
+     case(shift)
+       0 : remainder = 16'b0;
+       1 : remainder = {in[0],15'b0};
+       2 : remainder = {in[1:0],14'b0};
+       3 : remainder = {in[2:0],13'b0};
+       4 : remainder = {in[3:0],12'b0};
+       5 : remainder = {in[4:0],11'b0};
+       6 : remainder = {in[5:0],10'b0};
+       7 : remainder = {in[6:0],9'b0};
+       8 : remainder = {in[7:0],8'b0};
+       9 : remainder = {in[8:0],7'b0};
+       10 : remainder = {in[9:0],6'b0};
+       11 : remainder = {in[10:0],5'b0};
+       12 : remainder = {in[11:0],4'b0};
+       13 : remainder = {in[12:0],3'b0};
+       14 : remainder = {in[13:0],2'b0};
+       15 : remainder = {in[14:0],1'b0};
+       16 : remainder = in[15:0];
+       17 : remainder = in[16:1];
+       18 : remainder = in[17:2];
+       default : remainder = 16'b0;
+     endcase // case(shift)
+
+   always @*
+     case(shift)
+       0 : msbs = in[33:15];
+       1 : msbs = {in[33],in[33:16]};
+       2 : msbs = {{2{in[33]}},in[33:17]};
+       3 : msbs = {{3{in[33]}},in[33:18]};
+       4 : msbs = {{4{in[33]}},in[33:19]};
+       5 : msbs = {{5{in[33]}},in[33:20]};
+       6 : msbs = {{6{in[33]}},in[33:21]};
+       7 : msbs = {{7{in[33]}},in[33:22]};
+       8 : msbs = {{8{in[33]}},in[33:23]};
+       9 : msbs = {{9{in[33]}},in[33:24]};
+       10 : msbs = {{10{in[33]}},in[33:25]};
+       11 : msbs = {{11{in[33]}},in[33:26]};
+       12 : msbs = {{12{in[33]}},in[33:27]};
+       13 : msbs = {{13{in[33]}},in[33:28]};
+       14 : msbs = {{14{in[33]}},in[33:29]};
+       15 : msbs = {{15{in[33]}},in[33:30]};
+       16 : msbs = {{16{in[33]}},in[33:31]};
+       17 : msbs = {{17{in[33]}},in[33:32]};
+       18 : msbs = {{18{in[33]}},in[33]};
+       default : msbs = in[33:15];
+     endcase // case(shift)
+
+   assign     in_range = &msbs | ~(|msbs);
+   assign     out_unclipped = quotient + (in[33] & |remainder);
+   assign     out = in_range ? out_unclipped : {in[33],{15{~in[33]}}};
+   
+endmodule // shifter
diff --git a/usrp/fpga/toplevel/sizetest/sizetest.csf b/usrp/fpga/toplevel/sizetest/sizetest.csf
new file mode 100644 (file)
index 0000000..4b724e7
--- /dev/null
@@ -0,0 +1,160 @@
+COMPILER_SETTINGS
+{
+       IO_PLACEMENT_OPTIMIZATION = OFF;
+       ENABLE_DRC_SETTINGS = OFF;
+       PHYSICAL_SYNTHESIS_REGISTER_RETIMING = OFF;
+       PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION = OFF;
+       PHYSICAL_SYNTHESIS_COMBO_LOGIC = OFF;
+       DRC_FANOUT_EXCEEDING = 30;
+       DRC_REPORT_FANOUT_EXCEEDING = OFF;
+       DRC_TOP_FANOUT = 50;
+       DRC_REPORT_TOP_FANOUT = OFF;
+       RUN_DRC_DURING_COMPILATION = OFF;
+       ADV_NETLIST_OPT_RETIME_CORE_AND_IO = ON;
+       ADV_NETLIST_OPT_SYNTH_USE_FITTER_INFO = OFF;
+       ADV_NETLIST_OPT_SYNTH_GATE_RETIME = OFF;
+       ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP = OFF;
+       SMART_COMPILE_IGNORES_TDC_FOR_STRATIX_PLL_CHANGES = OFF;
+       MERGE_HEX_FILE = OFF;
+       TRUE_WYSIWYG_FLOW = OFF;
+       SEED = 1;
+       FINAL_PLACEMENT_OPTIMIZATION = AUTOMATICALLY;
+       FAMILY = Cyclone;
+       DPRAM_DUAL_PORT_MODE_OTHER_SIGNALS_EPXA1 = "DPRAM0 TO 1 DPRAM1 TO 2";
+       DPRAM_32BIT_SINGLE_PORT_MODE_OTHER_SIGNALS_EPXA1 = "MEGALAB COLUMN 1";
+       DPRAM_8BIT_16BIT_SINGLE_PORT_MODE_OTHER_SIGNALS_EPXA1 = "MEGALAB COLUMN 1";
+       DPRAM_DUAL_PORT_MODE_OUTPUT_EPXA1 = "DPRAM0 TO 1 DPRAM1 TO 2";
+       DPRAM_32BIT_SINGLE_PORT_MODE_OUTPUT_EPXA1 = "LOWER TO 1ESB UPPER TO 1";
+       DPRAM_8BIT_16BIT_SINGLE_PORT_MODE_OUTPUT_EPXA1 = "MEGALAB COLUMN 1";
+       DPRAM_DUAL_PORT_MODE_INPUT_EPXA1 = "DPRAM0 TO 1 DPRAM1 TO 2";
+       DPRAM_32BIT_SINGLE_PORT_MODE_INPUT_EPXA1 = "MEGALAB COLUMN 1";
+       DPRAM_8BIT_16BIT_SINGLE_PORT_MODE_INPUT_EPXA1 = "MEGALAB COLUMN 1";
+       DPRAM_DUAL_PORT_MODE_OTHER_SIGNALS_EPXA4_10 = "DPRAM0 TO 3 DPRAM1 TO 4";
+       DPRAM_SINGLE_PORT_MODE_OTHER_SIGNALS_EPXA4_10 = "DPRAM0 TO 3 DPRAM1 TO 4";
+       DPRAM_WIDE_MODE_OTHER_SIGNALS_EPXA4_10 = "MEGALAB COLUMN 3";
+       DPRAM_DEEP_MODE_OTHER_SIGNALS_EPXA4_10 = "MEGALAB COLUMN 3";
+       DPRAM_DUAL_PORT_MODE_OUTPUT_EPXA4_10 = "DPRAM0 TO 3 DPRAM1 TO 4ESB";
+       DPRAM_SINGLE_PORT_MODE_OUTPUT_EPXA4_10 = "DPRAM0 TO 3 DPRAM1 TO 4ESB";
+       DPRAM_WIDE_MODE_OUTPUT_EPXA4_10 = "LOWER TO 3 UPPER TO 4ESB";
+       DPRAM_DEEP_MODE_OUTPUT_EPXA4_10 = "MEGALAB COLUMN 3";
+       DPRAM_DUAL_PORT_MODE_INPUT_EPXA4_10 = "DPRAM0 TO 3 DPRAM1 TO 4";
+       DPRAM_SINGLE_PORT_MODE_INPUT_EPXA4_10 = "DPRAM0 TO 3 DPRAM1 TO 4";
+       DPRAM_WIDE_MODE_INPUT_EPXA4_10 = "LOWER TO 3 UPPER TO 4";
+       DPRAM_DEEP_MODE_INPUT_EPXA4_10 = "MEGALAB COLUMN 3";
+       DPRAM_OTHER_SIGNALS_EPXA4_10 = "DEFAULT OTHER ROUTING OPTIONS";
+       DPRAM_OUTPUT_EPXA4_10 = "DEFAULT OUTPUT ROUTING OPTIONS";
+       DPRAM_INPUT_EPXA4_10 = "DEFAULT INPUT ROUTING OPTIONS";
+       STRIPE_TO_PLD_INTERRUPTS_EPXA4_10 = "MEGALAB COLUMN 2";
+       PLD_TO_STRIPE_INTERRUPTS_EPXA4_10 = "MEGALAB COLUMN 2";
+       PROCESSOR_DEBUG_EXTENSIONS_EPXA4_10 = "MEGALAB COLUMN 2";
+       STRIPE_TO_PLD_BRIDGE_EPXA4_10 = "MEGALAB COLUMN 1";
+       FAST_FIT_COMPILATION = OFF;
+       SIGNALPROBE_DURING_NORMAL_COMPILATION = OFF;
+       OPTIMIZE_IOC_REGISTER_PLACEMENT_FOR_TIMING = OFF;
+       OPTIMIZE_TIMING = OFF;
+       OPTIMIZE_HOLD_TIMING = OFF;
+       COMPILATION_LEVEL = FULL;
+       SAVE_DISK_SPACE = ON;
+       SPEED_DISK_USAGE_TRADEOFF = NORMAL;
+       LOGICLOCK_INCREMENTAL_COMPILE_ASSIGNMENT = OFF;
+       SIGNALPROBE_ALLOW_OVERUSE = OFF;
+       FOCUS_ENTITY_NAME = |sizetest;
+       FIT_ONLY_ONE_ATTEMPT = OFF;
+}
+DEFAULT_DEVICE_OPTIONS
+{
+       GENERATE_CONFIG_HEXOUT_FILE = OFF;
+       GENERATE_CONFIG_JBC_FILE_COMPRESSED = ON;
+       GENERATE_CONFIG_JBC_FILE = OFF;
+       GENERATE_CONFIG_JAM_FILE = OFF;
+       GENERATE_CONFIG_ISC_FILE = OFF;
+       GENERATE_CONFIG_SVF_FILE = OFF;
+       GENERATE_JBC_FILE_COMPRESSED = ON;
+       GENERATE_JBC_FILE = OFF;
+       GENERATE_JAM_FILE = OFF;
+       GENERATE_ISC_FILE = OFF;
+       GENERATE_SVF_FILE = OFF;
+       RESERVE_PIN = "AS INPUT TRI-STATED";
+       RESERVE_ALL_UNUSED_PINS = "AS OUTPUT DRIVING GROUND";
+       HEXOUT_FILE_COUNT_DIRECTION = UP;
+       HEXOUT_FILE_START_ADDRESS = 0;
+       GENERATE_HEX_FILE = OFF;
+       GENERATE_RBF_FILE = OFF;
+       GENERATE_TTF_FILE = OFF;
+       RESERVE_ASDO_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       RESERVE_DATA0_AFTER_CONFIGURATION = "AS INPUT TRI-STATED";
+       RESERVE_DATA7_THROUGH_DATA1_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       RESERVE_RDYNBUSY_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       RESERVE_NWS_NRS_NCS_CS_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       DISABLE_NCS_AND_OE_PULLUPS_ON_CONFIG_DEVICE = OFF;
+       AUTO_INCREMENT_CONFIG_DEVICE_JTAG_USER_CODE = ON;
+       EPROM_USE_CHECKSUM_AS_USERCODE = OFF;
+       FLEX10K_CONFIG_DEVICE_JTAG_USER_CODE = FFFFFFFF;
+       MERCURY_CONFIG_DEVICE_JTAG_USER_CODE = FFFFFFFF;
+       STRATIX_CONFIG_DEVICE_JTAG_USER_CODE = FFFFFFFF;
+       APEX20K_CONFIG_DEVICE_JTAG_USER_CODE = FFFFFFFF;
+       STRATIX_CONFIGURATION_DEVICE = AUTO;
+       CYCLONE_CONFIGURATION_DEVICE = AUTO;
+       FLEX10K_CONFIGURATION_DEVICE = AUTO;
+       FLEX6K_CONFIGURATION_DEVICE = AUTO;
+       MERCURY_CONFIGURATION_DEVICE = AUTO;
+       EXCALIBUR_CONFIGURATION_DEVICE = AUTO;
+       APEX20K_CONFIGURATION_DEVICE = AUTO;
+       USE_CONFIGURATION_DEVICE = ON;
+       ENABLE_INIT_DONE_OUTPUT = OFF;
+       FLEX10K_ENABLE_LOCK_OUTPUT = OFF;
+       ENABLE_DEVICE_WIDE_OE = OFF;
+       ENABLE_DEVICE_WIDE_RESET = OFF;
+       RELEASE_CLEARS_BEFORE_TRI_STATES = OFF;
+       AUTO_RESTART_CONFIGURATION = OFF;
+       ENABLE_VREFB_PIN = OFF;
+       ENABLE_VREFA_PIN = OFF;
+       SECURITY_BIT = OFF;
+       USER_START_UP_CLOCK = OFF;
+       APEXII_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       FLEX10K_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       FLEX6K_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       MERCURY_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       EXCALIBUR_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       CYCLONE_CONFIGURATION_SCHEME = "ACTIVE SERIAL";
+       STRATIX_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       APEX20K_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       STRATIX_UPDATE_MODE = STANDARD;
+       USE_CHECKSUM_AS_USERCODE = OFF;
+       MAX7000_USE_CHECKSUM_AS_USERCODE = OFF;
+       MAX7000_JTAG_USER_CODE = FFFFFFFF;
+       FLEX10K_JTAG_USER_CODE = 7F;
+       MERCURY_JTAG_USER_CODE = FFFFFFFF;
+       APEX20K_JTAG_USER_CODE = FFFFFFFF;
+       STRATIX_JTAG_USER_CODE = FFFFFFFF;
+       MAX7000S_JTAG_USER_CODE = FFFF;
+       RESERVE_NCEO_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       FLEX10K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE = ON;
+       FLEX6K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE = OFF;
+       ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE = ON;
+       MAX7000_ENABLE_JTAG_BST_SUPPORT = ON;
+       ENABLE_JTAG_BST_SUPPORT = OFF;
+       CONFIGURATION_CLOCK_DIVISOR = 1;
+       CONFIGURATION_CLOCK_FREQUENCY = "10 MHZ";
+       CLOCK_SOURCE = INTERNAL;
+       COMPRESSION_MODE = OFF;
+       ON_CHIP_BITSTREAM_DECOMPRESSION = OFF;
+}
+AUTO_SLD_HUB_ENTITY
+{
+       AUTO_INSERT_SLD_HUB_ENTITY = ENABLE;
+       HUB_INSTANCE_NAME = SLD_HUB_INST;
+       HUB_ENTITY_NAME = SLD_HUB;
+}
+CHIP(sizetest)
+{
+       DEVICE = EP1C12Q240C8;
+       DEVICE_FILTER_PACKAGE = "ANY QFP";
+       DEVICE_FILTER_PIN_COUNT = 240;
+       DEVICE_FILTER_SPEED_GRADE = ANY;
+}
+SIGNALTAP_LOGIC_ANALYZER_SETTINGS
+{
+       ENABLE_SIGNALTAP = Off;
+       AUTO_ENABLE_SMART_COMPILE = On;
+}
diff --git a/usrp/fpga/toplevel/sizetest/sizetest.psf b/usrp/fpga/toplevel/sizetest/sizetest.psf
new file mode 100644 (file)
index 0000000..e4fc6aa
--- /dev/null
@@ -0,0 +1,228 @@
+DEFAULT_DESIGN_ASSISTANT_SETTINGS
+{
+       HCPY_ALOAD_SIGNALS = OFF;
+       HCPY_VREF_PINS = OFF;
+       HCPY_CAT = OFF;
+       HCPY_ILLEGAL_HC_DEV_PKG = OFF;
+       ACLK_RULE_IMSZER_ADOMAIN = OFF;
+       ACLK_RULE_SZER_BTW_ACLK_DOMAIN = OFF;
+       ACLK_RULE_NO_SZER_ACLK_DOMAIN = OFF;
+       ACLK_CAT = OFF;
+       SIGNALRACE_RULE_ASYNCHPIN_SYNCH_CLKPIN = OFF;
+       SIGNALRACE_CAT = OFF;
+       NONSYNCHSTRUCT_RULE_LATCH_UNIDENTIFIED = OFF;
+       NONSYNCHSTRUCT_RULE_SRLATCH = OFF;
+       NONSYNCHSTRUCT_RULE_DLATCH = OFF;
+       NONSYNCHSTRUCT_RULE_MULTI_VIBRATOR = OFF;
+       NONSYNCHSTRUCT_RULE_ILLEGAL_PULSE_GEN = OFF;
+       NONSYNCHSTRUCT_RULE_RIPPLE_CLK = OFF;
+       NONSYNCHSTRUCT_RULE_DELAY_CHAIN = OFF;
+       NONSYNCHSTRUCT_RULE_REG_LOOP = OFF;
+       NONSYNCHSTRUCT_RULE_COMBLOOP = OFF;
+       NONSYNCHSTRUCT_CAT = OFF;
+       NONSYNCHSTRUCT_RULE_COMB_DRIVES_RAM_WE = OFF;
+       TIMING_RULE_COIN_CLKEDGE = OFF;
+       TIMING_RULE_SHIFT_REG = OFF;
+       TIMING_RULE_HIGH_FANOUTS = OFF;
+       TIMING_CAT = OFF;
+       RESET_RULE_ALL = OFF;
+       RESET_RULE_IMSYNCH_ASYNCH_DOMAIN = OFF;
+       RESET_RULE_UNSYNCH_ASYNCH_DOMAIN = OFF;
+       RESET_RULE_REG_ASNYCH = OFF;
+       RESET_RULE_COMB_ASYNCH_RESET = OFF;
+       RESET_RULE_IMSYNCH_EXRESET = OFF;
+       RESET_RULE_UNSYNCH_EXRESET = OFF;
+       RESET_RULE_INPINS_RESETNET = OFF;
+       RESET_CAT = OFF;
+       CLK_RULE_ALL = OFF;
+       CLK_RULE_MIX_EDGES = OFF;
+       CLK_RULE_CLKNET_CLKSPINES = OFF;
+       CLK_RULE_INPINS_CLKNET = OFF;
+       CLK_RULE_GATING_SCHEME = OFF;
+       CLK_RULE_INV_CLOCK = OFF;
+       CLK_RULE_COMB_CLOCK = OFF;
+       CLK_CAT = OFF;
+       HCPY_EXCEED_USER_IO_USAGE = OFF;
+       HCPY_EXCEED_RAM_USAGE = OFF;
+       NONSYNCHSTRUCT_RULE_ASYN_RAM = OFF;
+       SIGNALRACE_RULE_TRISTATE = OFF;
+       ASSG_RULE_MISSING_TIMING = OFF;
+       ASSG_RULE_MISSING_FMAX = OFF;
+       ASSG_CAT = OFF;
+}
+SYNTHESIS_FITTING_SETTINGS
+{
+       AUTO_SHIFT_REGISTER_RECOGNITION = ON;
+       AUTO_RAM_RECOGNITION = ON;
+       REMOVE_DUPLICATE_LOGIC = ON;
+       AUTO_MERGE_PLLS = ON;
+       AUTO_OPEN_DRAIN_PINS = ON;
+       AUTO_CARRY_CHAINS = ON;
+       AUTO_DELAY_CHAINS = ON;
+       STRATIX_CARRY_CHAIN_LENGTH = 70;
+       AUTO_PACKED_REG_CYCLONE = "MINIMIZE AREA WITH CHAINS";
+       CYCLONE_OPTIMIZATION_TECHNIQUE = SPEED;
+       AUTO_GLOBAL_MEMORY_CONTROLS = OFF;
+       AUTO_GLOBAL_REGISTER_CONTROLS = ON;
+       AUTO_GLOBAL_CLOCK = ON;
+       LIMIT_AHDL_INTEGERS_TO_32_BITS = OFF;
+       ENABLE_BUS_HOLD_CIRCUITRY = OFF;
+       WEAK_PULL_UP_RESISTOR = OFF;
+       IGNORE_SOFT_BUFFERS = ON;
+       IGNORE_LCELL_BUFFERS = OFF;
+       IGNORE_ROW_GLOBAL_BUFFERS = OFF;
+       IGNORE_GLOBAL_BUFFERS = OFF;
+       IGNORE_CASCADE_BUFFERS = OFF;
+       IGNORE_CARRY_BUFFERS = OFF;
+       REMOVE_DUPLICATE_REGISTERS = ON;
+       REMOVE_REDUNDANT_LOGIC_CELLS = OFF;
+       ALLOW_POWER_UP_DONT_CARE = ON;
+       PCI_IO = OFF;
+       NOT_GATE_PUSH_BACK = ON;
+       SLOW_SLEW_RATE = OFF;
+       STATE_MACHINE_PROCESSING = AUTO;
+}
+DEFAULT_HARDCOPY_SETTINGS
+{
+       HARDCOPY_EXTERNAL_CLOCK_JITTER = "0.0 NS";
+}
+DEFAULT_TIMING_REQUIREMENTS
+{
+       INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS = OFF;
+       RUN_ALL_TIMING_ANALYSES = ON;
+       IGNORE_CLOCK_SETTINGS = OFF;
+       DEFAULT_HOLD_MULTICYCLE = "SAME AS MULTICYCLE";
+       CUT_OFF_IO_PIN_FEEDBACK = ON;
+       CUT_OFF_CLEAR_AND_PRESET_PATHS = ON;
+       CUT_OFF_READ_DURING_WRITE_PATHS = ON;
+       CUT_OFF_PATHS_BETWEEN_CLOCK_DOMAINS = ON;
+       DO_MIN_ANALYSIS = ON;
+       DO_MIN_TIMING = OFF;
+       NUMBER_OF_PATHS_TO_REPORT = 200;
+       NUMBER_OF_DESTINATION_TO_REPORT = 10;
+       NUMBER_OF_SOURCES_PER_DESTINATION_TO_REPORT = 10;
+       MAX_SCC_SIZE = 50;
+}
+HDL_SETTINGS
+{
+       VERILOG_INPUT_VERSION = VERILOG_2001;
+       ENABLE_IP_DEBUG = OFF;
+       VHDL_INPUT_VERSION = VHDL93;
+       VHDL_SHOW_LMF_MAPPING_MESSAGES = OFF;
+}
+PROJECT_INFO(sizetest)
+{
+       USER_LIBRARIES = "e:\fpga\megacells\";
+       ORIGINAL_QUARTUS_VERSION = 3.0;
+       PROJECT_CREATION_TIME_DATE = "22:00:25  SEPTEMBER 28, 2003";
+       LAST_QUARTUS_VERSION = 3.0;
+       SHOW_REGISTRATION_MESSAGE = ON;
+}
+THIRD_PARTY_EDA_TOOLS(sizetest)
+{
+       EDA_DESIGN_ENTRY_SYNTHESIS_TOOL = "<NONE>";
+       EDA_SIMULATION_TOOL = "<NONE>";
+       EDA_TIMING_ANALYSIS_TOOL = "<NONE>";
+       EDA_BOARD_DESIGN_TOOL = "<NONE>";
+       EDA_FORMAL_VERIFICATION_TOOL = "<NONE>";
+       EDA_RESYNTHESIS_TOOL = "<NONE>";
+}
+EDA_TOOL_SETTINGS(eda_design_synthesis)
+{
+       EDA_INPUT_GND_NAME = GND;
+       EDA_INPUT_VCC_NAME = VCC;
+       EDA_SHOW_LMF_MAPPING_MESSAGES = OFF;
+       EDA_RUN_TOOL_AUTOMATICALLY = OFF;
+       EDA_INPUT_DATA_FORMAT = EDIF;
+       EDA_OUTPUT_DATA_FORMAT = NONE;
+       USE_GENERATED_PHYSICAL_CONSTRAINTS = ON;
+       RESYNTHESIS_PHYSICAL_SYNTHESIS = NORMAL;
+       RESYNTHESIS_OPTIMIZATION_EFFORT = NORMAL;
+       RESYNTHESIS_RETIMING = FULL;
+}
+EDA_TOOL_SETTINGS(eda_simulation)
+{
+       EDA_INCLUDE_VHDL_CONFIGURATION_DECLARATION = OFF;
+       EDA_TRUNCATE_LONG_HIERARCHY_PATHS = OFF;
+       EDA_MAINTAIN_DESIGN_HIERARCHY = OFF;
+       EDA_WRITE_DEVICE_CONTROL_PORTS = OFF;
+       EDA_GENERATE_FUNCTIONAL_NETLIST = OFF;
+       EDA_FLATTEN_BUSES = OFF;
+       EDA_MAP_ILLEGAL_CHARACTERS = OFF;
+       EDA_EXCALIBUR_ATOMS_AS_SINGLE_STRIPE = OFF;
+       EDA_RUN_TOOL_AUTOMATICALLY = OFF;
+       EDA_OUTPUT_DATA_FORMAT = NONE;
+       USE_GENERATED_PHYSICAL_CONSTRAINTS = ON;
+       RESYNTHESIS_PHYSICAL_SYNTHESIS = NORMAL;
+       RESYNTHESIS_OPTIMIZATION_EFFORT = NORMAL;
+       RESYNTHESIS_RETIMING = FULL;
+}
+EDA_TOOL_SETTINGS(eda_timing_analysis)
+{
+       EDA_INCLUDE_VHDL_CONFIGURATION_DECLARATION = OFF;
+       EDA_TRUNCATE_LONG_HIERARCHY_PATHS = OFF;
+       EDA_MAINTAIN_DESIGN_HIERARCHY = OFF;
+       EDA_WRITE_DEVICE_CONTROL_PORTS = OFF;
+       EDA_GENERATE_FUNCTIONAL_NETLIST = OFF;
+       EDA_FLATTEN_BUSES = OFF;
+       EDA_MAP_ILLEGAL_CHARACTERS = OFF;
+       EDA_EXCALIBUR_ATOMS_AS_SINGLE_STRIPE = OFF;
+       EDA_RUN_TOOL_AUTOMATICALLY = OFF;
+       EDA_OUTPUT_DATA_FORMAT = NONE;
+       EDA_LAUNCH_CMD_LINE_TOOL = OFF;
+       USE_GENERATED_PHYSICAL_CONSTRAINTS = ON;
+       RESYNTHESIS_PHYSICAL_SYNTHESIS = NORMAL;
+       RESYNTHESIS_OPTIMIZATION_EFFORT = NORMAL;
+       RESYNTHESIS_RETIMING = FULL;
+}
+EDA_TOOL_SETTINGS(eda_board_design)
+{
+       EDA_INCLUDE_VHDL_CONFIGURATION_DECLARATION = OFF;
+       EDA_TRUNCATE_LONG_HIERARCHY_PATHS = OFF;
+       EDA_MAINTAIN_DESIGN_HIERARCHY = OFF;
+       EDA_WRITE_DEVICE_CONTROL_PORTS = OFF;
+       EDA_GENERATE_FUNCTIONAL_NETLIST = OFF;
+       EDA_FLATTEN_BUSES = OFF;
+       EDA_MAP_ILLEGAL_CHARACTERS = OFF;
+       EDA_EXCALIBUR_ATOMS_AS_SINGLE_STRIPE = OFF;
+       EDA_RUN_TOOL_AUTOMATICALLY = OFF;
+       EDA_OUTPUT_DATA_FORMAT = NONE;
+       USE_GENERATED_PHYSICAL_CONSTRAINTS = ON;
+       RESYNTHESIS_PHYSICAL_SYNTHESIS = NORMAL;
+       RESYNTHESIS_OPTIMIZATION_EFFORT = NORMAL;
+       RESYNTHESIS_RETIMING = FULL;
+}
+EDA_TOOL_SETTINGS(eda_formal_verification)
+{
+       EDA_INCLUDE_VHDL_CONFIGURATION_DECLARATION = OFF;
+       EDA_TRUNCATE_LONG_HIERARCHY_PATHS = OFF;
+       EDA_MAINTAIN_DESIGN_HIERARCHY = OFF;
+       EDA_WRITE_DEVICE_CONTROL_PORTS = OFF;
+       EDA_GENERATE_FUNCTIONAL_NETLIST = OFF;
+       EDA_FLATTEN_BUSES = OFF;
+       EDA_MAP_ILLEGAL_CHARACTERS = OFF;
+       EDA_EXCALIBUR_ATOMS_AS_SINGLE_STRIPE = OFF;
+       EDA_RUN_TOOL_AUTOMATICALLY = OFF;
+       EDA_OUTPUT_DATA_FORMAT = NONE;
+       USE_GENERATED_PHYSICAL_CONSTRAINTS = ON;
+       RESYNTHESIS_PHYSICAL_SYNTHESIS = NORMAL;
+       RESYNTHESIS_OPTIMIZATION_EFFORT = NORMAL;
+       RESYNTHESIS_RETIMING = FULL;
+}
+EDA_TOOL_SETTINGS(eda_palace)
+{
+       EDA_INCLUDE_VHDL_CONFIGURATION_DECLARATION = OFF;
+       EDA_TRUNCATE_LONG_HIERARCHY_PATHS = OFF;
+       EDA_MAINTAIN_DESIGN_HIERARCHY = OFF;
+       EDA_WRITE_DEVICE_CONTROL_PORTS = OFF;
+       EDA_GENERATE_FUNCTIONAL_NETLIST = OFF;
+       EDA_FLATTEN_BUSES = OFF;
+       EDA_MAP_ILLEGAL_CHARACTERS = OFF;
+       EDA_EXCALIBUR_ATOMS_AS_SINGLE_STRIPE = OFF;
+       EDA_RUN_TOOL_AUTOMATICALLY = OFF;
+       EDA_OUTPUT_DATA_FORMAT = NONE;
+       RESYNTHESIS_RETIMING = FULL;
+       RESYNTHESIS_PHYSICAL_SYNTHESIS = NORMAL;
+       RESYNTHESIS_OPTIMIZATION_EFFORT = NORMAL;
+       USE_GENERATED_PHYSICAL_CONSTRAINTS = ON;
+}
diff --git a/usrp/fpga/toplevel/sizetest/sizetest.v b/usrp/fpga/toplevel/sizetest/sizetest.v
new file mode 100644 (file)
index 0000000..5a847b9
--- /dev/null
@@ -0,0 +1,39 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2003 Matt Ettus
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+
+module sizetest(input clock, 
+                               input reset, 
+                               input enable, 
+                               input [15:0]xi, 
+                               input [15:0] yi, 
+                               input [15:0] zi, 
+                               output [15:0] xo, 
+                               output [15:0] yo,
+                               output [15:0] zo
+//                             input [15:0] constant 
+                               );
+
+wire [16:0] zo;
+
+cordic_stage cordic_stage(clock, reset, enable, xi, yi, zi, 16'd16383, xo, yo, zo );
+
+endmodule
diff --git a/usrp/fpga/toplevel/usrp_inband_usb/config.vh b/usrp/fpga/toplevel/usrp_inband_usb/config.vh
new file mode 100644 (file)
index 0000000..007a529
--- /dev/null
@@ -0,0 +1,53 @@
+       // -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2006,2007 Matt Ettus
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+// ====================================================================
+//            User control over what parts get included
+//
+//                  >>>> EDIT ONLY THIS SECTION <<<<
+//                  Uncomment only ONE configuration
+// ====================================================================
+
+// ====================================================================
+// FIXME drive configuration selection from the command line and/or gui
+// ====================================================================
+
+// Uncomment this for 1 rx channel (w/ halfband) & 1 transmit channel
+  `include "../include/common_config_1rxhb_1tx.vh"
+
+// Uncomment this for 2 rx channels (w/ halfband) & 2 transmit channels
+//  `include "../include/common_config_2rxhb_2tx.vh"
+
+// Uncomment this for 4 rx channels (w/o halfband) & 0 transmit channels
+//`include "../include/common_config_4rx_0tx.vh"
+
+// Uncomment this for multi with 2 rx channels (w/ halfband) & 0 transmit channels
+//`include "../include/common_config_2rxhb_0tx.vh"
+
+// Uncomment this for multi with 2 rx channels (w/o halfband) & 0 transmit channels
+//`include "../include/common_config_2rx_0tx.vh"
+
+// Add other "known to fit" configurations here...
+
+// ====================================================================
+//  Now include the common footer
+// ====================================================================
+  `include "../include/common_config_bottom.vh"
diff --git a/usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.csf b/usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.csf
new file mode 100644 (file)
index 0000000..c10cff9
--- /dev/null
@@ -0,0 +1,444 @@
+COMPILER_SETTINGS
+{
+       IO_PLACEMENT_OPTIMIZATION = OFF;
+       ENABLE_DRC_SETTINGS = OFF;
+       PHYSICAL_SYNTHESIS_REGISTER_RETIMING = OFF;
+       PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION = OFF;
+       PHYSICAL_SYNTHESIS_COMBO_LOGIC = OFF;
+       DRC_FANOUT_EXCEEDING = 30;
+       DRC_REPORT_FANOUT_EXCEEDING = OFF;
+       DRC_TOP_FANOUT = 50;
+       DRC_REPORT_TOP_FANOUT = OFF;
+       RUN_DRC_DURING_COMPILATION = OFF;
+       ADV_NETLIST_OPT_RETIME_CORE_AND_IO = ON;
+       ADV_NETLIST_OPT_SYNTH_USE_FITTER_INFO = OFF;
+       ADV_NETLIST_OPT_SYNTH_GATE_RETIME = OFF;
+       ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP = OFF;
+       SMART_COMPILE_IGNORES_TDC_FOR_STRATIX_PLL_CHANGES = OFF;
+       MERGE_HEX_FILE = OFF;
+       TRUE_WYSIWYG_FLOW = OFF;
+       SEED = 1;
+       FINAL_PLACEMENT_OPTIMIZATION = AUTOMATICALLY;
+       FAMILY = Cyclone;
+       DPRAM_DUAL_PORT_MODE_OTHER_SIGNALS_EPXA1 = "DPRAM0 TO 1 DPRAM1 TO 2";
+       DPRAM_32BIT_SINGLE_PORT_MODE_OTHER_SIGNALS_EPXA1 = "MEGALAB COLUMN 1";
+       DPRAM_8BIT_16BIT_SINGLE_PORT_MODE_OTHER_SIGNALS_EPXA1 = "MEGALAB COLUMN 1";
+       DPRAM_DUAL_PORT_MODE_OUTPUT_EPXA1 = "DPRAM0 TO 1 DPRAM1 TO 2";
+       DPRAM_32BIT_SINGLE_PORT_MODE_OUTPUT_EPXA1 = "LOWER TO 1ESB UPPER TO 1";
+       DPRAM_8BIT_16BIT_SINGLE_PORT_MODE_OUTPUT_EPXA1 = "MEGALAB COLUMN 1";
+       DPRAM_DUAL_PORT_MODE_INPUT_EPXA1 = "DPRAM0 TO 1 DPRAM1 TO 2";
+       DPRAM_32BIT_SINGLE_PORT_MODE_INPUT_EPXA1 = "MEGALAB COLUMN 1";
+       DPRAM_8BIT_16BIT_SINGLE_PORT_MODE_INPUT_EPXA1 = "MEGALAB COLUMN 1";
+       DPRAM_DUAL_PORT_MODE_OTHER_SIGNALS_EPXA4_10 = "DPRAM0 TO 3 DPRAM1 TO 4";
+       DPRAM_SINGLE_PORT_MODE_OTHER_SIGNALS_EPXA4_10 = "DPRAM0 TO 3 DPRAM1 TO 4";
+       DPRAM_WIDE_MODE_OTHER_SIGNALS_EPXA4_10 = "MEGALAB COLUMN 3";
+       DPRAM_DEEP_MODE_OTHER_SIGNALS_EPXA4_10 = "MEGALAB COLUMN 3";
+       DPRAM_DUAL_PORT_MODE_OUTPUT_EPXA4_10 = "DPRAM0 TO 3 DPRAM1 TO 4ESB";
+       DPRAM_SINGLE_PORT_MODE_OUTPUT_EPXA4_10 = "DPRAM0 TO 3 DPRAM1 TO 4ESB";
+       DPRAM_WIDE_MODE_OUTPUT_EPXA4_10 = "LOWER TO 3 UPPER TO 4ESB";
+       DPRAM_DEEP_MODE_OUTPUT_EPXA4_10 = "MEGALAB COLUMN 3";
+       DPRAM_DUAL_PORT_MODE_INPUT_EPXA4_10 = "DPRAM0 TO 3 DPRAM1 TO 4";
+       DPRAM_SINGLE_PORT_MODE_INPUT_EPXA4_10 = "DPRAM0 TO 3 DPRAM1 TO 4";
+       DPRAM_WIDE_MODE_INPUT_EPXA4_10 = "LOWER TO 3 UPPER TO 4";
+       DPRAM_DEEP_MODE_INPUT_EPXA4_10 = "MEGALAB COLUMN 3";
+       DPRAM_OTHER_SIGNALS_EPXA4_10 = "DEFAULT OTHER ROUTING OPTIONS";
+       DPRAM_OUTPUT_EPXA4_10 = "DEFAULT OUTPUT ROUTING OPTIONS";
+       DPRAM_INPUT_EPXA4_10 = "DEFAULT INPUT ROUTING OPTIONS";
+       STRIPE_TO_PLD_INTERRUPTS_EPXA4_10 = "MEGALAB COLUMN 2";
+       PLD_TO_STRIPE_INTERRUPTS_EPXA4_10 = "MEGALAB COLUMN 2";
+       PROCESSOR_DEBUG_EXTENSIONS_EPXA4_10 = "MEGALAB COLUMN 2";
+       STRIPE_TO_PLD_BRIDGE_EPXA4_10 = "MEGALAB COLUMN 1";
+       FAST_FIT_COMPILATION = OFF;
+       SIGNALPROBE_DURING_NORMAL_COMPILATION = OFF;
+       OPTIMIZE_IOC_REGISTER_PLACEMENT_FOR_TIMING = ON;
+       OPTIMIZE_TIMING = "NORMAL COMPILATION";
+       OPTIMIZE_HOLD_TIMING = OFF;
+       COMPILATION_LEVEL = FULL;
+       SAVE_DISK_SPACE = OFF;
+       SPEED_DISK_USAGE_TRADEOFF = NORMAL;
+       LOGICLOCK_INCREMENTAL_COMPILE_ASSIGNMENT = OFF;
+       SIGNALPROBE_ALLOW_OVERUSE = OFF;
+       FOCUS_ENTITY_NAME = |usrp_inband_usb;
+       ROUTING_BACK_ANNOTATION_MODE = OFF;
+       INC_PLC_MODE = OFF;
+       FIT_ONLY_ONE_ATTEMPT = OFF;
+}
+DEFAULT_DEVICE_OPTIONS
+{
+       GENERATE_CONFIG_HEXOUT_FILE = OFF;
+       GENERATE_CONFIG_JBC_FILE_COMPRESSED = ON;
+       GENERATE_CONFIG_JBC_FILE = OFF;
+       GENERATE_CONFIG_JAM_FILE = OFF;
+       GENERATE_CONFIG_ISC_FILE = OFF;
+       GENERATE_CONFIG_SVF_FILE = OFF;
+       GENERATE_JBC_FILE_COMPRESSED = ON;
+       GENERATE_JBC_FILE = OFF;
+       GENERATE_JAM_FILE = OFF;
+       GENERATE_ISC_FILE = OFF;
+       GENERATE_SVF_FILE = OFF;
+       RESERVE_PIN = "AS INPUT TRI-STATED";
+       RESERVE_ALL_UNUSED_PINS = "AS OUTPUT DRIVING GROUND";
+       HEXOUT_FILE_COUNT_DIRECTION = UP;
+       HEXOUT_FILE_START_ADDRESS = 0;
+       GENERATE_HEX_FILE = OFF;
+       GENERATE_RBF_FILE = OFF;
+       GENERATE_TTF_FILE = OFF;
+       RESERVE_ASDO_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       RESERVE_DATA0_AFTER_CONFIGURATION = "AS INPUT TRI-STATED";
+       RESERVE_DATA7_THROUGH_DATA1_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       RESERVE_RDYNBUSY_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       RESERVE_NWS_NRS_NCS_CS_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       DISABLE_NCS_AND_OE_PULLUPS_ON_CONFIG_DEVICE = OFF;
+       AUTO_INCREMENT_CONFIG_DEVICE_JTAG_USER_CODE = ON;
+       EPROM_USE_CHECKSUM_AS_USERCODE = OFF;
+       FLEX10K_CONFIG_DEVICE_JTAG_USER_CODE = FFFFFFFF;
+       MERCURY_CONFIG_DEVICE_JTAG_USER_CODE = FFFFFFFF;
+       STRATIX_CONFIG_DEVICE_JTAG_USER_CODE = FFFFFFFF;
+       APEX20K_CONFIG_DEVICE_JTAG_USER_CODE = FFFFFFFF;
+       STRATIX_CONFIGURATION_DEVICE = AUTO;
+       CYCLONE_CONFIGURATION_DEVICE = AUTO;
+       FLEX10K_CONFIGURATION_DEVICE = AUTO;
+       FLEX6K_CONFIGURATION_DEVICE = AUTO;
+       MERCURY_CONFIGURATION_DEVICE = AUTO;
+       EXCALIBUR_CONFIGURATION_DEVICE = AUTO;
+       APEX20K_CONFIGURATION_DEVICE = AUTO;
+       USE_CONFIGURATION_DEVICE = ON;
+       ENABLE_INIT_DONE_OUTPUT = OFF;
+       FLEX10K_ENABLE_LOCK_OUTPUT = OFF;
+       ENABLE_DEVICE_WIDE_OE = OFF;
+       ENABLE_DEVICE_WIDE_RESET = OFF;
+       RELEASE_CLEARS_BEFORE_TRI_STATES = OFF;
+       AUTO_RESTART_CONFIGURATION = OFF;
+       ENABLE_VREFB_PIN = OFF;
+       ENABLE_VREFA_PIN = OFF;
+       SECURITY_BIT = OFF;
+       USER_START_UP_CLOCK = OFF;
+       APEXII_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       FLEX10K_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       FLEX6K_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       MERCURY_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       EXCALIBUR_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       CYCLONE_CONFIGURATION_SCHEME = "ACTIVE SERIAL";
+       STRATIX_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       APEX20K_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       STRATIX_UPDATE_MODE = STANDARD;
+       USE_CHECKSUM_AS_USERCODE = OFF;
+       MAX7000_USE_CHECKSUM_AS_USERCODE = OFF;
+       MAX7000_JTAG_USER_CODE = FFFFFFFF;
+       FLEX10K_JTAG_USER_CODE = 7F;
+       MERCURY_JTAG_USER_CODE = FFFFFFFF;
+       APEX20K_JTAG_USER_CODE = FFFFFFFF;
+       STRATIX_JTAG_USER_CODE = FFFFFFFF;
+       MAX7000S_JTAG_USER_CODE = FFFF;
+       RESERVE_NCEO_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       FLEX10K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE = ON;
+       FLEX6K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE = OFF;
+       ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE = ON;
+       MAX7000_ENABLE_JTAG_BST_SUPPORT = ON;
+       ENABLE_JTAG_BST_SUPPORT = OFF;
+       CONFIGURATION_CLOCK_DIVISOR = 1;
+       CONFIGURATION_CLOCK_FREQUENCY = "10 MHZ";
+       CLOCK_SOURCE = INTERNAL;
+       COMPRESSION_MODE = OFF;
+       ON_CHIP_BITSTREAM_DECOMPRESSION = OFF;
+}
+AUTO_SLD_HUB_ENTITY
+{
+       AUTO_INSERT_SLD_HUB_ENTITY = ENABLE;
+       HUB_INSTANCE_NAME = SLD_HUB_INST;
+       HUB_ENTITY_NAME = SLD_HUB;
+}
+SIGNALTAP_LOGIC_ANALYZER_SETTINGS
+{
+       ENABLE_SIGNALTAP = Off;
+       AUTO_ENABLE_SMART_COMPILE = On;
+}
+CHIP(usrp_inband_usb)
+{
+       DEVICE = EP1C12Q240C8;
+       DEVICE_FILTER_PACKAGE = "ANY QFP";
+       DEVICE_FILTER_PIN_COUNT = 240;
+       DEVICE_FILTER_SPEED_GRADE = ANY;
+       AUTO_RESTART_CONFIGURATION = OFF;
+       RELEASE_CLEARS_BEFORE_TRI_STATES = OFF;
+       USER_START_UP_CLOCK = OFF;
+       ENABLE_DEVICE_WIDE_RESET = OFF;
+       ENABLE_DEVICE_WIDE_OE = OFF;
+       ENABLE_INIT_DONE_OUTPUT = OFF;
+       FLEX10K_ENABLE_LOCK_OUTPUT = OFF;
+       ENABLE_JTAG_BST_SUPPORT = OFF;
+       MAX7000_ENABLE_JTAG_BST_SUPPORT = ON;
+       APEX20K_JTAG_USER_CODE = FFFFFFFF;
+       MERCURY_JTAG_USER_CODE = FFFFFFFF;
+       FLEX10K_JTAG_USER_CODE = 7F;
+       MAX7000_JTAG_USER_CODE = FFFFFFFF;
+       MAX7000S_JTAG_USER_CODE = FFFF;
+       STRATIX_JTAG_USER_CODE = FFFFFFFF;
+       APEX20K_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       MERCURY_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       FLEX6K_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       FLEX10K_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       EXCALIBUR_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       APEXII_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       STRATIX_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       CYCLONE_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       USE_CONFIGURATION_DEVICE = OFF;
+       APEX20K_CONFIGURATION_DEVICE = AUTO;
+       MERCURY_CONFIGURATION_DEVICE = AUTO;
+       FLEX6K_CONFIGURATION_DEVICE = AUTO;
+       FLEX10K_CONFIGURATION_DEVICE = AUTO;
+       EXCALIBUR_CONFIGURATION_DEVICE = AUTO;
+       STRATIX_CONFIGURATION_DEVICE = AUTO;
+       CYCLONE_CONFIGURATION_DEVICE = AUTO;
+       STRATIX_UPDATE_MODE = STANDARD;
+       APEX20K_CONFIG_DEVICE_JTAG_USER_CODE = FFFFFFFF;
+       MERCURY_CONFIG_DEVICE_JTAG_USER_CODE = FFFFFFFF;
+       FLEX10K_CONFIG_DEVICE_JTAG_USER_CODE = FFFFFFFF;
+       STRATIX_CONFIG_DEVICE_JTAG_USER_CODE = FFFFFFFF;
+       AUTO_INCREMENT_CONFIG_DEVICE_JTAG_USER_CODE = ON;
+       DISABLE_NCS_AND_OE_PULLUPS_ON_CONFIG_DEVICE = OFF;
+       COMPRESSION_MODE = OFF;
+       ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE = ON;
+       FLEX6K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE = OFF;
+       FLEX10K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE = ON;
+       EPROM_USE_CHECKSUM_AS_USERCODE = OFF;
+       USE_CHECKSUM_AS_USERCODE = OFF;
+       MAX7000_USE_CHECKSUM_AS_USERCODE = OFF;
+       GENERATE_TTF_FILE = OFF;
+       GENERATE_RBF_FILE = ON;
+       GENERATE_HEX_FILE = OFF;
+       SECURITY_BIT = OFF;
+       ENABLE_VREFA_PIN = OFF;
+       ENABLE_VREFB_PIN = OFF;
+       GENERATE_SVF_FILE = OFF;
+       GENERATE_ISC_FILE = OFF;
+       GENERATE_JAM_FILE = OFF;
+       GENERATE_JBC_FILE = OFF;
+       GENERATE_JBC_FILE_COMPRESSED = ON;
+       GENERATE_CONFIG_SVF_FILE = OFF;
+       GENERATE_CONFIG_ISC_FILE = OFF;
+       GENERATE_CONFIG_JAM_FILE = OFF;
+       GENERATE_CONFIG_JBC_FILE = OFF;
+       GENERATE_CONFIG_JBC_FILE_COMPRESSED = ON;
+       GENERATE_CONFIG_HEXOUT_FILE = OFF;
+       ON_CHIP_BITSTREAM_DECOMPRESSION = OFF;
+       BASE_PIN_OUT_FILE_ON_SAMEFRAME_DEVICE = OFF;
+       HEXOUT_FILE_START_ADDRESS = 0;
+       HEXOUT_FILE_COUNT_DIRECTION = UP;
+       RESERVE_ALL_UNUSED_PINS = "AS INPUT TRI-STATED";
+       STRATIX_DEVICE_IO_STANDARD = LVTTL;
+       CLOCK_SOURCE = INTERNAL;
+       CONFIGURATION_CLOCK_FREQUENCY = "10 MHZ";
+       CONFIGURATION_CLOCK_DIVISOR = 1;
+       RESERVE_NWS_NRS_NCS_CS_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       RESERVE_RDYNBUSY_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       RESERVE_DATA7_THROUGH_DATA1_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       RESERVE_DATA0_AFTER_CONFIGURATION = "AS INPUT TRI-STATED";
+       RESERVE_NCEO_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       RESERVE_ASDO_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       SCLK : LOCATION = Pin_101;
+       SDI : LOCATION = Pin_100;
+       SEN : LOCATION = Pin_98;
+       SLD : LOCATION = Pin_95;
+       adc1_data[0] : LOCATION = Pin_5;
+       adc1_data[10] : LOCATION = Pin_235;
+       adc1_data[11] : LOCATION = Pin_234;
+       adc1_data[1] : LOCATION = Pin_4;
+       adc1_data[2] : LOCATION = Pin_3;
+       adc1_data[3] : LOCATION = Pin_2;
+       adc1_data[4] : LOCATION = Pin_1;
+       adc1_data[4] : IO_STANDARD = LVTTL;
+       adc1_data[5] : LOCATION = Pin_240;
+       adc1_data[6] : LOCATION = Pin_239;
+       adc1_data[7] : LOCATION = Pin_238;
+       adc1_data[8] : LOCATION = Pin_237;
+       adc1_data[9] : LOCATION = Pin_236;
+       adc2_data[0] : LOCATION = Pin_20;
+       adc2_data[10] : LOCATION = Pin_8;
+       adc2_data[11] : LOCATION = Pin_7;
+       adc2_data[1] : LOCATION = Pin_19;
+       adc2_data[2] : LOCATION = Pin_18;
+       adc2_data[3] : LOCATION = Pin_17;
+       adc2_data[4] : LOCATION = Pin_16;
+       adc2_data[5] : LOCATION = Pin_15;
+       adc2_data[6] : LOCATION = Pin_14;
+       adc2_data[7] : LOCATION = Pin_13;
+       adc2_data[8] : LOCATION = Pin_12;
+       adc2_data[9] : LOCATION = Pin_11;
+       adc3_data[0] : LOCATION = Pin_200;
+       adc3_data[10] : LOCATION = Pin_184;
+       adc3_data[11] : LOCATION = Pin_183;
+       adc3_data[1] : LOCATION = Pin_197;
+       adc3_data[2] : LOCATION = Pin_196;
+       adc3_data[3] : LOCATION = Pin_195;
+       adc3_data[4] : LOCATION = Pin_194;
+       adc3_data[5] : LOCATION = Pin_193;
+       adc3_data[6] : LOCATION = Pin_188;
+       adc3_data[7] : LOCATION = Pin_187;
+       adc3_data[8] : LOCATION = Pin_186;
+       adc3_data[9] : LOCATION = Pin_185;
+       adc4_data[0] : LOCATION = Pin_222;
+       adc4_data[10] : LOCATION = Pin_203;
+       adc4_data[11] : LOCATION = Pin_202;
+       adc4_data[1] : LOCATION = Pin_219;
+       adc4_data[2] : LOCATION = Pin_217;
+       adc4_data[3] : LOCATION = Pin_216;
+       adc4_data[4] : LOCATION = Pin_215;
+       adc4_data[5] : LOCATION = Pin_214;
+       adc4_data[6] : LOCATION = Pin_213;
+       adc4_data[7] : LOCATION = Pin_208;
+       adc4_data[8] : LOCATION = Pin_207;
+       adc4_data[9] : LOCATION = Pin_206;
+       adc_oeb[0] : LOCATION = Pin_228;
+       adc_oeb[1] : LOCATION = Pin_21;
+       adc_oeb[2] : LOCATION = Pin_181;
+       adc_oeb[3] : LOCATION = Pin_218;
+       adc_otr[0] : LOCATION = Pin_233;
+       adc_otr[1] : LOCATION = Pin_6;
+       adc_otr[2] : LOCATION = Pin_182;
+       adc_otr[3] : LOCATION = Pin_201;
+       adclk0 : LOCATION = Pin_224;
+       adclk1 : LOCATION = Pin_226;
+       clk0 : LOCATION = Pin_28;
+       clk0 : RESERVE_PIN = "AS INPUT TRI-STATED";
+       clk0 : IO_STANDARD = LVTTL;
+       clk1 : LOCATION = Pin_29;
+       clk1 : RESERVE_PIN = "AS INPUT TRI-STATED";
+       clk1 : IO_STANDARD = LVTTL;
+       clk3 : LOCATION = Pin_152;
+       clk3 : RESERVE_PIN = "AS INPUT TRI-STATED";
+       clk3 : IO_STANDARD = LVTTL;
+       clk_120mhz : LOCATION = Pin_153;
+       clk_120mhz : IO_STANDARD = LVTTL;
+       clk_out : LOCATION = Pin_63;
+       clk_out : IO_STANDARD = LVTTL;
+       dac1_data[0] : LOCATION = Pin_165;
+       dac1_data[10] : LOCATION = Pin_177;
+       dac1_data[11] : LOCATION = Pin_178;
+       dac1_data[12] : LOCATION = Pin_179;
+       dac1_data[13] : LOCATION = Pin_180;
+       dac1_data[1] : LOCATION = Pin_166;
+       dac1_data[2] : LOCATION = Pin_167;
+       dac1_data[3] : LOCATION = Pin_168;
+       dac1_data[4] : LOCATION = Pin_169;
+       dac1_data[5] : LOCATION = Pin_170;
+       dac1_data[6] : LOCATION = Pin_173;
+       dac1_data[7] : LOCATION = Pin_174;
+       dac1_data[8] : LOCATION = Pin_175;
+       dac1_data[9] : LOCATION = Pin_176;
+       dac2_data[0] : LOCATION = Pin_159;
+       dac2_data[10] : LOCATION = Pin_163;
+       dac2_data[11] : LOCATION = Pin_139;
+       dac2_data[12] : LOCATION = Pin_164;
+       dac2_data[13] : LOCATION = Pin_138;
+       dac2_data[1] : LOCATION = Pin_158;
+       dac2_data[2] : LOCATION = Pin_160;
+       dac2_data[3] : LOCATION = Pin_156;
+       dac2_data[4] : LOCATION = Pin_161;
+       dac2_data[5] : LOCATION = Pin_144;
+       dac2_data[6] : LOCATION = Pin_162;
+       dac2_data[7] : LOCATION = Pin_141;
+       dac2_data[8] : LOCATION = Pin_143;
+       dac2_data[9] : LOCATION = Pin_140;
+       dac3_data[0] : LOCATION = Pin_122;
+       dac3_data[10] : LOCATION = Pin_134;
+       dac3_data[11] : LOCATION = Pin_135;
+       dac3_data[12] : LOCATION = Pin_136;
+       dac3_data[13] : LOCATION = Pin_137;
+       dac3_data[1] : LOCATION = Pin_123;
+       dac3_data[2] : LOCATION = Pin_124;
+       dac3_data[3] : LOCATION = Pin_125;
+       dac3_data[4] : LOCATION = Pin_126;
+       dac3_data[5] : LOCATION = Pin_127;
+       dac3_data[6] : LOCATION = Pin_128;
+       dac3_data[7] : LOCATION = Pin_131;
+       dac3_data[8] : LOCATION = Pin_132;
+       dac3_data[9] : LOCATION = Pin_133;
+       dac4_data[0] : LOCATION = Pin_104;
+       dac4_data[10] : LOCATION = Pin_118;
+       dac4_data[11] : LOCATION = Pin_119;
+       dac4_data[12] : LOCATION = Pin_120;
+       dac4_data[13] : LOCATION = Pin_121;
+       dac4_data[1] : LOCATION = Pin_105;
+       dac4_data[2] : LOCATION = Pin_106;
+       dac4_data[3] : LOCATION = Pin_107;
+       dac4_data[4] : LOCATION = Pin_108;
+       dac4_data[5] : LOCATION = Pin_113;
+       dac4_data[6] : LOCATION = Pin_114;
+       dac4_data[7] : LOCATION = Pin_115;
+       dac4_data[8] : LOCATION = Pin_116;
+       dac4_data[9] : LOCATION = Pin_117;
+       enable_rx : LOCATION = Pin_88;
+       enable_tx : LOCATION = Pin_93;
+       gndbus[0] : LOCATION = Pin_223;
+       gndbus[0] : RESERVE_PIN = "AS INPUT TRI-STATED";
+       gndbus[0] : IO_STANDARD = LVTTL;
+       gndbus[1] : LOCATION = Pin_225;
+       gndbus[1] : RESERVE_PIN = "AS INPUT TRI-STATED";
+       gndbus[1] : IO_STANDARD = LVTTL;
+       gndbus[2] : LOCATION = Pin_227;
+       gndbus[2] : RESERVE_PIN = "AS INPUT TRI-STATED";
+       gndbus[2] : IO_STANDARD = LVTTL;
+       gndbus[3] : LOCATION = Pin_62;
+       gndbus[3] : RESERVE_PIN = "AS INPUT TRI-STATED";
+       gndbus[3] : IO_STANDARD = LVTTL;
+       gndbus[4] : LOCATION = Pin_64;
+       gndbus[4] : RESERVE_PIN = "AS INPUT TRI-STATED";
+       gndbus[4] : IO_STANDARD = LVTTL;
+       misc_pins[0] : LOCATION = Pin_87;
+       misc_pins[0] : IO_STANDARD = LVTTL;
+       misc_pins[10] : LOCATION = Pin_76;
+       misc_pins[10] : IO_STANDARD = LVTTL;
+       misc_pins[11] : LOCATION = Pin_74;
+       misc_pins[11] : IO_STANDARD = LVTTL;
+       misc_pins[1] : LOCATION = Pin_86;
+       misc_pins[1] : IO_STANDARD = LVTTL;
+       misc_pins[2] : LOCATION = Pin_85;
+       misc_pins[2] : IO_STANDARD = LVTTL;
+       misc_pins[3] : LOCATION = Pin_84;
+       misc_pins[3] : IO_STANDARD = LVTTL;
+       misc_pins[4] : LOCATION = Pin_83;
+       misc_pins[4] : IO_STANDARD = LVTTL;
+       misc_pins[5] : LOCATION = Pin_82;
+       misc_pins[5] : IO_STANDARD = LVTTL;
+       misc_pins[6] : LOCATION = Pin_79;
+       misc_pins[6] : IO_STANDARD = LVTTL;
+       misc_pins[7] : LOCATION = Pin_78;
+       misc_pins[7] : IO_STANDARD = LVTTL;
+       misc_pins[8] : LOCATION = Pin_77;
+       misc_pins[8] : IO_STANDARD = LVTTL;
+       misc_pins[9] : LOCATION = Pin_75;
+       misc_pins[9] : IO_STANDARD = LVTTL;
+       reset : LOCATION = Pin_94;
+       usbclk : LOCATION = Pin_55;
+       usbctl[0] : LOCATION = Pin_56;
+       usbctl[1] : LOCATION = Pin_54;
+       usbctl[2] : LOCATION = Pin_53;
+       usbctl[3] : LOCATION = Pin_58;
+       usbctl[4] : LOCATION = Pin_57;
+       usbctl[5] : LOCATION = Pin_44;
+       usbdata[0] : LOCATION = Pin_73;
+       usbdata[10] : LOCATION = Pin_41;
+       usbdata[11] : LOCATION = Pin_39;
+       usbdata[12] : LOCATION = Pin_38;
+       usbdata[12] : IO_STANDARD = LVTTL;
+       usbdata[13] : LOCATION = Pin_37;
+       usbdata[14] : LOCATION = Pin_24;
+       usbdata[15] : LOCATION = Pin_23;
+       usbdata[1] : LOCATION = Pin_68;
+       usbdata[2] : LOCATION = Pin_67;
+       usbdata[3] : LOCATION = Pin_66;
+       usbdata[4] : LOCATION = Pin_65;
+       usbdata[5] : LOCATION = Pin_61;
+       usbdata[6] : LOCATION = Pin_60;
+       usbdata[7] : LOCATION = Pin_59;
+       usbdata[8] : LOCATION = Pin_43;
+       usbdata[9] : LOCATION = Pin_42;
+       usbrdy[0] : LOCATION = Pin_45;
+       usbrdy[1] : LOCATION = Pin_46;
+       usbrdy[2] : LOCATION = Pin_47;
+       usbrdy[3] : LOCATION = Pin_48;
+       usbrdy[4] : LOCATION = Pin_49;
+       usbrdy[5] : LOCATION = Pin_50;
+       clear_status : LOCATION = Pin_99;
+}
diff --git a/usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.esf b/usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.esf
new file mode 100644 (file)
index 0000000..6079e97
--- /dev/null
@@ -0,0 +1,14 @@
+SIMULATOR_SETTINGS
+{
+       ESTIMATE_POWER_CONSUMPTION = OFF;
+       GLITCH_INTERVAL = 1NS;
+       GLITCH_DETECTION = OFF;
+       SIMULATION_COVERAGE = ON;
+       CHECK_OUTPUTS = OFF;
+       SETUP_HOLD_DETECTION = OFF;
+       POWER_ESTIMATION_START_TIME = "0 NS";
+       ADD_DEFAULT_PINS_TO_SIMULATION_OUTPUT_WAVEFORMS = ON;
+       SIMULATION_MODE = TIMING;
+       START_TIME = 0NS;
+       USE_COMPILER_SETTINGS = usrp_inband_usb;
+}
diff --git a/usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.psf b/usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.psf
new file mode 100644 (file)
index 0000000..85276ec
--- /dev/null
@@ -0,0 +1,312 @@
+DEFAULT_DESIGN_ASSISTANT_SETTINGS
+{
+       HCPY_ALOAD_SIGNALS = OFF;
+       HCPY_VREF_PINS = OFF;
+       HCPY_CAT = OFF;
+       HCPY_ILLEGAL_HC_DEV_PKG = OFF;
+       ACLK_RULE_IMSZER_ADOMAIN = OFF;
+       ACLK_RULE_SZER_BTW_ACLK_DOMAIN = OFF;
+       ACLK_RULE_NO_SZER_ACLK_DOMAIN = OFF;
+       ACLK_CAT = OFF;
+       SIGNALRACE_RULE_ASYNCHPIN_SYNCH_CLKPIN = OFF;
+       SIGNALRACE_CAT = OFF;
+       NONSYNCHSTRUCT_RULE_LATCH_UNIDENTIFIED = OFF;
+       NONSYNCHSTRUCT_RULE_SRLATCH = OFF;
+       NONSYNCHSTRUCT_RULE_DLATCH = OFF;
+       NONSYNCHSTRUCT_RULE_MULTI_VIBRATOR = OFF;
+       NONSYNCHSTRUCT_RULE_ILLEGAL_PULSE_GEN = OFF;
+       NONSYNCHSTRUCT_RULE_RIPPLE_CLK = OFF;
+       NONSYNCHSTRUCT_RULE_DELAY_CHAIN = OFF;
+       NONSYNCHSTRUCT_RULE_REG_LOOP = OFF;
+       NONSYNCHSTRUCT_RULE_COMBLOOP = OFF;
+       NONSYNCHSTRUCT_CAT = OFF;
+       NONSYNCHSTRUCT_RULE_COMB_DRIVES_RAM_WE = OFF;
+       TIMING_RULE_COIN_CLKEDGE = OFF;
+       TIMING_RULE_SHIFT_REG = OFF;
+       TIMING_RULE_HIGH_FANOUTS = OFF;
+       TIMING_CAT = OFF;
+       RESET_RULE_ALL = OFF;
+       RESET_RULE_IMSYNCH_ASYNCH_DOMAIN = OFF;
+       RESET_RULE_UNSYNCH_ASYNCH_DOMAIN = OFF;
+       RESET_RULE_REG_ASNYCH = OFF;
+       RESET_RULE_COMB_ASYNCH_RESET = OFF;
+       RESET_RULE_IMSYNCH_EXRESET = OFF;
+       RESET_RULE_UNSYNCH_EXRESET = OFF;
+       RESET_RULE_INPINS_RESETNET = OFF;
+       RESET_CAT = OFF;
+       CLK_RULE_ALL = OFF;
+       CLK_RULE_MIX_EDGES = OFF;
+       CLK_RULE_CLKNET_CLKSPINES = OFF;
+       CLK_RULE_INPINS_CLKNET = OFF;
+       CLK_RULE_GATING_SCHEME = OFF;
+       CLK_RULE_INV_CLOCK = OFF;
+       CLK_RULE_COMB_CLOCK = OFF;
+       CLK_CAT = OFF;
+       HCPY_EXCEED_USER_IO_USAGE = OFF;
+       HCPY_EXCEED_RAM_USAGE = OFF;
+       NONSYNCHSTRUCT_RULE_ASYN_RAM = OFF;
+       SIGNALRACE_RULE_TRISTATE = OFF;
+       ASSG_RULE_MISSING_TIMING = OFF;
+       ASSG_RULE_MISSING_FMAX = OFF;
+       ASSG_CAT = OFF;
+}
+SYNTHESIS_FITTING_SETTINGS
+{
+       AUTO_SHIFT_REGISTER_RECOGNITION = ON;
+       AUTO_DSP_RECOGNITION = ON;
+       AUTO_RAM_RECOGNITION = ON;
+       REMOVE_DUPLICATE_LOGIC = ON;
+       AUTO_TURBO_BIT = ON;
+       AUTO_MERGE_PLLS = ON;
+       AUTO_OPEN_DRAIN_PINS = ON;
+       AUTO_PARALLEL_EXPANDERS = ON;
+       AUTO_FAST_OUTPUT_ENABLE_REGISTERS = OFF;
+       AUTO_FAST_OUTPUT_REGISTERS = OFF;
+       AUTO_FAST_INPUT_REGISTERS = OFF;
+       AUTO_CASCADE_CHAINS = ON;
+       AUTO_CARRY_CHAINS = ON;
+       AUTO_DELAY_CHAINS = ON;
+       MAX7000_PARALLEL_EXPANDER_CHAIN_LENGTH = 4;
+       PARALLEL_EXPANDER_CHAIN_LENGTH = 16;
+       CASCADE_CHAIN_LENGTH = 2;
+       STRATIX_CARRY_CHAIN_LENGTH = 70;
+       MERCURY_CARRY_CHAIN_LENGTH = 48;
+       FLEX10K_CARRY_CHAIN_LENGTH = 32;
+       FLEX6K_CARRY_CHAIN_LENGTH = 32;
+       CARRY_CHAIN_LENGTH = 48;
+       CARRY_OUT_PINS_LCELL_INSERT = ON;
+       NORMAL_LCELL_INSERT = ON;
+       AUTO_LCELL_INSERTION = ON;
+       ALLOW_XOR_GATE_USAGE = ON;
+       AUTO_PACKED_REGISTERS_STRATIX = NORMAL;
+       AUTO_PACKED_REGISTERS = OFF;
+       AUTO_PACKED_REG_CYCLONE = NORMAL;
+       FLEX10K_OPTIMIZATION_TECHNIQUE = AREA;
+       FLEX6K_OPTIMIZATION_TECHNIQUE = AREA;
+       MERCURY_OPTIMIZATION_TECHNIQUE = AREA;
+       APEX20K_OPTIMIZATION_TECHNIQUE = SPEED;
+       MAX7000_OPTIMIZATION_TECHNIQUE = SPEED;
+       STRATIX_OPTIMIZATION_TECHNIQUE = SPEED;
+       CYCLONE_OPTIMIZATION_TECHNIQUE = AREA;
+       FLEX10K_TECHNOLOGY_MAPPER = LUT;
+       FLEX6K_TECHNOLOGY_MAPPER = LUT;
+       MERCURY_TECHNOLOGY_MAPPER = LUT;
+       APEX20K_TECHNOLOGY_MAPPER = LUT;
+       MAX7000_TECHNOLOGY_MAPPER = "PRODUCT TERM";
+       STRATIX_TECHNOLOGY_MAPPER = LUT;
+       AUTO_IMPLEMENT_IN_ROM = OFF;
+       AUTO_GLOBAL_MEMORY_CONTROLS = OFF;
+       AUTO_GLOBAL_REGISTER_CONTROLS = ON;
+       AUTO_GLOBAL_OE = ON;
+       AUTO_GLOBAL_CLOCK = ON;
+       USE_LPM_FOR_AHDL_OPERATORS = ON;
+       LIMIT_AHDL_INTEGERS_TO_32_BITS = OFF;
+       ENABLE_BUS_HOLD_CIRCUITRY = OFF;
+       WEAK_PULL_UP_RESISTOR = OFF;
+       TURBO_BIT = ON;
+       MAX7000_IGNORE_SOFT_BUFFERS = OFF;
+       IGNORE_SOFT_BUFFERS = ON;
+       MAX7000_IGNORE_LCELL_BUFFERS = AUTO;
+       IGNORE_LCELL_BUFFERS = OFF;
+       IGNORE_ROW_GLOBAL_BUFFERS = OFF;
+       IGNORE_GLOBAL_BUFFERS = OFF;
+       IGNORE_CASCADE_BUFFERS = OFF;
+       IGNORE_CARRY_BUFFERS = OFF;
+       REMOVE_DUPLICATE_REGISTERS = ON;
+       REMOVE_REDUNDANT_LOGIC_CELLS = OFF;
+       ALLOW_POWER_UP_DONT_CARE = ON;
+       PCI_IO = OFF;
+       NOT_GATE_PUSH_BACK = ON;
+       SLOW_SLEW_RATE = OFF;
+       DSP_BLOCK_BALANCING = AUTO;
+       STATE_MACHINE_PROCESSING = AUTO;
+}
+DEFAULT_HARDCOPY_SETTINGS
+{
+       HARDCOPY_EXTERNAL_CLOCK_JITTER = "0.0 NS";
+}
+DEFAULT_TIMING_REQUIREMENTS
+{
+       INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS = OFF;
+       RUN_ALL_TIMING_ANALYSES = ON;
+       IGNORE_CLOCK_SETTINGS = OFF;
+       DEFAULT_HOLD_MULTICYCLE = "SAME AS MULTICYCLE";
+       CUT_OFF_IO_PIN_FEEDBACK = ON;
+       CUT_OFF_CLEAR_AND_PRESET_PATHS = ON;
+       CUT_OFF_READ_DURING_WRITE_PATHS = ON;
+       CUT_OFF_PATHS_BETWEEN_CLOCK_DOMAINS = ON;
+       DO_MIN_ANALYSIS = ON;
+       DO_MIN_TIMING = OFF;
+       NUMBER_OF_PATHS_TO_REPORT = 200;
+       NUMBER_OF_DESTINATION_TO_REPORT = 10;
+       NUMBER_OF_SOURCES_PER_DESTINATION_TO_REPORT = 10;
+       MAX_SCC_SIZE = 50;
+}
+HDL_SETTINGS
+{
+       VERILOG_INPUT_VERSION = VERILOG_2001;
+       ENABLE_IP_DEBUG = OFF;
+       VHDL_INPUT_VERSION = VHDL93;
+       VHDL_SHOW_LMF_MAPPING_MESSAGES = OFF;
+}
+PROJECT_INFO(usrp_inband_usb)
+{
+       ORIGINAL_QUARTUS_VERSION = 3.0;
+       PROJECT_CREATION_TIME_DATE = "00:14:04  JULY 13, 2003";
+       LAST_QUARTUS_VERSION = 3.0;
+       SHOW_REGISTRATION_MESSAGE = ON;
+       USER_LIBRARIES = "e:\usrp\fpga\megacells";
+}
+THIRD_PARTY_EDA_TOOLS(usrp_inband_usb)
+{
+       EDA_DESIGN_ENTRY_SYNTHESIS_TOOL = "<NONE>";
+       EDA_SIMULATION_TOOL = "<NONE>";
+       EDA_TIMING_ANALYSIS_TOOL = "<NONE>";
+       EDA_BOARD_DESIGN_TOOL = "<NONE>";
+       EDA_FORMAL_VERIFICATION_TOOL = "<NONE>";
+       EDA_RESYNTHESIS_TOOL = "<NONE>";
+}
+EDA_TOOL_SETTINGS(eda_design_synthesis)
+{
+       EDA_INPUT_GND_NAME = GND;
+       EDA_INPUT_VCC_NAME = VCC;
+       EDA_SHOW_LMF_MAPPING_MESSAGES = OFF;
+       EDA_RUN_TOOL_AUTOMATICALLY = OFF;
+       EDA_INPUT_DATA_FORMAT = EDIF;
+       EDA_OUTPUT_DATA_FORMAT = NONE;
+       USE_GENERATED_PHYSICAL_CONSTRAINTS = ON;
+       RESYNTHESIS_PHYSICAL_SYNTHESIS = NORMAL;
+       RESYNTHESIS_OPTIMIZATION_EFFORT = NORMAL;
+       RESYNTHESIS_RETIMING = FULL;
+}
+EDA_TOOL_SETTINGS(eda_simulation)
+{
+       EDA_INCLUDE_VHDL_CONFIGURATION_DECLARATION = OFF;
+       EDA_TRUNCATE_LONG_HIERARCHY_PATHS = OFF;
+       EDA_MAINTAIN_DESIGN_HIERARCHY = OFF;
+       EDA_WRITE_DEVICE_CONTROL_PORTS = OFF;
+       EDA_GENERATE_FUNCTIONAL_NETLIST = OFF;
+       EDA_FLATTEN_BUSES = OFF;
+       EDA_MAP_ILLEGAL_CHARACTERS = OFF;
+       EDA_EXCALIBUR_ATOMS_AS_SINGLE_STRIPE = OFF;
+       EDA_RUN_TOOL_AUTOMATICALLY = OFF;
+       EDA_OUTPUT_DATA_FORMAT = NONE;
+       USE_GENERATED_PHYSICAL_CONSTRAINTS = ON;
+       RESYNTHESIS_PHYSICAL_SYNTHESIS = NORMAL;
+       RESYNTHESIS_OPTIMIZATION_EFFORT = NORMAL;
+       RESYNTHESIS_RETIMING = FULL;
+}
+EDA_TOOL_SETTINGS(eda_timing_analysis)
+{
+       EDA_INCLUDE_VHDL_CONFIGURATION_DECLARATION = OFF;
+       EDA_TRUNCATE_LONG_HIERARCHY_PATHS = OFF;
+       EDA_MAINTAIN_DESIGN_HIERARCHY = OFF;
+       EDA_WRITE_DEVICE_CONTROL_PORTS = OFF;
+       EDA_GENERATE_FUNCTIONAL_NETLIST = OFF;
+       EDA_FLATTEN_BUSES = OFF;
+       EDA_MAP_ILLEGAL_CHARACTERS = OFF;
+       EDA_EXCALIBUR_ATOMS_AS_SINGLE_STRIPE = OFF;
+       EDA_RUN_TOOL_AUTOMATICALLY = OFF;
+       EDA_OUTPUT_DATA_FORMAT = NONE;
+       EDA_LAUNCH_CMD_LINE_TOOL = OFF;
+       USE_GENERATED_PHYSICAL_CONSTRAINTS = ON;
+       RESYNTHESIS_PHYSICAL_SYNTHESIS = NORMAL;
+       RESYNTHESIS_OPTIMIZATION_EFFORT = NORMAL;
+       RESYNTHESIS_RETIMING = FULL;
+}
+EDA_TOOL_SETTINGS(eda_board_design)
+{
+       EDA_INCLUDE_VHDL_CONFIGURATION_DECLARATION = OFF;
+       EDA_TRUNCATE_LONG_HIERARCHY_PATHS = OFF;
+       EDA_MAINTAIN_DESIGN_HIERARCHY = OFF;
+       EDA_WRITE_DEVICE_CONTROL_PORTS = OFF;
+       EDA_GENERATE_FUNCTIONAL_NETLIST = OFF;
+       EDA_FLATTEN_BUSES = OFF;
+       EDA_MAP_ILLEGAL_CHARACTERS = OFF;
+       EDA_EXCALIBUR_ATOMS_AS_SINGLE_STRIPE = OFF;
+       EDA_RUN_TOOL_AUTOMATICALLY = OFF;
+       EDA_OUTPUT_DATA_FORMAT = NONE;
+       USE_GENERATED_PHYSICAL_CONSTRAINTS = ON;
+       RESYNTHESIS_PHYSICAL_SYNTHESIS = NORMAL;
+       RESYNTHESIS_OPTIMIZATION_EFFORT = NORMAL;
+       RESYNTHESIS_RETIMING = FULL;
+}
+EDA_TOOL_SETTINGS(eda_formal_verification)
+{
+       EDA_INCLUDE_VHDL_CONFIGURATION_DECLARATION = OFF;
+       EDA_TRUNCATE_LONG_HIERARCHY_PATHS = OFF;
+       EDA_MAINTAIN_DESIGN_HIERARCHY = OFF;
+       EDA_WRITE_DEVICE_CONTROL_PORTS = OFF;
+       EDA_GENERATE_FUNCTIONAL_NETLIST = OFF;
+       EDA_FLATTEN_BUSES = OFF;
+       EDA_MAP_ILLEGAL_CHARACTERS = OFF;
+       EDA_EXCALIBUR_ATOMS_AS_SINGLE_STRIPE = OFF;
+       EDA_RUN_TOOL_AUTOMATICALLY = OFF;
+       EDA_OUTPUT_DATA_FORMAT = NONE;
+       USE_GENERATED_PHYSICAL_CONSTRAINTS = ON;
+       RESYNTHESIS_PHYSICAL_SYNTHESIS = NORMAL;
+       RESYNTHESIS_OPTIMIZATION_EFFORT = NORMAL;
+       RESYNTHESIS_RETIMING = FULL;
+}
+EDA_TOOL_SETTINGS(eda_palace)
+{
+       EDA_INCLUDE_VHDL_CONFIGURATION_DECLARATION = OFF;
+       EDA_TRUNCATE_LONG_HIERARCHY_PATHS = OFF;
+       EDA_MAINTAIN_DESIGN_HIERARCHY = OFF;
+       EDA_WRITE_DEVICE_CONTROL_PORTS = OFF;
+       EDA_GENERATE_FUNCTIONAL_NETLIST = OFF;
+       EDA_FLATTEN_BUSES = OFF;
+       EDA_MAP_ILLEGAL_CHARACTERS = OFF;
+       EDA_EXCALIBUR_ATOMS_AS_SINGLE_STRIPE = OFF;
+       EDA_RUN_TOOL_AUTOMATICALLY = OFF;
+       EDA_OUTPUT_DATA_FORMAT = NONE;
+       RESYNTHESIS_RETIMING = FULL;
+       RESYNTHESIS_PHYSICAL_SYNTHESIS = NORMAL;
+       RESYNTHESIS_OPTIMIZATION_EFFORT = NORMAL;
+       USE_GENERATED_PHYSICAL_CONSTRAINTS = ON;
+}
+CLOCK(clk_120mhz)
+{
+       FMAX_REQUIREMENT = "120.0 MHz";
+       INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS = OFF;
+       DUTY_CYCLE = 50;
+       DIVIDE_BASE_CLOCK_PERIOD_BY = 1;
+       MULTIPLY_BASE_CLOCK_PERIOD_BY = 1;
+       INVERT_BASE_CLOCK = OFF;
+}
+CLOCK(usbclk)
+{
+       FMAX_REQUIREMENT = "48.0 MHz";
+       INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS = OFF;
+       DUTY_CYCLE = 50;
+       DIVIDE_BASE_CLOCK_PERIOD_BY = 1;
+       MULTIPLY_BASE_CLOCK_PERIOD_BY = 1;
+       INVERT_BASE_CLOCK = OFF;
+}
+CLOCK(SCLK)
+{
+       FMAX_REQUIREMENT = "1.0 MHz";
+       INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS = OFF;
+       DUTY_CYCLE = 50;
+       DIVIDE_BASE_CLOCK_PERIOD_BY = 1;
+       MULTIPLY_BASE_CLOCK_PERIOD_BY = 1;
+       INVERT_BASE_CLOCK = OFF;
+}
+CLOCK(adclk0)
+{
+       FMAX_REQUIREMENT = "60.0 MHz";
+       INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS = OFF;
+       DUTY_CYCLE = 50;
+       DIVIDE_BASE_CLOCK_PERIOD_BY = 1;
+       MULTIPLY_BASE_CLOCK_PERIOD_BY = 1;
+       INVERT_BASE_CLOCK = OFF;
+}
+CLOCK(adclk1)
+{
+       FMAX_REQUIREMENT = "60.0 MHz";
+       INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS = OFF;
+       DUTY_CYCLE = 50;
+       DIVIDE_BASE_CLOCK_PERIOD_BY = 1;
+       MULTIPLY_BASE_CLOCK_PERIOD_BY = 1;
+       INVERT_BASE_CLOCK = OFF;
+}
diff --git a/usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.qpf b/usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.qpf
new file mode 100644 (file)
index 0000000..f6220e3
--- /dev/null
@@ -0,0 +1,29 @@
+# Copyright (C) 1991-2004 Altera Corporation
+# Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
+# support information,  device programming or simulation file,  and any other
+# associated  documentation or information  provided by  Altera  or a partner
+# under  Altera's   Megafunction   Partnership   Program  may  be  used  only
+# to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
+# other  use  of such  megafunction  design,  netlist,  support  information,
+# device programming or simulation file,  or any other  related documentation
+# or information  is prohibited  for  any  other purpose,  including, but not
+# limited to  modification,  reverse engineering,  de-compiling, or use  with
+# any other  silicon devices,  unless such use is  explicitly  licensed under
+# a separate agreement with  Altera  or a megafunction partner.  Title to the
+# intellectual property,  including patents,  copyrights,  trademarks,  trade
+# secrets,  or maskworks,  embodied in any such megafunction design, netlist,
+# support  information,  device programming or simulation file,  or any other
+# related documentation or information provided by  Altera  or a megafunction
+# partner, remains with Altera, the megafunction partner, or their respective
+# licensors. No other licenses, including any licenses needed under any third
+# party's intellectual property, are provided herein.
+
+
+
+QUARTUS_VERSION = "4.0"
+DATE = "17:10:11  December 20, 2004"
+
+
+# Active Revisions
+
+PROJECT_REVISION = "usrp_inband_usb"
diff --git a/usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.qsf b/usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.qsf
new file mode 100644 (file)
index 0000000..ae0807f
--- /dev/null
@@ -0,0 +1,423 @@
+# Copyright (C) 1991-2005 Altera Corporation
+# Your use of Altera Corporation's design tools, logic functions 
+# and other software and tools, and its AMPP partner logic       
+# functions, and any output files any of the foregoing           
+# (including device programming or simulation files), and any    
+# associated documentation or information are expressly subject  
+# to the terms and conditions of the Altera Program License      
+# Subscription Agreement, Altera MegaCore Function License       
+# Agreement, or other applicable license agreement, including,   
+# without limitation, that your use is for the sole purpose of   
+# programming logic devices manufactured by Altera and sold by   
+# Altera or its authorized distributors.  Please refer to the    
+# applicable agreement for further details.
+
+
+# The default values for assignments are stored in the file
+#              usrp_inband_usb_assignment_defaults.qdf
+# If this file doesn't exist, and for assignments not listed, see file
+#              assignment_defaults.qdf
+
+# Altera recommends that you do not modify this file. This
+# file is updated automatically by the Quartus II software
+# and any changes you make may be lost or overwritten.
+
+
+# Project-Wide Assignments
+# ========================
+set_global_assignment -name ORIGINAL_QUARTUS_VERSION 3.0
+set_global_assignment -name PROJECT_CREATION_TIME_DATE "00:14:04  JULY 13, 2003"
+set_global_assignment -name LAST_QUARTUS_VERSION "7.2 SP2"
+
+# Pin & Location Assignments
+# ==========================
+set_global_assignment -name RESERVE_PIN "AS INPUT TRI-STATED"
+set_location_assignment PIN_29 -to SCLK
+set_location_assignment PIN_117 -to SDI
+set_location_assignment PIN_28 -to usbclk
+set_location_assignment PIN_107 -to usbctl[0]
+set_location_assignment PIN_106 -to usbctl[1]
+set_location_assignment PIN_105 -to usbctl[2]
+set_location_assignment PIN_100 -to usbdata[0]
+set_location_assignment PIN_84 -to usbdata[10]
+set_location_assignment PIN_83 -to usbdata[11]
+set_location_assignment PIN_82 -to usbdata[12]
+set_location_assignment PIN_79 -to usbdata[13]
+set_location_assignment PIN_78 -to usbdata[14]
+set_location_assignment PIN_77 -to usbdata[15]
+set_location_assignment PIN_99 -to usbdata[1]
+set_location_assignment PIN_98 -to usbdata[2]
+set_location_assignment PIN_95 -to usbdata[3]
+set_location_assignment PIN_94 -to usbdata[4]
+set_location_assignment PIN_93 -to usbdata[5]
+set_location_assignment PIN_88 -to usbdata[6]
+set_location_assignment PIN_87 -to usbdata[7]
+set_location_assignment PIN_86 -to usbdata[8]
+set_location_assignment PIN_85 -to usbdata[9]
+set_location_assignment PIN_104 -to usbrdy[0]
+set_location_assignment PIN_101 -to usbrdy[1]
+set_location_assignment PIN_76 -to FX2_1
+set_location_assignment PIN_75 -to FX2_2
+set_location_assignment PIN_74 -to FX2_3
+set_location_assignment PIN_116 -to io_rx_a[0]
+set_location_assignment PIN_115 -to io_rx_a[1]
+set_location_assignment PIN_114 -to io_rx_a[2]
+set_location_assignment PIN_113 -to io_rx_a[3]
+set_location_assignment PIN_108 -to io_rx_a[4]
+set_location_assignment PIN_195 -to io_rx_a[5]
+set_location_assignment PIN_196 -to io_rx_a[6]
+set_location_assignment PIN_197 -to io_rx_a[7]
+set_location_assignment PIN_200 -to io_rx_a[8]
+set_location_assignment PIN_201 -to io_rx_a[9]
+set_location_assignment PIN_202 -to io_rx_a[10]
+set_location_assignment PIN_203 -to io_rx_a[11]
+set_location_assignment PIN_206 -to io_rx_a[12]
+set_location_assignment PIN_207 -to io_rx_a[13]
+set_location_assignment PIN_208 -to io_rx_a[14]
+set_location_assignment PIN_214 -to io_rx_b[0]
+set_location_assignment PIN_215 -to io_rx_b[1]
+set_location_assignment PIN_216 -to io_rx_b[2]
+set_location_assignment PIN_217 -to io_rx_b[3]
+set_location_assignment PIN_218 -to io_rx_b[4]
+set_location_assignment PIN_219 -to io_rx_b[5]
+set_location_assignment PIN_222 -to io_rx_b[6]
+set_location_assignment PIN_223 -to io_rx_b[7]
+set_location_assignment PIN_224 -to io_rx_b[8]
+set_location_assignment PIN_225 -to io_rx_b[9]
+set_location_assignment PIN_226 -to io_rx_b[10]
+set_location_assignment PIN_227 -to io_rx_b[11]
+set_location_assignment PIN_228 -to io_rx_b[12]
+set_location_assignment PIN_233 -to io_rx_b[13]
+set_location_assignment PIN_234 -to io_rx_b[14]
+set_location_assignment PIN_175 -to io_tx_a[0]
+set_location_assignment PIN_176 -to io_tx_a[1]
+set_location_assignment PIN_177 -to io_tx_a[2]
+set_location_assignment PIN_178 -to io_tx_a[3]
+set_location_assignment PIN_179 -to io_tx_a[4]
+set_location_assignment PIN_180 -to io_tx_a[5]
+set_location_assignment PIN_181 -to io_tx_a[6]
+set_location_assignment PIN_182 -to io_tx_a[7]
+set_location_assignment PIN_183 -to io_tx_a[8]
+set_location_assignment PIN_184 -to io_tx_a[9]
+set_location_assignment PIN_185 -to io_tx_a[10]
+set_location_assignment PIN_186 -to io_tx_a[11]
+set_location_assignment PIN_187 -to io_tx_a[12]
+set_location_assignment PIN_188 -to io_tx_a[13]
+set_location_assignment PIN_193 -to io_tx_a[14]
+set_location_assignment PIN_73 -to io_tx_b[0]
+set_location_assignment PIN_68 -to io_tx_b[1]
+set_location_assignment PIN_67 -to io_tx_b[2]
+set_location_assignment PIN_66 -to io_tx_b[3]
+set_location_assignment PIN_65 -to io_tx_b[4]
+set_location_assignment PIN_64 -to io_tx_b[5]
+set_location_assignment PIN_63 -to io_tx_b[6]
+set_location_assignment PIN_62 -to io_tx_b[7]
+set_location_assignment PIN_61 -to io_tx_b[8]
+set_location_assignment PIN_60 -to io_tx_b[9]
+set_location_assignment PIN_59 -to io_tx_b[10]
+set_location_assignment PIN_58 -to io_tx_b[11]
+set_location_assignment PIN_57 -to io_tx_b[12]
+set_location_assignment PIN_56 -to io_tx_b[13]
+set_location_assignment PIN_55 -to io_tx_b[14]
+set_location_assignment PIN_152 -to master_clk
+set_location_assignment PIN_144 -to rx_a_a[0]
+set_location_assignment PIN_143 -to rx_a_a[1]
+set_location_assignment PIN_141 -to rx_a_a[2]
+set_location_assignment PIN_140 -to rx_a_a[3]
+set_location_assignment PIN_139 -to rx_a_a[4]
+set_location_assignment PIN_138 -to rx_a_a[5]
+set_location_assignment PIN_137 -to rx_a_a[6]
+set_location_assignment PIN_136 -to rx_a_a[7]
+set_location_assignment PIN_135 -to rx_a_a[8]
+set_location_assignment PIN_134 -to rx_a_a[9]
+set_location_assignment PIN_133 -to rx_a_a[10]
+set_location_assignment PIN_132 -to rx_a_a[11]
+set_location_assignment PIN_23 -to rx_a_b[0]
+set_location_assignment PIN_21 -to rx_a_b[1]
+set_location_assignment PIN_20 -to rx_a_b[2]
+set_location_assignment PIN_19 -to rx_a_b[3]
+set_location_assignment PIN_18 -to rx_a_b[4]
+set_location_assignment PIN_17 -to rx_a_b[5]
+set_location_assignment PIN_16 -to rx_a_b[6]
+set_location_assignment PIN_15 -to rx_a_b[7]
+set_location_assignment PIN_14 -to rx_a_b[8]
+set_location_assignment PIN_13 -to rx_a_b[9]
+set_location_assignment PIN_12 -to rx_a_b[10]
+set_location_assignment PIN_11 -to rx_a_b[11]
+set_location_assignment PIN_131 -to rx_b_a[0]
+set_location_assignment PIN_128 -to rx_b_a[1]
+set_location_assignment PIN_127 -to rx_b_a[2]
+set_location_assignment PIN_126 -to rx_b_a[3]
+set_location_assignment PIN_125 -to rx_b_a[4]
+set_location_assignment PIN_124 -to rx_b_a[5]
+set_location_assignment PIN_123 -to rx_b_a[6]
+set_location_assignment PIN_122 -to rx_b_a[7]
+set_location_assignment PIN_121 -to rx_b_a[8]
+set_location_assignment PIN_120 -to rx_b_a[9]
+set_location_assignment PIN_119 -to rx_b_a[10]
+set_location_assignment PIN_118 -to rx_b_a[11]
+set_location_assignment PIN_8 -to rx_b_b[0]
+set_location_assignment PIN_7 -to rx_b_b[1]
+set_location_assignment PIN_6 -to rx_b_b[2]
+set_location_assignment PIN_5 -to rx_b_b[3]
+set_location_assignment PIN_4 -to rx_b_b[4]
+set_location_assignment PIN_3 -to rx_b_b[5]
+set_location_assignment PIN_2 -to rx_b_b[6]
+set_location_assignment PIN_240 -to rx_b_b[7]
+set_location_assignment PIN_239 -to rx_b_b[8]
+set_location_assignment PIN_238 -to rx_b_b[9]
+set_location_assignment PIN_237 -to rx_b_b[10]
+set_location_assignment PIN_236 -to rx_b_b[11]
+set_location_assignment PIN_156 -to SDO
+set_location_assignment PIN_153 -to SEN_FPGA
+set_location_assignment PIN_159 -to tx_a[0]
+set_location_assignment PIN_160 -to tx_a[1]
+set_location_assignment PIN_161 -to tx_a[2]
+set_location_assignment PIN_162 -to tx_a[3]
+set_location_assignment PIN_163 -to tx_a[4]
+set_location_assignment PIN_164 -to tx_a[5]
+set_location_assignment PIN_165 -to tx_a[6]
+set_location_assignment PIN_166 -to tx_a[7]
+set_location_assignment PIN_167 -to tx_a[8]
+set_location_assignment PIN_168 -to tx_a[9]
+set_location_assignment PIN_169 -to tx_a[10]
+set_location_assignment PIN_170 -to tx_a[11]
+set_location_assignment PIN_173 -to tx_a[12]
+set_location_assignment PIN_174 -to tx_a[13]
+set_location_assignment PIN_38 -to tx_b[0]
+set_location_assignment PIN_39 -to tx_b[1]
+set_location_assignment PIN_41 -to tx_b[2]
+set_location_assignment PIN_42 -to tx_b[3]
+set_location_assignment PIN_43 -to tx_b[4]
+set_location_assignment PIN_44 -to tx_b[5]
+set_location_assignment PIN_45 -to tx_b[6]
+set_location_assignment PIN_46 -to tx_b[7]
+set_location_assignment PIN_47 -to tx_b[8]
+set_location_assignment PIN_48 -to tx_b[9]
+set_location_assignment PIN_49 -to tx_b[10]
+set_location_assignment PIN_50 -to tx_b[11]
+set_location_assignment PIN_53 -to tx_b[12]
+set_location_assignment PIN_54 -to tx_b[13]
+set_location_assignment PIN_158 -to TXSYNC_A
+set_location_assignment PIN_37 -to TXSYNC_B
+set_location_assignment PIN_235 -to io_rx_b[15]
+set_location_assignment PIN_24 -to io_tx_b[15]
+set_location_assignment PIN_213 -to io_rx_a[15]
+set_location_assignment PIN_194 -to io_tx_a[15]
+set_location_assignment PIN_1 -to MYSTERY_SIGNAL
+
+# Timing Assignments
+# ==================
+set_global_assignment -name INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS OFF
+
+# Analysis & Synthesis Assignments
+# ================================
+set_global_assignment -name SAVE_DISK_SPACE OFF
+set_global_assignment -name DEVICE_FILTER_PACKAGE "ANY QFP"
+set_global_assignment -name DEVICE_FILTER_PIN_COUNT 240
+set_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "<None>"
+set_global_assignment -name FAMILY Cyclone
+set_global_assignment -name CYCLONE_OPTIMIZATION_TECHNIQUE BALANCED
+set_global_assignment -name STRATIX_OPTIMIZATION_TECHNIQUE SPEED
+set_global_assignment -name APEX20K_OPTIMIZATION_TECHNIQUE SPEED
+set_global_assignment -name TOP_LEVEL_ENTITY usrp_inband_usb
+set_global_assignment -name VHDL_SHOW_LMF_MAPPING_MESSAGES OFF
+set_global_assignment -name USER_LIBRARIES "e:\\usrp\\fpga\\megacells"
+set_global_assignment -name AUTO_ENABLE_SMART_COMPILE ON
+
+# Fitter Assignments
+# ==================
+set_global_assignment -name DEVICE EP1C12Q240C8
+set_global_assignment -name CYCLONE_CONFIGURATION_SCHEME "PASSIVE SERIAL"
+set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED"
+set_global_assignment -name OPTIMIZE_HOLD_TIMING OFF
+set_global_assignment -name OPTIMIZE_TIMING "NORMAL COMPILATION"
+set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC OFF
+set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION OFF
+set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING OFF
+set_global_assignment -name IO_PLACEMENT_OPTIMIZATION OFF
+set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT NORMAL
+set_global_assignment -name INC_PLC_MODE OFF
+set_global_assignment -name ROUTING_BACK_ANNOTATION_MODE OFF
+set_instance_assignment -name IO_STANDARD LVTTL -to usbdata[12]
+set_global_assignment -name STRATIX_DEVICE_IO_STANDARD LVTTL
+set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1
+
+# Timing Analysis Assignments
+# ===========================
+set_global_assignment -name MAX_SCC_SIZE 50
+
+# EDA Netlist Writer Assignments
+# ==============================
+set_global_assignment -name EDA_SIMULATION_TOOL "<None>"
+set_global_assignment -name EDA_TIMING_ANALYSIS_TOOL "<NONE>"
+set_global_assignment -name EDA_BOARD_DESIGN_TOOL "<NONE>"
+set_global_assignment -name EDA_FORMAL_VERIFICATION_TOOL "<NONE>"
+set_global_assignment -name EDA_RESYNTHESIS_TOOL "<NONE>"
+
+# Assembler Assignments
+# =====================
+set_global_assignment -name USE_CONFIGURATION_DEVICE OFF
+set_global_assignment -name GENERATE_RBF_FILE ON
+set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED"
+set_global_assignment -name AUTO_RESTART_CONFIGURATION OFF
+
+# Simulator Assignments
+# =====================
+set_global_assignment -name START_TIME "0 ns"
+set_global_assignment -name GLITCH_INTERVAL "1 ns"
+
+# Design Assistant Assignments
+# ============================
+set_global_assignment -name DRC_REPORT_TOP_FANOUT OFF
+set_global_assignment -name DRC_REPORT_FANOUT_EXCEEDING OFF
+set_global_assignment -name ASSG_CAT OFF
+set_global_assignment -name ASSG_RULE_MISSING_FMAX OFF
+set_global_assignment -name ASSG_RULE_MISSING_TIMING OFF
+set_global_assignment -name NONSYNCHSTRUCT_RULE_ASYN_RAM OFF
+set_global_assignment -name CLK_CAT OFF
+set_global_assignment -name CLK_RULE_COMB_CLOCK OFF
+set_global_assignment -name CLK_RULE_INV_CLOCK OFF
+set_global_assignment -name CLK_RULE_GATING_SCHEME OFF
+set_global_assignment -name CLK_RULE_INPINS_CLKNET OFF
+set_global_assignment -name CLK_RULE_CLKNET_CLKSPINES OFF
+set_global_assignment -name CLK_RULE_MIX_EDGES OFF
+set_global_assignment -name RESET_CAT OFF
+set_global_assignment -name RESET_RULE_INPINS_RESETNET OFF
+set_global_assignment -name RESET_RULE_UNSYNCH_EXRESET OFF
+set_global_assignment -name RESET_RULE_IMSYNCH_EXRESET OFF
+set_global_assignment -name RESET_RULE_COMB_ASYNCH_RESET OFF
+set_global_assignment -name RESET_RULE_UNSYNCH_ASYNCH_DOMAIN OFF
+set_global_assignment -name RESET_RULE_IMSYNCH_ASYNCH_DOMAIN OFF
+set_global_assignment -name TIMING_CAT OFF
+set_global_assignment -name TIMING_RULE_SHIFT_REG OFF
+set_global_assignment -name TIMING_RULE_COIN_CLKEDGE OFF
+set_global_assignment -name NONSYNCHSTRUCT_RULE_COMB_DRIVES_RAM_WE OFF
+set_global_assignment -name NONSYNCHSTRUCT_CAT OFF
+set_global_assignment -name NONSYNCHSTRUCT_RULE_COMBLOOP OFF
+set_global_assignment -name NONSYNCHSTRUCT_RULE_REG_LOOP OFF
+set_global_assignment -name NONSYNCHSTRUCT_RULE_DELAY_CHAIN OFF
+set_global_assignment -name NONSYNCHSTRUCT_RULE_RIPPLE_CLK OFF
+set_global_assignment -name NONSYNCHSTRUCT_RULE_ILLEGAL_PULSE_GEN OFF
+set_global_assignment -name NONSYNCHSTRUCT_RULE_MULTI_VIBRATOR OFF
+set_global_assignment -name NONSYNCHSTRUCT_RULE_SRLATCH OFF
+set_global_assignment -name NONSYNCHSTRUCT_RULE_LATCH_UNIDENTIFIED OFF
+set_global_assignment -name SIGNALRACE_CAT OFF
+set_global_assignment -name ACLK_CAT OFF
+set_global_assignment -name ACLK_RULE_NO_SZER_ACLK_DOMAIN OFF
+set_global_assignment -name ACLK_RULE_SZER_BTW_ACLK_DOMAIN OFF
+set_global_assignment -name ACLK_RULE_IMSZER_ADOMAIN OFF
+set_global_assignment -name HCPY_CAT OFF
+set_global_assignment -name HCPY_VREF_PINS OFF
+
+# SignalTap II Assignments
+# ========================
+set_global_assignment -name HUB_ENTITY_NAME SLD_HUB
+set_global_assignment -name HUB_INSTANCE_NAME SLD_HUB_INST
+set_global_assignment -name ENABLE_SIGNALTAP OFF
+
+# LogicLock Region Assignments
+# ============================
+set_global_assignment -name LOGICLOCK_INCREMENTAL_COMPILE_ASSIGNMENT OFF
+
+# -----------------
+# start CLOCK(SCLK)
+
+       # Timing Assignments
+       # ==================
+set_global_assignment -name DUTY_CYCLE 50 -section_id SCLK
+set_global_assignment -name FMAX_REQUIREMENT "1 MHz" -section_id SCLK
+set_global_assignment -name INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS OFF -section_id SCLK
+
+# end CLOCK(SCLK)
+# ---------------
+
+# -----------------------
+# start CLOCK(master_clk)
+
+       # Timing Assignments
+       # ==================
+set_global_assignment -name DUTY_CYCLE 50 -section_id master_clk
+set_global_assignment -name FMAX_REQUIREMENT "64 MHz" -section_id master_clk
+set_global_assignment -name INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS OFF -section_id master_clk
+
+# end CLOCK(master_clk)
+# ---------------------
+
+# -------------------
+# start CLOCK(usbclk)
+
+       # Timing Assignments
+       # ==================
+set_global_assignment -name DUTY_CYCLE 50 -section_id usbclk
+set_global_assignment -name FMAX_REQUIREMENT "48 MHz" -section_id usbclk
+set_global_assignment -name INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS OFF -section_id usbclk
+
+# end CLOCK(usbclk)
+# -----------------
+
+# ----------------------
+# start ENTITY(usrp_inband_usb)
+
+       # Timing Assignments
+       # ==================
+set_instance_assignment -name CLOCK_SETTINGS SCLK -to SCLK
+set_instance_assignment -name CLOCK_SETTINGS usbclk -to usbclk
+set_instance_assignment -name CLOCK_SETTINGS master_clk -to master_clk
+
+# end ENTITY(usrp_inband_usb)
+# --------------------
+
+
+set_instance_assignment -name PARTITION_HIERARCHY no_file_for_top_partition -to | -section_id Top
+set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
+set_global_assignment -name FITTER_AUTO_EFFORT_DESIRED_SLACK_MARGIN "100 ps"
+set_global_assignment -name VERILOG_FILE ../../megacells/fifo_4kx16_dc.v
+set_global_assignment -name VERILOG_FILE ../../megacells/fifo_1kx16.v
+set_global_assignment -name VERILOG_FILE ../../inband_lib/channel_demux.v
+set_global_assignment -name VERILOG_FILE ../../inband_lib/tx_packer.v
+set_global_assignment -name VERILOG_FILE ../../inband_lib/cmd_reader.v
+set_global_assignment -name VERILOG_FILE ../../inband_lib/packet_builder.v
+set_global_assignment -name VERILOG_FILE ../../inband_lib/rx_buffer_inband.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/atr_delay.v
+set_global_assignment -name VERILOG_FILE ../../inband_lib/tx_buffer_inband.v
+set_global_assignment -name VERILOG_FILE ../../inband_lib/chan_fifo_reader.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/cic_dec_shifter.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/rssi.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/ram16.v
+set_global_assignment -name VERILOG_FILE ../../megacells/fifo_4k.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/hb/acc.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/hb/mult.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/hb/ram16_2sum.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/hb/coeff_rom.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/hb/halfband_decim.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/hb/mac.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/tx_chain.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/rx_dcoffset.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/adc_interface.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/io_pins.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/setting_reg.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/bidir_reg.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/cic_int_shifter.v
+set_global_assignment -name VERILOG_FILE ../../megacells/clk_doubler.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/rx_chain.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/gen_sync.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/master_control.v
+set_global_assignment -name VERILOG_FILE ../../megacells/fifo_2k.v
+set_global_assignment -name VERILOG_FILE ../../megacells/bustri.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/rx_buffer.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/tx_buffer.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/phase_acc.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/cic_interp.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/cic_decim.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/cordic_stage.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/cordic.v
+set_global_assignment -name VERILOG_FILE usrp_inband_usb.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/clk_divider.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/serial_io.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/strobe_gen.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/sign_extend.v
+set_global_assignment -name VERILOG_FILE ../../inband_lib/channel_ram.v
+set_global_assignment -name VERILOG_FILE ../../inband_lib/register_io.v
+set_global_assignment -name LL_ROOT_REGION ON -section_id "Root Region"
+set_global_assignment -name LL_MEMBER_STATE LOCKED -section_id "Root Region"
\ No newline at end of file
diff --git a/usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.v b/usrp/fpga/toplevel/usrp_inband_usb/usrp_inband_usb.v
new file mode 100644 (file)
index 0000000..79f0dfa
--- /dev/null
@@ -0,0 +1,428 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2003,2004 Matt Ettus
+//  Copyright 2007 Free Software Foundation, Inc.
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+`define TX_IN_BAND
+`define RX_IN_BAND
+
+`include "config.vh"
+`include "../../../firmware/include/fpga_regs_common.v"
+`include "../../../firmware/include/fpga_regs_standard.v"
+
+module usrp_inband_usb
+(output MYSTERY_SIGNAL,
+ input master_clk,
+ input SCLK,
+ input SDI,
+ inout SDO,
+ input SEN_FPGA,
+
+ input FX2_1,
+ output FX2_2,
+ output FX2_3,
+ input wire [11:0] rx_a_a,
+ input wire [11:0] rx_b_a,
+ input wire [11:0] rx_a_b,
+ input wire [11:0] rx_b_b,
+
+ output wire [13:0] tx_a,
+ output wire [13:0] tx_b,
+
+ output wire TXSYNC_A,
+ output wire TXSYNC_B,
+  // USB interface
+ input usbclk,
+ input wire [2:0] usbctl,
+ output wire [1:0] usbrdy,
+ inout [15:0] usbdata,  // NB Careful, inout
+
+ // These are the general purpose i/o's that go to the daughterboard slots
+ inout wire [15:0] io_tx_a,
+ inout wire [15:0] io_tx_b,
+ inout wire [15:0] io_rx_a,
+ inout wire [15:0] io_rx_b
+ );    
+   wire [15:0] debugdata,debugctrl;
+   assign MYSTERY_SIGNAL = 1'b0;
+   
+   wire clk64,clk128;
+   
+   wire WR = usbctl[0];
+   wire RD = usbctl[1];
+   wire OE = usbctl[2];
+
+   wire have_space, have_pkt_rdy;
+   assign usbrdy[0] = have_space;
+   assign usbrdy[1] = have_pkt_rdy;
+
+   wire   rx_overrun;    
+   wire   clear_status = FX2_1;
+   assign FX2_2 = rx_overrun;
+   assign FX2_3 = (tx_underrun == 0);
+      
+   wire [15:0] usbdata_out;
+   
+   wire [3:0]  dac0mux,dac1mux,dac2mux,dac3mux;
+   
+   wire        tx_realsignals;
+   wire [3:0]  rx_numchan;
+   wire [2:0]  tx_numchan;
+   
+   wire [7:0]  interp_rate, decim_rate;
+   wire [15:0] tx_debugbus, rx_debugbus;
+   
+   wire        enable_tx, enable_rx;
+   wire        tx_dsp_reset, rx_dsp_reset, tx_bus_reset, rx_bus_reset;
+   wire [7:0]  settings;
+   
+   // Tri-state bus macro
+   bustri bustri( .data(usbdata_out),.enabledt(OE),.tridata(usbdata) );
+
+   wire [15:0] ch0tx,ch1tx,ch2tx,ch3tx; //,ch4tx,ch5tx,ch6tx,ch7tx;
+   wire [15:0] ch0rx,ch1rx,ch2rx,ch3rx,ch4rx,ch5rx,ch6rx,ch7rx;
+   
+   // TX
+   wire [15:0] i_out_0,i_out_1,q_out_0,q_out_1;
+   wire [15:0] bb_tx_i0,bb_tx_q0,bb_tx_i1,bb_tx_q1;  // bb_tx_i2,bb_tx_q2,bb_tx_i3,bb_tx_q3;
+   
+   wire        strobe_interp, tx_sample_strobe;
+   wire        tx_empty;
+   
+   wire        serial_strobe;
+   wire [6:0]  serial_addr;
+   wire [31:0] serial_data;
+
+   reg [15:0] debug_counter;
+   reg [15:0] loopback_i_0,loopback_q_0;
+   
+
+   //Connection RX inband <-> TX inband
+   wire rx_WR;
+   wire [15:0] rx_databus;
+   wire rx_WR_done;
+   wire rx_WR_enabled;
+   ////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////
+   // Transmit Side
+`ifdef TX_ON
+   assign      bb_tx_i0 = ch0tx;
+   assign      bb_tx_q0 = ch1tx;
+   assign      bb_tx_i1 = ch2tx;
+   assign      bb_tx_q1 = ch3tx;
+
+wire [1:0] tx_underrun;
+
+`ifdef TX_IN_BAND
+       tx_buffer_inband tx_buffer
+     ( .usbclk(usbclk),.bus_reset(tx_bus_reset),.reset(tx_dsp_reset),
+       .usbdata(usbdata),.WR(WR),.have_space(have_space),
+       .tx_underrun(tx_underrun),.channels({tx_numchan,1'b0}),
+       .tx_i_0(ch0tx),.tx_q_0(ch1tx),
+       .tx_i_1(ch2tx),.tx_q_1(ch3tx),
+       .tx_i_2(),.tx_q_2(),
+       .tx_i_3(),.tx_q_3(),
+       .txclk(clk64),.txstrobe(strobe_interp),
+       .clear_status(clear_status),
+       .tx_empty(tx_empty),
+          .rx_WR(rx_WR),
+          .rx_databus(rx_databus), 
+          .rx_WR_done(rx_WR_done),
+          .rx_WR_enabled(rx_WR_enabled),
+          .reg_addr(reg_addr),
+          .reg_data_out(reg_data_out),
+          .reg_data_in(reg_data_in),
+          .reg_io_enable(reg_io_enable),
+          .debugbus(rx_debugbus),
+          .rssi_0(rssi_0), .rssi_1(rssi_1), .rssi_2(rssi_2), 
+       .rssi_3(rssi_3), .threshhold(rssi_threshhold), .rssi_wait(rssi_wait),
+          .stop(stop), .stop_time(stop_time));
+
+  `ifdef TX_DUAL
+    defparam tx_buffer.NUM_CHAN=2;
+  `endif
+
+`else
+   tx_buffer tx_buffer
+     ( .usbclk(usbclk),.bus_reset(tx_bus_reset),.reset(tx_dsp_reset),
+       .usbdata(usbdata),.WR(WR),.have_space(have_space),.tx_underrun(tx_underrun),
+       .channels({tx_numchan,1'b0}),
+       .tx_i_0(ch0tx),.tx_q_0(ch1tx),
+       .tx_i_1(ch2tx),.tx_q_1(ch3tx),
+       .tx_i_2(),.tx_q_2(),
+       .tx_i_3(),.tx_q_3(),
+       .txclk(clk64),.txstrobe(strobe_interp),
+       .clear_status(clear_status),
+       .tx_empty(tx_empty));
+`endif
+
+ `ifdef TX_EN_0
+   tx_chain tx_chain_0
+     ( .clock(clk64),.reset(tx_dsp_reset),.enable(enable_tx),
+       .interp_rate(interp_rate),.sample_strobe(tx_sample_strobe),
+       .interpolator_strobe(strobe_interp),.freq(),
+       .i_in(bb_tx_i0),.q_in(bb_tx_q0),.i_out(i_out_0),.q_out(q_out_0) );
+ `else
+   assign      i_out_0=16'd0;
+   assign      q_out_0=16'd0;
+ `endif
+
+ `ifdef TX_EN_1
+   tx_chain tx_chain_1
+     ( .clock(clk64),.reset(tx_dsp_reset),.enable(enable_tx),
+       .interp_rate(interp_rate),.sample_strobe(tx_sample_strobe),
+       .interpolator_strobe(strobe_interp),.freq(),
+       .i_in(bb_tx_i1),.q_in(bb_tx_q1),.i_out(i_out_1),.q_out(q_out_1) );
+ `else
+   assign      i_out_1=16'd0;
+   assign      q_out_1=16'd0;
+ `endif
+
+   setting_reg #(`FR_TX_MUX) 
+     sr_txmux(.clock(clk64),.reset(tx_dsp_reset),.strobe(serial_strobe),.addr(serial_addr),.in(serial_data),
+             .out({dac3mux,dac2mux,dac1mux,dac0mux,tx_realsignals,tx_numchan}));
+   
+   wire [15:0] tx_a_a = dac0mux[3] ? (dac0mux[1] ? (dac0mux[0] ? q_out_1 : i_out_1) : (dac0mux[0] ? q_out_0 : i_out_0)) : 16'b0;
+   wire [15:0] tx_b_a = dac1mux[3] ? (dac1mux[1] ? (dac1mux[0] ? q_out_1 : i_out_1) : (dac1mux[0] ? q_out_0 : i_out_0)) : 16'b0;
+   wire [15:0] tx_a_b = dac2mux[3] ? (dac2mux[1] ? (dac2mux[0] ? q_out_1 : i_out_1) : (dac2mux[0] ? q_out_0 : i_out_0)) : 16'b0;
+   wire [15:0] tx_b_b = dac3mux[3] ? (dac3mux[1] ? (dac3mux[0] ? q_out_1 : i_out_1) : (dac3mux[0] ? q_out_0 : i_out_0)) : 16'b0;
+
+   wire txsync = tx_sample_strobe;
+   assign TXSYNC_A = txsync;
+   assign TXSYNC_B = txsync;
+
+   assign tx_a = txsync ? tx_b_a[15:2] : tx_a_a[15:2];
+   assign tx_b = txsync ? tx_b_b[15:2] : tx_a_b[15:2];
+`endif //  `ifdef TX_ON
+   
+   /////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////
+   // Receive Side
+`ifdef RX_ON
+   wire        rx_sample_strobe,strobe_decim,hb_strobe;
+   wire [15:0] bb_rx_i0,bb_rx_q0,bb_rx_i1,bb_rx_q1,
+              bb_rx_i2,bb_rx_q2,bb_rx_i3,bb_rx_q3;
+
+   wire loopback = settings[0];
+   wire counter = settings[1];
+
+   always @(posedge clk64)
+     if(rx_dsp_reset)
+       debug_counter <= #1 16'd0;
+     else if(~enable_rx)
+       debug_counter <= #1 16'd0;
+     else if(hb_strobe)
+       debug_counter <=#1 debug_counter + 16'd2;
+   
+   always @(posedge clk64)
+     if(strobe_interp)
+       begin
+         loopback_i_0 <= #1 ch0tx;
+         loopback_q_0 <= #1 ch1tx;
+       end
+   
+   assign ch0rx = counter ? debug_counter : loopback ? loopback_i_0 : bb_rx_i0;
+   assign ch1rx = counter ? debug_counter + 16'd1 : loopback ? loopback_q_0 : bb_rx_q0;
+   assign ch2rx = bb_rx_i1;
+   assign ch3rx = bb_rx_q1;
+   assign ch4rx = bb_rx_i2;
+   assign ch5rx = bb_rx_q2;
+   assign ch6rx = bb_rx_i3;
+   assign ch7rx = bb_rx_q3;
+
+   wire [15:0] ddc0_in_i,ddc0_in_q,ddc1_in_i,ddc1_in_q,ddc2_in_i,ddc2_in_q,ddc3_in_i,ddc3_in_q;
+   wire [31:0] rssi_0,rssi_1,rssi_2,rssi_3;
+   adc_interface adc_interface(.clock(clk64),.reset(rx_dsp_reset),.enable(1'b1),
+                              .serial_addr(serial_addr),.serial_data(serial_data),.serial_strobe(serial_strobe),
+                              .rx_a_a(rx_a_a),.rx_b_a(rx_b_a),.rx_a_b(rx_a_b),.rx_b_b(rx_b_b),
+                              .rssi_0(rssi_0),.rssi_1(rssi_1),.rssi_2(rssi_2),.rssi_3(rssi_3),
+                              .ddc0_in_i(ddc0_in_i),.ddc0_in_q(ddc0_in_q),
+                              .ddc1_in_i(ddc1_in_i),.ddc1_in_q(ddc1_in_q),
+                              .ddc2_in_i(ddc2_in_i),.ddc2_in_q(ddc2_in_q),
+                              .ddc3_in_i(ddc3_in_i),.ddc3_in_q(ddc3_in_q),.rx_numchan(rx_numchan));
+   `ifdef RX_IN_BAND
+   rx_buffer_inband rx_buffer
+     ( .usbclk(usbclk),.bus_reset(rx_bus_reset),.reset(rx_dsp_reset),
+       .reset_regs(rx_dsp_reset),
+       .usbdata(usbdata_out),.RD(RD),.have_pkt_rdy(have_pkt_rdy),.rx_overrun(rx_overrun),
+       .channels(rx_numchan),
+       .ch_0(ch0rx),.ch_1(ch1rx),
+       .ch_2(ch2rx),.ch_3(ch3rx),
+       .ch_4(ch4rx),.ch_5(ch5rx),
+       .ch_6(ch6rx),.ch_7(ch7rx),
+       .rxclk(clk64),.rxstrobe(hb_strobe),
+       .clear_status(clear_status),
+          .rx_WR(rx_WR),
+          .rx_databus(rx_databus),
+          .rx_WR_done(rx_WR_done),
+          .rx_WR_enabled(rx_WR_enabled),
+          .debugbus(tx_debugbus),
+          .rssi_0(rssi_0), .rssi_1(rssi_1), .rssi_2(rssi_2), .rssi_3(rssi_3),
+          .tx_underrun(tx_underrun));
+    
+    `ifdef RX_DUAL
+      defparam rx_buffer.NUM_CHAN=2;
+    `endif
+
+   `else
+   rx_buffer rx_buffer
+     ( .usbclk(usbclk),.bus_reset(rx_bus_reset),.reset(rx_dsp_reset),
+       .reset_regs(rx_dsp_reset),
+       .usbdata(usbdata_out),.RD(RD),.have_pkt_rdy(have_pkt_rdy),.rx_overrun(rx_overrun),
+       .channels(rx_numchan),
+       .ch_0(ch0rx),.ch_1(ch1rx),
+       .ch_2(ch2rx),.ch_3(ch3rx),
+       .ch_4(ch4rx),.ch_5(ch5rx),
+       .ch_6(ch6rx),.ch_7(ch7rx),
+       .rxclk(clk64),.rxstrobe(hb_strobe),
+       .clear_status(clear_status),
+       .serial_addr(serial_addr),.serial_data(serial_data),.serial_strobe(serial_strobe));
+   `endif
+   
+ `ifdef RX_EN_0
+   rx_chain #(`FR_RX_FREQ_0,`FR_RX_PHASE_0) rx_chain_0
+     ( .clock(clk64),.reset(1'b0),.enable(enable_rx),
+       .decim_rate(decim_rate),.sample_strobe(rx_sample_strobe),.decimator_strobe(strobe_decim),.hb_strobe(hb_strobe),
+       .serial_addr(serial_addr),.serial_data(serial_data),.serial_strobe(serial_strobe),
+       .i_in(ddc0_in_i),.q_in(ddc0_in_q),.i_out(bb_rx_i0),.q_out(bb_rx_q0),.debugdata(debugdata),.debugctrl(debugctrl));
+ `else
+   assign      bb_rx_i0=16'd0;
+   assign      bb_rx_q0=16'd0;
+ `endif
+   
+ `ifdef RX_EN_1
+   rx_chain #(`FR_RX_FREQ_1,`FR_RX_PHASE_1) rx_chain_1
+     ( .clock(clk64),.reset(1'b0),.enable(enable_rx),
+       .decim_rate(decim_rate),.sample_strobe(rx_sample_strobe),.decimator_strobe(strobe_decim),.hb_strobe(),
+       .serial_addr(serial_addr),.serial_data(serial_data),.serial_strobe(serial_strobe),
+       .i_in(ddc1_in_i),.q_in(ddc1_in_q),.i_out(bb_rx_i1),.q_out(bb_rx_q1));
+ `else
+   assign      bb_rx_i1=16'd0;
+   assign      bb_rx_q1=16'd0;
+ `endif
+   
+ `ifdef RX_EN_2
+   rx_chain #(`FR_RX_FREQ_2,`FR_RX_PHASE_2) rx_chain_2
+     ( .clock(clk64),.reset(1'b0),.enable(enable_rx),
+       .decim_rate(decim_rate),.sample_strobe(rx_sample_strobe),.decimator_strobe(strobe_decim),.hb_strobe(),
+       .serial_addr(serial_addr),.serial_data(serial_data),.serial_strobe(serial_strobe),
+       .i_in(ddc2_in_i),.q_in(ddc2_in_q),.i_out(bb_rx_i2),.q_out(bb_rx_q2));
+ `else
+   assign      bb_rx_i2=16'd0;
+   assign      bb_rx_q2=16'd0;
+ `endif
+
+ `ifdef RX_EN_3
+   rx_chain #(`FR_RX_FREQ_3,`FR_RX_PHASE_3) rx_chain_3
+     ( .clock(clk64),.reset(1'b0),.enable(enable_rx),
+       .decim_rate(decim_rate),.sample_strobe(rx_sample_strobe),.decimator_strobe(strobe_decim),.hb_strobe(),
+       .serial_addr(serial_addr),.serial_data(serial_data),.serial_strobe(serial_strobe),
+       .i_in(ddc3_in_i),.q_in(ddc3_in_q),.i_out(bb_rx_i3),.q_out(bb_rx_q3));
+ `else
+   assign      bb_rx_i3=16'd0;
+   assign      bb_rx_q3=16'd0;
+ `endif
+
+`endif //  `ifdef RX_ON
+   
+   ///////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////
+   // Control Functions
+
+   wire [31:0] capabilities;
+   assign      capabilities[7] =   `TX_CAP_HB;
+   assign      capabilities[6:4] = `TX_CAP_NCHAN;
+   assign      capabilities[3] =   `RX_CAP_HB;
+   assign      capabilities[2:0] = `RX_CAP_NCHAN;
+
+   serial_io serial_io
+     ( .master_clk(clk64),.serial_clock(SCLK),.serial_data_in(SDI),
+       .enable(SEN_FPGA),.reset(1'b0),.serial_data_out(SDO),
+       .serial_addr(addr_db),.serial_data(data_db),.serial_strobe(strobe_db),
+       .readback_0({io_rx_a,io_tx_a}),.readback_1({io_rx_b,io_tx_b}),.readback_2(capabilities),.readback_3(32'hf0f0931a),
+       .readback_4(rssi_0),.readback_5(rssi_1),.readback_6(rssi_2),.readback_7(rssi_3)
+       );
+
+   wire [6:0] reg_addr;
+   wire [31:0] reg_data_out;
+   wire [31:0] reg_data_in;
+   wire [1:0] reg_io_enable;
+   wire [31:0] rssi_threshhold;
+   wire [31:0] rssi_wait;
+   wire [6:0] addr_wr;
+   wire [31:0] data_wr;
+   wire strobe_wr;
+   wire [6:0] addr_db;
+   wire [31:0] data_db;
+   wire strobe_db;
+   assign serial_strobe = strobe_db | strobe_wr;
+   assign serial_addr = (strobe_db)? (addr_db) : (addr_wr);
+   assign serial_data = (strobe_db)? (data_db) : (data_wr);    
+   //assign serial_strobe = strobe_wr;
+   //assign serial_data = data_wr;
+   //assign serial_addr = addr_wr;
+
+   register_io register_control
+    (.clk(clk64),.reset(1'b0),.enable(reg_io_enable),.addr(reg_addr),.datain(reg_data_in),
+     .dataout(reg_data_out), .addr_wr(addr_wr), .data_wr(data_wr), .strobe_wr(strobe_wr),
+     .rssi_0(rssi_0), .rssi_1(rssi_1), .rssi_2(rssi_2), 
+     .rssi_3(rssi_3), .threshhold(rssi_threshhold), .rssi_wait(rssi_wait),
+        .reg_0(reg_0),.reg_1(reg_1),.reg_2(reg_2),.reg_3(reg_3),
+     .debug_en(debug_en), .misc(settings), 
+        .txmux({dac3mux,dac2mux,dac1mux,dac0mux,tx_realsignals,tx_numchan}));
+   
+   
+   //implementing freeze mode
+   reg [15:0] timestop;
+   wire stop;
+   wire [15:0] stop_time;
+   assign      clk64 = (timestop == 0) ? master_clk : 0;
+   always @(posedge master_clk)
+               if (timestop[15:0] != 0)
+                       timestop <= timestop - 16'd1;
+               else if (stop)
+                       timestop <= stop_time;
+                                               
+
+   wire [15:0] reg_0,reg_1,reg_2,reg_3;
+   master_control master_control
+     ( .master_clk(clk64),.usbclk(usbclk),
+       .serial_addr(serial_addr),.serial_data(serial_data),.serial_strobe(serial_strobe),
+       .tx_bus_reset(tx_bus_reset),.rx_bus_reset(rx_bus_reset),
+       .tx_dsp_reset(tx_dsp_reset),.rx_dsp_reset(rx_dsp_reset),
+       .enable_tx(enable_tx),.enable_rx(enable_rx),
+       .interp_rate(interp_rate),.decim_rate(decim_rate),
+       .tx_sample_strobe(tx_sample_strobe),.strobe_interp(strobe_interp),
+       .rx_sample_strobe(rx_sample_strobe),.strobe_decim(strobe_decim),
+       .tx_empty(tx_empty),
+       //.debug_0(rx_a_a),.debug_1(ddc0_in_i),
+       .debug_0(rx_debugbus),.debug_1(ddc0_in_i),
+       .debug_2({rx_sample_strobe,strobe_decim,serial_strobe,serial_addr}),.debug_3({rx_dsp_reset,tx_dsp_reset,rx_bus_reset,tx_bus_reset,enable_rx,tx_underrun,rx_overrun,decim_rate}),
+       .reg_0(reg_0),.reg_1(reg_1),.reg_2(reg_2),.reg_3(reg_3) );
+   
+   io_pins io_pins
+     (.io_0(io_tx_a),.io_1(io_rx_a),.io_2(io_tx_b),.io_3(io_rx_b),
+      .reg_0(reg_0),.reg_1(reg_1),.reg_2(reg_2),.reg_3(reg_3),
+      .clock(clk64),.rx_reset(rx_dsp_reset),.tx_reset(tx_dsp_reset),
+      .serial_addr(serial_addr),.serial_data(serial_data),.serial_strobe(serial_strobe));
+   
+   ////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////
+   // Misc Settings
+   setting_reg #(`FR_MODE) sr_misc(.clock(clk64),.reset(rx_dsp_reset),.strobe(serial_strobe),.addr(serial_addr),.in(serial_data),.out(settings));
+
+endmodule // usrp_inband_usb
diff --git a/usrp/fpga/toplevel/usrp_multi/config.vh b/usrp/fpga/toplevel/usrp_multi/config.vh
new file mode 100644 (file)
index 0000000..07011bd
--- /dev/null
@@ -0,0 +1,62 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2006,2007 Matt Ettus
+//  Copyright (C) 2006 Martin Dudok van Heel
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+// ====================================================================
+//            User control over what parts get included
+//
+//                  >>>> EDIT ONLY THIS SECTION <<<<
+//                  Uncomment only ONE configuration
+// ====================================================================
+
+// ====================================================================
+// FIXME drive configuration selection from the command line and/or gui
+// ====================================================================
+
+`define MULTI_ON               // enable multi usrp configuration
+
+// Uncomment this for 1 rx channel (w/ halfband) & 1 transmit channel
+//`include "../include/common_config_1rxhb_1tx.vh"
+
+// Uncomment this for multi with 2 rx channels (w/ halfband) & 2 transmit channels
+`include "../include/common_config_2rxhb_2tx.vh"
+
+// Uncomment this for multi with 4 rx channels (w/o halfband) & 0 transmit channels
+//`include "../include/common_config_4rx_0tx.vh"
+
+// Uncomment this for multi with 2 rx channels (w/ halfband) & 0 transmit channels
+//`include "../include/common_config_2rxhb_0tx.vh"
+
+// Uncomment this for multi with 2 rx channels (w/o halfband) & 0 transmit channels
+//`include "../include/common_config_2rx_0tx.vh"
+
+
+// Add other "known to fit" configurations here...
+
+// ====================================================================
+//  Now include the common footer
+// ====================================================================
+
+`ifdef MULTI_ON
+  `define COUNTER_32BIT_ON
+`endif
+
+`include "../include/common_config_bottom.vh"
diff --git a/usrp/fpga/toplevel/usrp_multi/usrp_multi.csf b/usrp/fpga/toplevel/usrp_multi/usrp_multi.csf
new file mode 100644 (file)
index 0000000..2f5df2b
--- /dev/null
@@ -0,0 +1,444 @@
+COMPILER_SETTINGS
+{
+       IO_PLACEMENT_OPTIMIZATION = OFF;
+       ENABLE_DRC_SETTINGS = OFF;
+       PHYSICAL_SYNTHESIS_REGISTER_RETIMING = OFF;
+       PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION = OFF;
+       PHYSICAL_SYNTHESIS_COMBO_LOGIC = OFF;
+       DRC_FANOUT_EXCEEDING = 30;
+       DRC_REPORT_FANOUT_EXCEEDING = OFF;
+       DRC_TOP_FANOUT = 50;
+       DRC_REPORT_TOP_FANOUT = OFF;
+       RUN_DRC_DURING_COMPILATION = OFF;
+       ADV_NETLIST_OPT_RETIME_CORE_AND_IO = ON;
+       ADV_NETLIST_OPT_SYNTH_USE_FITTER_INFO = OFF;
+       ADV_NETLIST_OPT_SYNTH_GATE_RETIME = OFF;
+       ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP = OFF;
+       SMART_COMPILE_IGNORES_TDC_FOR_STRATIX_PLL_CHANGES = OFF;
+       MERGE_HEX_FILE = OFF;
+       TRUE_WYSIWYG_FLOW = OFF;
+       SEED = 1;
+       FINAL_PLACEMENT_OPTIMIZATION = AUTOMATICALLY;
+       FAMILY = Cyclone;
+       DPRAM_DUAL_PORT_MODE_OTHER_SIGNALS_EPXA1 = "DPRAM0 TO 1 DPRAM1 TO 2";
+       DPRAM_32BIT_SINGLE_PORT_MODE_OTHER_SIGNALS_EPXA1 = "MEGALAB COLUMN 1";
+       DPRAM_8BIT_16BIT_SINGLE_PORT_MODE_OTHER_SIGNALS_EPXA1 = "MEGALAB COLUMN 1";
+       DPRAM_DUAL_PORT_MODE_OUTPUT_EPXA1 = "DPRAM0 TO 1 DPRAM1 TO 2";
+       DPRAM_32BIT_SINGLE_PORT_MODE_OUTPUT_EPXA1 = "LOWER TO 1ESB UPPER TO 1";
+       DPRAM_8BIT_16BIT_SINGLE_PORT_MODE_OUTPUT_EPXA1 = "MEGALAB COLUMN 1";
+       DPRAM_DUAL_PORT_MODE_INPUT_EPXA1 = "DPRAM0 TO 1 DPRAM1 TO 2";
+       DPRAM_32BIT_SINGLE_PORT_MODE_INPUT_EPXA1 = "MEGALAB COLUMN 1";
+       DPRAM_8BIT_16BIT_SINGLE_PORT_MODE_INPUT_EPXA1 = "MEGALAB COLUMN 1";
+       DPRAM_DUAL_PORT_MODE_OTHER_SIGNALS_EPXA4_10 = "DPRAM0 TO 3 DPRAM1 TO 4";
+       DPRAM_SINGLE_PORT_MODE_OTHER_SIGNALS_EPXA4_10 = "DPRAM0 TO 3 DPRAM1 TO 4";
+       DPRAM_WIDE_MODE_OTHER_SIGNALS_EPXA4_10 = "MEGALAB COLUMN 3";
+       DPRAM_DEEP_MODE_OTHER_SIGNALS_EPXA4_10 = "MEGALAB COLUMN 3";
+       DPRAM_DUAL_PORT_MODE_OUTPUT_EPXA4_10 = "DPRAM0 TO 3 DPRAM1 TO 4ESB";
+       DPRAM_SINGLE_PORT_MODE_OUTPUT_EPXA4_10 = "DPRAM0 TO 3 DPRAM1 TO 4ESB";
+       DPRAM_WIDE_MODE_OUTPUT_EPXA4_10 = "LOWER TO 3 UPPER TO 4ESB";
+       DPRAM_DEEP_MODE_OUTPUT_EPXA4_10 = "MEGALAB COLUMN 3";
+       DPRAM_DUAL_PORT_MODE_INPUT_EPXA4_10 = "DPRAM0 TO 3 DPRAM1 TO 4";
+       DPRAM_SINGLE_PORT_MODE_INPUT_EPXA4_10 = "DPRAM0 TO 3 DPRAM1 TO 4";
+       DPRAM_WIDE_MODE_INPUT_EPXA4_10 = "LOWER TO 3 UPPER TO 4";
+       DPRAM_DEEP_MODE_INPUT_EPXA4_10 = "MEGALAB COLUMN 3";
+       DPRAM_OTHER_SIGNALS_EPXA4_10 = "DEFAULT OTHER ROUTING OPTIONS";
+       DPRAM_OUTPUT_EPXA4_10 = "DEFAULT OUTPUT ROUTING OPTIONS";
+       DPRAM_INPUT_EPXA4_10 = "DEFAULT INPUT ROUTING OPTIONS";
+       STRIPE_TO_PLD_INTERRUPTS_EPXA4_10 = "MEGALAB COLUMN 2";
+       PLD_TO_STRIPE_INTERRUPTS_EPXA4_10 = "MEGALAB COLUMN 2";
+       PROCESSOR_DEBUG_EXTENSIONS_EPXA4_10 = "MEGALAB COLUMN 2";
+       STRIPE_TO_PLD_BRIDGE_EPXA4_10 = "MEGALAB COLUMN 1";
+       FAST_FIT_COMPILATION = OFF;
+       SIGNALPROBE_DURING_NORMAL_COMPILATION = OFF;
+       OPTIMIZE_IOC_REGISTER_PLACEMENT_FOR_TIMING = ON;
+       OPTIMIZE_TIMING = "NORMAL COMPILATION";
+       OPTIMIZE_HOLD_TIMING = OFF;
+       COMPILATION_LEVEL = FULL;
+       SAVE_DISK_SPACE = OFF;
+       SPEED_DISK_USAGE_TRADEOFF = NORMAL;
+       LOGICLOCK_INCREMENTAL_COMPILE_ASSIGNMENT = OFF;
+       SIGNALPROBE_ALLOW_OVERUSE = OFF;
+       FOCUS_ENTITY_NAME = |usrp_multi;
+       ROUTING_BACK_ANNOTATION_MODE = OFF;
+       INC_PLC_MODE = OFF;
+       FIT_ONLY_ONE_ATTEMPT = OFF;
+}
+DEFAULT_DEVICE_OPTIONS
+{
+       GENERATE_CONFIG_HEXOUT_FILE = OFF;
+       GENERATE_CONFIG_JBC_FILE_COMPRESSED = ON;
+       GENERATE_CONFIG_JBC_FILE = OFF;
+       GENERATE_CONFIG_JAM_FILE = OFF;
+       GENERATE_CONFIG_ISC_FILE = OFF;
+       GENERATE_CONFIG_SVF_FILE = OFF;
+       GENERATE_JBC_FILE_COMPRESSED = ON;
+       GENERATE_JBC_FILE = OFF;
+       GENERATE_JAM_FILE = OFF;
+       GENERATE_ISC_FILE = OFF;
+       GENERATE_SVF_FILE = OFF;
+       RESERVE_PIN = "AS INPUT TRI-STATED";
+       RESERVE_ALL_UNUSED_PINS = "AS OUTPUT DRIVING GROUND";
+       HEXOUT_FILE_COUNT_DIRECTION = UP;
+       HEXOUT_FILE_START_ADDRESS = 0;
+       GENERATE_HEX_FILE = OFF;
+       GENERATE_RBF_FILE = OFF;
+       GENERATE_TTF_FILE = OFF;
+       RESERVE_ASDO_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       RESERVE_DATA0_AFTER_CONFIGURATION = "AS INPUT TRI-STATED";
+       RESERVE_DATA7_THROUGH_DATA1_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       RESERVE_RDYNBUSY_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       RESERVE_NWS_NRS_NCS_CS_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       DISABLE_NCS_AND_OE_PULLUPS_ON_CONFIG_DEVICE = OFF;
+       AUTO_INCREMENT_CONFIG_DEVICE_JTAG_USER_CODE = ON;
+       EPROM_USE_CHECKSUM_AS_USERCODE = OFF;
+       FLEX10K_CONFIG_DEVICE_JTAG_USER_CODE = FFFFFFFF;
+       MERCURY_CONFIG_DEVICE_JTAG_USER_CODE = FFFFFFFF;
+       STRATIX_CONFIG_DEVICE_JTAG_USER_CODE = FFFFFFFF;
+       APEX20K_CONFIG_DEVICE_JTAG_USER_CODE = FFFFFFFF;
+       STRATIX_CONFIGURATION_DEVICE = AUTO;
+       CYCLONE_CONFIGURATION_DEVICE = AUTO;
+       FLEX10K_CONFIGURATION_DEVICE = AUTO;
+       FLEX6K_CONFIGURATION_DEVICE = AUTO;
+       MERCURY_CONFIGURATION_DEVICE = AUTO;
+       EXCALIBUR_CONFIGURATION_DEVICE = AUTO;
+       APEX20K_CONFIGURATION_DEVICE = AUTO;
+       USE_CONFIGURATION_DEVICE = ON;
+       ENABLE_INIT_DONE_OUTPUT = OFF;
+       FLEX10K_ENABLE_LOCK_OUTPUT = OFF;
+       ENABLE_DEVICE_WIDE_OE = OFF;
+       ENABLE_DEVICE_WIDE_RESET = OFF;
+       RELEASE_CLEARS_BEFORE_TRI_STATES = OFF;
+       AUTO_RESTART_CONFIGURATION = OFF;
+       ENABLE_VREFB_PIN = OFF;
+       ENABLE_VREFA_PIN = OFF;
+       SECURITY_BIT = OFF;
+       USER_START_UP_CLOCK = OFF;
+       APEXII_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       FLEX10K_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       FLEX6K_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       MERCURY_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       EXCALIBUR_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       CYCLONE_CONFIGURATION_SCHEME = "ACTIVE SERIAL";
+       STRATIX_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       APEX20K_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       STRATIX_UPDATE_MODE = STANDARD;
+       USE_CHECKSUM_AS_USERCODE = OFF;
+       MAX7000_USE_CHECKSUM_AS_USERCODE = OFF;
+       MAX7000_JTAG_USER_CODE = FFFFFFFF;
+       FLEX10K_JTAG_USER_CODE = 7F;
+       MERCURY_JTAG_USER_CODE = FFFFFFFF;
+       APEX20K_JTAG_USER_CODE = FFFFFFFF;
+       STRATIX_JTAG_USER_CODE = FFFFFFFF;
+       MAX7000S_JTAG_USER_CODE = FFFF;
+       RESERVE_NCEO_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       FLEX10K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE = ON;
+       FLEX6K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE = OFF;
+       ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE = ON;
+       MAX7000_ENABLE_JTAG_BST_SUPPORT = ON;
+       ENABLE_JTAG_BST_SUPPORT = OFF;
+       CONFIGURATION_CLOCK_DIVISOR = 1;
+       CONFIGURATION_CLOCK_FREQUENCY = "10 MHZ";
+       CLOCK_SOURCE = INTERNAL;
+       COMPRESSION_MODE = OFF;
+       ON_CHIP_BITSTREAM_DECOMPRESSION = OFF;
+}
+AUTO_SLD_HUB_ENTITY
+{
+       AUTO_INSERT_SLD_HUB_ENTITY = ENABLE;
+       HUB_INSTANCE_NAME = SLD_HUB_INST;
+       HUB_ENTITY_NAME = SLD_HUB;
+}
+SIGNALTAP_LOGIC_ANALYZER_SETTINGS
+{
+       ENABLE_SIGNALTAP = Off;
+       AUTO_ENABLE_SMART_COMPILE = On;
+}
+CHIP(usrp_multi)
+{
+       DEVICE = EP1C12Q240C8;
+       DEVICE_FILTER_PACKAGE = "ANY QFP";
+       DEVICE_FILTER_PIN_COUNT = 240;
+       DEVICE_FILTER_SPEED_GRADE = ANY;
+       AUTO_RESTART_CONFIGURATION = OFF;
+       RELEASE_CLEARS_BEFORE_TRI_STATES = OFF;
+       USER_START_UP_CLOCK = OFF;
+       ENABLE_DEVICE_WIDE_RESET = OFF;
+       ENABLE_DEVICE_WIDE_OE = OFF;
+       ENABLE_INIT_DONE_OUTPUT = OFF;
+       FLEX10K_ENABLE_LOCK_OUTPUT = OFF;
+       ENABLE_JTAG_BST_SUPPORT = OFF;
+       MAX7000_ENABLE_JTAG_BST_SUPPORT = ON;
+       APEX20K_JTAG_USER_CODE = FFFFFFFF;
+       MERCURY_JTAG_USER_CODE = FFFFFFFF;
+       FLEX10K_JTAG_USER_CODE = 7F;
+       MAX7000_JTAG_USER_CODE = FFFFFFFF;
+       MAX7000S_JTAG_USER_CODE = FFFF;
+       STRATIX_JTAG_USER_CODE = FFFFFFFF;
+       APEX20K_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       MERCURY_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       FLEX6K_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       FLEX10K_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       EXCALIBUR_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       APEXII_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       STRATIX_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       CYCLONE_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       USE_CONFIGURATION_DEVICE = OFF;
+       APEX20K_CONFIGURATION_DEVICE = AUTO;
+       MERCURY_CONFIGURATION_DEVICE = AUTO;
+       FLEX6K_CONFIGURATION_DEVICE = AUTO;
+       FLEX10K_CONFIGURATION_DEVICE = AUTO;
+       EXCALIBUR_CONFIGURATION_DEVICE = AUTO;
+       STRATIX_CONFIGURATION_DEVICE = AUTO;
+       CYCLONE_CONFIGURATION_DEVICE = AUTO;
+       STRATIX_UPDATE_MODE = STANDARD;
+       APEX20K_CONFIG_DEVICE_JTAG_USER_CODE = FFFFFFFF;
+       MERCURY_CONFIG_DEVICE_JTAG_USER_CODE = FFFFFFFF;
+       FLEX10K_CONFIG_DEVICE_JTAG_USER_CODE = FFFFFFFF;
+       STRATIX_CONFIG_DEVICE_JTAG_USER_CODE = FFFFFFFF;
+       AUTO_INCREMENT_CONFIG_DEVICE_JTAG_USER_CODE = ON;
+       DISABLE_NCS_AND_OE_PULLUPS_ON_CONFIG_DEVICE = OFF;
+       COMPRESSION_MODE = OFF;
+       ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE = ON;
+       FLEX6K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE = OFF;
+       FLEX10K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE = ON;
+       EPROM_USE_CHECKSUM_AS_USERCODE = OFF;
+       USE_CHECKSUM_AS_USERCODE = OFF;
+       MAX7000_USE_CHECKSUM_AS_USERCODE = OFF;
+       GENERATE_TTF_FILE = OFF;
+       GENERATE_RBF_FILE = ON;
+       GENERATE_HEX_FILE = OFF;
+       SECURITY_BIT = OFF;
+       ENABLE_VREFA_PIN = OFF;
+       ENABLE_VREFB_PIN = OFF;
+       GENERATE_SVF_FILE = OFF;
+       GENERATE_ISC_FILE = OFF;
+       GENERATE_JAM_FILE = OFF;
+       GENERATE_JBC_FILE = OFF;
+       GENERATE_JBC_FILE_COMPRESSED = ON;
+       GENERATE_CONFIG_SVF_FILE = OFF;
+       GENERATE_CONFIG_ISC_FILE = OFF;
+       GENERATE_CONFIG_JAM_FILE = OFF;
+       GENERATE_CONFIG_JBC_FILE = OFF;
+       GENERATE_CONFIG_JBC_FILE_COMPRESSED = ON;
+       GENERATE_CONFIG_HEXOUT_FILE = OFF;
+       ON_CHIP_BITSTREAM_DECOMPRESSION = OFF;
+       BASE_PIN_OUT_FILE_ON_SAMEFRAME_DEVICE = OFF;
+       HEXOUT_FILE_START_ADDRESS = 0;
+       HEXOUT_FILE_COUNT_DIRECTION = UP;
+       RESERVE_ALL_UNUSED_PINS = "AS INPUT TRI-STATED";
+       STRATIX_DEVICE_IO_STANDARD = LVTTL;
+       CLOCK_SOURCE = INTERNAL;
+       CONFIGURATION_CLOCK_FREQUENCY = "10 MHZ";
+       CONFIGURATION_CLOCK_DIVISOR = 1;
+       RESERVE_NWS_NRS_NCS_CS_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       RESERVE_RDYNBUSY_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       RESERVE_DATA7_THROUGH_DATA1_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       RESERVE_DATA0_AFTER_CONFIGURATION = "AS INPUT TRI-STATED";
+       RESERVE_NCEO_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       RESERVE_ASDO_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       SCLK : LOCATION = Pin_101;
+       SDI : LOCATION = Pin_100;
+       SEN : LOCATION = Pin_98;
+       SLD : LOCATION = Pin_95;
+       adc1_data[0] : LOCATION = Pin_5;
+       adc1_data[10] : LOCATION = Pin_235;
+       adc1_data[11] : LOCATION = Pin_234;
+       adc1_data[1] : LOCATION = Pin_4;
+       adc1_data[2] : LOCATION = Pin_3;
+       adc1_data[3] : LOCATION = Pin_2;
+       adc1_data[4] : LOCATION = Pin_1;
+       adc1_data[4] : IO_STANDARD = LVTTL;
+       adc1_data[5] : LOCATION = Pin_240;
+       adc1_data[6] : LOCATION = Pin_239;
+       adc1_data[7] : LOCATION = Pin_238;
+       adc1_data[8] : LOCATION = Pin_237;
+       adc1_data[9] : LOCATION = Pin_236;
+       adc2_data[0] : LOCATION = Pin_20;
+       adc2_data[10] : LOCATION = Pin_8;
+       adc2_data[11] : LOCATION = Pin_7;
+       adc2_data[1] : LOCATION = Pin_19;
+       adc2_data[2] : LOCATION = Pin_18;
+       adc2_data[3] : LOCATION = Pin_17;
+       adc2_data[4] : LOCATION = Pin_16;
+       adc2_data[5] : LOCATION = Pin_15;
+       adc2_data[6] : LOCATION = Pin_14;
+       adc2_data[7] : LOCATION = Pin_13;
+       adc2_data[8] : LOCATION = Pin_12;
+       adc2_data[9] : LOCATION = Pin_11;
+       adc3_data[0] : LOCATION = Pin_200;
+       adc3_data[10] : LOCATION = Pin_184;
+       adc3_data[11] : LOCATION = Pin_183;
+       adc3_data[1] : LOCATION = Pin_197;
+       adc3_data[2] : LOCATION = Pin_196;
+       adc3_data[3] : LOCATION = Pin_195;
+       adc3_data[4] : LOCATION = Pin_194;
+       adc3_data[5] : LOCATION = Pin_193;
+       adc3_data[6] : LOCATION = Pin_188;
+       adc3_data[7] : LOCATION = Pin_187;
+       adc3_data[8] : LOCATION = Pin_186;
+       adc3_data[9] : LOCATION = Pin_185;
+       adc4_data[0] : LOCATION = Pin_222;
+       adc4_data[10] : LOCATION = Pin_203;
+       adc4_data[11] : LOCATION = Pin_202;
+       adc4_data[1] : LOCATION = Pin_219;
+       adc4_data[2] : LOCATION = Pin_217;
+       adc4_data[3] : LOCATION = Pin_216;
+       adc4_data[4] : LOCATION = Pin_215;
+       adc4_data[5] : LOCATION = Pin_214;
+       adc4_data[6] : LOCATION = Pin_213;
+       adc4_data[7] : LOCATION = Pin_208;
+       adc4_data[8] : LOCATION = Pin_207;
+       adc4_data[9] : LOCATION = Pin_206;
+       adc_oeb[0] : LOCATION = Pin_228;
+       adc_oeb[1] : LOCATION = Pin_21;
+       adc_oeb[2] : LOCATION = Pin_181;
+       adc_oeb[3] : LOCATION = Pin_218;
+       adc_otr[0] : LOCATION = Pin_233;
+       adc_otr[1] : LOCATION = Pin_6;
+       adc_otr[2] : LOCATION = Pin_182;
+       adc_otr[3] : LOCATION = Pin_201;
+       adclk0 : LOCATION = Pin_224;
+       adclk1 : LOCATION = Pin_226;
+       clk0 : LOCATION = Pin_28;
+       clk0 : RESERVE_PIN = "AS INPUT TRI-STATED";
+       clk0 : IO_STANDARD = LVTTL;
+       clk1 : LOCATION = Pin_29;
+       clk1 : RESERVE_PIN = "AS INPUT TRI-STATED";
+       clk1 : IO_STANDARD = LVTTL;
+       clk3 : LOCATION = Pin_152;
+       clk3 : RESERVE_PIN = "AS INPUT TRI-STATED";
+       clk3 : IO_STANDARD = LVTTL;
+       clk_120mhz : LOCATION = Pin_153;
+       clk_120mhz : IO_STANDARD = LVTTL;
+       clk_out : LOCATION = Pin_63;
+       clk_out : IO_STANDARD = LVTTL;
+       dac1_data[0] : LOCATION = Pin_165;
+       dac1_data[10] : LOCATION = Pin_177;
+       dac1_data[11] : LOCATION = Pin_178;
+       dac1_data[12] : LOCATION = Pin_179;
+       dac1_data[13] : LOCATION = Pin_180;
+       dac1_data[1] : LOCATION = Pin_166;
+       dac1_data[2] : LOCATION = Pin_167;
+       dac1_data[3] : LOCATION = Pin_168;
+       dac1_data[4] : LOCATION = Pin_169;
+       dac1_data[5] : LOCATION = Pin_170;
+       dac1_data[6] : LOCATION = Pin_173;
+       dac1_data[7] : LOCATION = Pin_174;
+       dac1_data[8] : LOCATION = Pin_175;
+       dac1_data[9] : LOCATION = Pin_176;
+       dac2_data[0] : LOCATION = Pin_159;
+       dac2_data[10] : LOCATION = Pin_163;
+       dac2_data[11] : LOCATION = Pin_139;
+       dac2_data[12] : LOCATION = Pin_164;
+       dac2_data[13] : LOCATION = Pin_138;
+       dac2_data[1] : LOCATION = Pin_158;
+       dac2_data[2] : LOCATION = Pin_160;
+       dac2_data[3] : LOCATION = Pin_156;
+       dac2_data[4] : LOCATION = Pin_161;
+       dac2_data[5] : LOCATION = Pin_144;
+       dac2_data[6] : LOCATION = Pin_162;
+       dac2_data[7] : LOCATION = Pin_141;
+       dac2_data[8] : LOCATION = Pin_143;
+       dac2_data[9] : LOCATION = Pin_140;
+       dac3_data[0] : LOCATION = Pin_122;
+       dac3_data[10] : LOCATION = Pin_134;
+       dac3_data[11] : LOCATION = Pin_135;
+       dac3_data[12] : LOCATION = Pin_136;
+       dac3_data[13] : LOCATION = Pin_137;
+       dac3_data[1] : LOCATION = Pin_123;
+       dac3_data[2] : LOCATION = Pin_124;
+       dac3_data[3] : LOCATION = Pin_125;
+       dac3_data[4] : LOCATION = Pin_126;
+       dac3_data[5] : LOCATION = Pin_127;
+       dac3_data[6] : LOCATION = Pin_128;
+       dac3_data[7] : LOCATION = Pin_131;
+       dac3_data[8] : LOCATION = Pin_132;
+       dac3_data[9] : LOCATION = Pin_133;
+       dac4_data[0] : LOCATION = Pin_104;
+       dac4_data[10] : LOCATION = Pin_118;
+       dac4_data[11] : LOCATION = Pin_119;
+       dac4_data[12] : LOCATION = Pin_120;
+       dac4_data[13] : LOCATION = Pin_121;
+       dac4_data[1] : LOCATION = Pin_105;
+       dac4_data[2] : LOCATION = Pin_106;
+       dac4_data[3] : LOCATION = Pin_107;
+       dac4_data[4] : LOCATION = Pin_108;
+       dac4_data[5] : LOCATION = Pin_113;
+       dac4_data[6] : LOCATION = Pin_114;
+       dac4_data[7] : LOCATION = Pin_115;
+       dac4_data[8] : LOCATION = Pin_116;
+       dac4_data[9] : LOCATION = Pin_117;
+       enable_rx : LOCATION = Pin_88;
+       enable_tx : LOCATION = Pin_93;
+       gndbus[0] : LOCATION = Pin_223;
+       gndbus[0] : RESERVE_PIN = "AS INPUT TRI-STATED";
+       gndbus[0] : IO_STANDARD = LVTTL;
+       gndbus[1] : LOCATION = Pin_225;
+       gndbus[1] : RESERVE_PIN = "AS INPUT TRI-STATED";
+       gndbus[1] : IO_STANDARD = LVTTL;
+       gndbus[2] : LOCATION = Pin_227;
+       gndbus[2] : RESERVE_PIN = "AS INPUT TRI-STATED";
+       gndbus[2] : IO_STANDARD = LVTTL;
+       gndbus[3] : LOCATION = Pin_62;
+       gndbus[3] : RESERVE_PIN = "AS INPUT TRI-STATED";
+       gndbus[3] : IO_STANDARD = LVTTL;
+       gndbus[4] : LOCATION = Pin_64;
+       gndbus[4] : RESERVE_PIN = "AS INPUT TRI-STATED";
+       gndbus[4] : IO_STANDARD = LVTTL;
+       misc_pins[0] : LOCATION = Pin_87;
+       misc_pins[0] : IO_STANDARD = LVTTL;
+       misc_pins[10] : LOCATION = Pin_76;
+       misc_pins[10] : IO_STANDARD = LVTTL;
+       misc_pins[11] : LOCATION = Pin_74;
+       misc_pins[11] : IO_STANDARD = LVTTL;
+       misc_pins[1] : LOCATION = Pin_86;
+       misc_pins[1] : IO_STANDARD = LVTTL;
+       misc_pins[2] : LOCATION = Pin_85;
+       misc_pins[2] : IO_STANDARD = LVTTL;
+       misc_pins[3] : LOCATION = Pin_84;
+       misc_pins[3] : IO_STANDARD = LVTTL;
+       misc_pins[4] : LOCATION = Pin_83;
+       misc_pins[4] : IO_STANDARD = LVTTL;
+       misc_pins[5] : LOCATION = Pin_82;
+       misc_pins[5] : IO_STANDARD = LVTTL;
+       misc_pins[6] : LOCATION = Pin_79;
+       misc_pins[6] : IO_STANDARD = LVTTL;
+       misc_pins[7] : LOCATION = Pin_78;
+       misc_pins[7] : IO_STANDARD = LVTTL;
+       misc_pins[8] : LOCATION = Pin_77;
+       misc_pins[8] : IO_STANDARD = LVTTL;
+       misc_pins[9] : LOCATION = Pin_75;
+       misc_pins[9] : IO_STANDARD = LVTTL;
+       reset : LOCATION = Pin_94;
+       usbclk : LOCATION = Pin_55;
+       usbctl[0] : LOCATION = Pin_56;
+       usbctl[1] : LOCATION = Pin_54;
+       usbctl[2] : LOCATION = Pin_53;
+       usbctl[3] : LOCATION = Pin_58;
+       usbctl[4] : LOCATION = Pin_57;
+       usbctl[5] : LOCATION = Pin_44;
+       usbdata[0] : LOCATION = Pin_73;
+       usbdata[10] : LOCATION = Pin_41;
+       usbdata[11] : LOCATION = Pin_39;
+       usbdata[12] : LOCATION = Pin_38;
+       usbdata[12] : IO_STANDARD = LVTTL;
+       usbdata[13] : LOCATION = Pin_37;
+       usbdata[14] : LOCATION = Pin_24;
+       usbdata[15] : LOCATION = Pin_23;
+       usbdata[1] : LOCATION = Pin_68;
+       usbdata[2] : LOCATION = Pin_67;
+       usbdata[3] : LOCATION = Pin_66;
+       usbdata[4] : LOCATION = Pin_65;
+       usbdata[5] : LOCATION = Pin_61;
+       usbdata[6] : LOCATION = Pin_60;
+       usbdata[7] : LOCATION = Pin_59;
+       usbdata[8] : LOCATION = Pin_43;
+       usbdata[9] : LOCATION = Pin_42;
+       usbrdy[0] : LOCATION = Pin_45;
+       usbrdy[1] : LOCATION = Pin_46;
+       usbrdy[2] : LOCATION = Pin_47;
+       usbrdy[3] : LOCATION = Pin_48;
+       usbrdy[4] : LOCATION = Pin_49;
+       usbrdy[5] : LOCATION = Pin_50;
+       clear_status : LOCATION = Pin_99;
+}
diff --git a/usrp/fpga/toplevel/usrp_multi/usrp_multi.esf b/usrp/fpga/toplevel/usrp_multi/usrp_multi.esf
new file mode 100644 (file)
index 0000000..df45f67
--- /dev/null
@@ -0,0 +1,14 @@
+SIMULATOR_SETTINGS
+{
+       ESTIMATE_POWER_CONSUMPTION = OFF;
+       GLITCH_INTERVAL = 1NS;
+       GLITCH_DETECTION = OFF;
+       SIMULATION_COVERAGE = ON;
+       CHECK_OUTPUTS = OFF;
+       SETUP_HOLD_DETECTION = OFF;
+       POWER_ESTIMATION_START_TIME = "0 NS";
+       ADD_DEFAULT_PINS_TO_SIMULATION_OUTPUT_WAVEFORMS = ON;
+       SIMULATION_MODE = TIMING;
+       START_TIME = 0NS;
+       USE_COMPILER_SETTINGS = usrp_multi;
+}
diff --git a/usrp/fpga/toplevel/usrp_multi/usrp_multi.psf b/usrp/fpga/toplevel/usrp_multi/usrp_multi.psf
new file mode 100644 (file)
index 0000000..68c2d12
--- /dev/null
@@ -0,0 +1,312 @@
+DEFAULT_DESIGN_ASSISTANT_SETTINGS
+{
+       HCPY_ALOAD_SIGNALS = OFF;
+       HCPY_VREF_PINS = OFF;
+       HCPY_CAT = OFF;
+       HCPY_ILLEGAL_HC_DEV_PKG = OFF;
+       ACLK_RULE_IMSZER_ADOMAIN = OFF;
+       ACLK_RULE_SZER_BTW_ACLK_DOMAIN = OFF;
+       ACLK_RULE_NO_SZER_ACLK_DOMAIN = OFF;
+       ACLK_CAT = OFF;
+       SIGNALRACE_RULE_ASYNCHPIN_SYNCH_CLKPIN = OFF;
+       SIGNALRACE_CAT = OFF;
+       NONSYNCHSTRUCT_RULE_LATCH_UNIDENTIFIED = OFF;
+       NONSYNCHSTRUCT_RULE_SRLATCH = OFF;
+       NONSYNCHSTRUCT_RULE_DLATCH = OFF;
+       NONSYNCHSTRUCT_RULE_MULTI_VIBRATOR = OFF;
+       NONSYNCHSTRUCT_RULE_ILLEGAL_PULSE_GEN = OFF;
+       NONSYNCHSTRUCT_RULE_RIPPLE_CLK = OFF;
+       NONSYNCHSTRUCT_RULE_DELAY_CHAIN = OFF;
+       NONSYNCHSTRUCT_RULE_REG_LOOP = OFF;
+       NONSYNCHSTRUCT_RULE_COMBLOOP = OFF;
+       NONSYNCHSTRUCT_CAT = OFF;
+       NONSYNCHSTRUCT_RULE_COMB_DRIVES_RAM_WE = OFF;
+       TIMING_RULE_COIN_CLKEDGE = OFF;
+       TIMING_RULE_SHIFT_REG = OFF;
+       TIMING_RULE_HIGH_FANOUTS = OFF;
+       TIMING_CAT = OFF;
+       RESET_RULE_ALL = OFF;
+       RESET_RULE_IMSYNCH_ASYNCH_DOMAIN = OFF;
+       RESET_RULE_UNSYNCH_ASYNCH_DOMAIN = OFF;
+       RESET_RULE_REG_ASNYCH = OFF;
+       RESET_RULE_COMB_ASYNCH_RESET = OFF;
+       RESET_RULE_IMSYNCH_EXRESET = OFF;
+       RESET_RULE_UNSYNCH_EXRESET = OFF;
+       RESET_RULE_INPINS_RESETNET = OFF;
+       RESET_CAT = OFF;
+       CLK_RULE_ALL = OFF;
+       CLK_RULE_MIX_EDGES = OFF;
+       CLK_RULE_CLKNET_CLKSPINES = OFF;
+       CLK_RULE_INPINS_CLKNET = OFF;
+       CLK_RULE_GATING_SCHEME = OFF;
+       CLK_RULE_INV_CLOCK = OFF;
+       CLK_RULE_COMB_CLOCK = OFF;
+       CLK_CAT = OFF;
+       HCPY_EXCEED_USER_IO_USAGE = OFF;
+       HCPY_EXCEED_RAM_USAGE = OFF;
+       NONSYNCHSTRUCT_RULE_ASYN_RAM = OFF;
+       SIGNALRACE_RULE_TRISTATE = OFF;
+       ASSG_RULE_MISSING_TIMING = OFF;
+       ASSG_RULE_MISSING_FMAX = OFF;
+       ASSG_CAT = OFF;
+}
+SYNTHESIS_FITTING_SETTINGS
+{
+       AUTO_SHIFT_REGISTER_RECOGNITION = ON;
+       AUTO_DSP_RECOGNITION = ON;
+       AUTO_RAM_RECOGNITION = ON;
+       REMOVE_DUPLICATE_LOGIC = ON;
+       AUTO_TURBO_BIT = ON;
+       AUTO_MERGE_PLLS = ON;
+       AUTO_OPEN_DRAIN_PINS = ON;
+       AUTO_PARALLEL_EXPANDERS = ON;
+       AUTO_FAST_OUTPUT_ENABLE_REGISTERS = OFF;
+       AUTO_FAST_OUTPUT_REGISTERS = OFF;
+       AUTO_FAST_INPUT_REGISTERS = OFF;
+       AUTO_CASCADE_CHAINS = ON;
+       AUTO_CARRY_CHAINS = ON;
+       AUTO_DELAY_CHAINS = ON;
+       MAX7000_PARALLEL_EXPANDER_CHAIN_LENGTH = 4;
+       PARALLEL_EXPANDER_CHAIN_LENGTH = 16;
+       CASCADE_CHAIN_LENGTH = 2;
+       STRATIX_CARRY_CHAIN_LENGTH = 70;
+       MERCURY_CARRY_CHAIN_LENGTH = 48;
+       FLEX10K_CARRY_CHAIN_LENGTH = 32;
+       FLEX6K_CARRY_CHAIN_LENGTH = 32;
+       CARRY_CHAIN_LENGTH = 48;
+       CARRY_OUT_PINS_LCELL_INSERT = ON;
+       NORMAL_LCELL_INSERT = ON;
+       AUTO_LCELL_INSERTION = ON;
+       ALLOW_XOR_GATE_USAGE = ON;
+       AUTO_PACKED_REGISTERS_STRATIX = NORMAL;
+       AUTO_PACKED_REGISTERS = OFF;
+       AUTO_PACKED_REG_CYCLONE = NORMAL;
+       FLEX10K_OPTIMIZATION_TECHNIQUE = AREA;
+       FLEX6K_OPTIMIZATION_TECHNIQUE = AREA;
+       MERCURY_OPTIMIZATION_TECHNIQUE = AREA;
+       APEX20K_OPTIMIZATION_TECHNIQUE = SPEED;
+       MAX7000_OPTIMIZATION_TECHNIQUE = SPEED;
+       STRATIX_OPTIMIZATION_TECHNIQUE = SPEED;
+       CYCLONE_OPTIMIZATION_TECHNIQUE = AREA;
+       FLEX10K_TECHNOLOGY_MAPPER = LUT;
+       FLEX6K_TECHNOLOGY_MAPPER = LUT;
+       MERCURY_TECHNOLOGY_MAPPER = LUT;
+       APEX20K_TECHNOLOGY_MAPPER = LUT;
+       MAX7000_TECHNOLOGY_MAPPER = "PRODUCT TERM";
+       STRATIX_TECHNOLOGY_MAPPER = LUT;
+       AUTO_IMPLEMENT_IN_ROM = OFF;
+       AUTO_GLOBAL_MEMORY_CONTROLS = OFF;
+       AUTO_GLOBAL_REGISTER_CONTROLS = ON;
+       AUTO_GLOBAL_OE = ON;
+       AUTO_GLOBAL_CLOCK = ON;
+       USE_LPM_FOR_AHDL_OPERATORS = ON;
+       LIMIT_AHDL_INTEGERS_TO_32_BITS = OFF;
+       ENABLE_BUS_HOLD_CIRCUITRY = OFF;
+       WEAK_PULL_UP_RESISTOR = OFF;
+       TURBO_BIT = ON;
+       MAX7000_IGNORE_SOFT_BUFFERS = OFF;
+       IGNORE_SOFT_BUFFERS = ON;
+       MAX7000_IGNORE_LCELL_BUFFERS = AUTO;
+       IGNORE_LCELL_BUFFERS = OFF;
+       IGNORE_ROW_GLOBAL_BUFFERS = OFF;
+       IGNORE_GLOBAL_BUFFERS = OFF;
+       IGNORE_CASCADE_BUFFERS = OFF;
+       IGNORE_CARRY_BUFFERS = OFF;
+       REMOVE_DUPLICATE_REGISTERS = ON;
+       REMOVE_REDUNDANT_LOGIC_CELLS = OFF;
+       ALLOW_POWER_UP_DONT_CARE = ON;
+       PCI_IO = OFF;
+       NOT_GATE_PUSH_BACK = ON;
+       SLOW_SLEW_RATE = OFF;
+       DSP_BLOCK_BALANCING = AUTO;
+       STATE_MACHINE_PROCESSING = AUTO;
+}
+DEFAULT_HARDCOPY_SETTINGS
+{
+       HARDCOPY_EXTERNAL_CLOCK_JITTER = "0.0 NS";
+}
+DEFAULT_TIMING_REQUIREMENTS
+{
+       INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS = OFF;
+       RUN_ALL_TIMING_ANALYSES = ON;
+       IGNORE_CLOCK_SETTINGS = OFF;
+       DEFAULT_HOLD_MULTICYCLE = "SAME AS MULTICYCLE";
+       CUT_OFF_IO_PIN_FEEDBACK = ON;
+       CUT_OFF_CLEAR_AND_PRESET_PATHS = ON;
+       CUT_OFF_READ_DURING_WRITE_PATHS = ON;
+       CUT_OFF_PATHS_BETWEEN_CLOCK_DOMAINS = ON;
+       DO_MIN_ANALYSIS = ON;
+       DO_MIN_TIMING = OFF;
+       NUMBER_OF_PATHS_TO_REPORT = 200;
+       NUMBER_OF_DESTINATION_TO_REPORT = 10;
+       NUMBER_OF_SOURCES_PER_DESTINATION_TO_REPORT = 10;
+       MAX_SCC_SIZE = 50;
+}
+HDL_SETTINGS
+{
+       VERILOG_INPUT_VERSION = VERILOG_2001;
+       ENABLE_IP_DEBUG = OFF;
+       VHDL_INPUT_VERSION = VHDL93;
+       VHDL_SHOW_LMF_MAPPING_MESSAGES = OFF;
+}
+PROJECT_INFO(usrp_multi)
+{
+       ORIGINAL_QUARTUS_VERSION = 3.0;
+       PROJECT_CREATION_TIME_DATE = "00:14:04  JULY 13, 2003";
+       LAST_QUARTUS_VERSION = 3.0;
+       SHOW_REGISTRATION_MESSAGE = ON;
+       USER_LIBRARIES = "e:\usrp\fpga\megacells";
+}
+THIRD_PARTY_EDA_TOOLS(usrp_multi)
+{
+       EDA_DESIGN_ENTRY_SYNTHESIS_TOOL = "<NONE>";
+       EDA_SIMULATION_TOOL = "<NONE>";
+       EDA_TIMING_ANALYSIS_TOOL = "<NONE>";
+       EDA_BOARD_DESIGN_TOOL = "<NONE>";
+       EDA_FORMAL_VERIFICATION_TOOL = "<NONE>";
+       EDA_RESYNTHESIS_TOOL = "<NONE>";
+}
+EDA_TOOL_SETTINGS(eda_design_synthesis)
+{
+       EDA_INPUT_GND_NAME = GND;
+       EDA_INPUT_VCC_NAME = VCC;
+       EDA_SHOW_LMF_MAPPING_MESSAGES = OFF;
+       EDA_RUN_TOOL_AUTOMATICALLY = OFF;
+       EDA_INPUT_DATA_FORMAT = EDIF;
+       EDA_OUTPUT_DATA_FORMAT = NONE;
+       USE_GENERATED_PHYSICAL_CONSTRAINTS = ON;
+       RESYNTHESIS_PHYSICAL_SYNTHESIS = NORMAL;
+       RESYNTHESIS_OPTIMIZATION_EFFORT = NORMAL;
+       RESYNTHESIS_RETIMING = FULL;
+}
+EDA_TOOL_SETTINGS(eda_simulation)
+{
+       EDA_INCLUDE_VHDL_CONFIGURATION_DECLARATION = OFF;
+       EDA_TRUNCATE_LONG_HIERARCHY_PATHS = OFF;
+       EDA_MAINTAIN_DESIGN_HIERARCHY = OFF;
+       EDA_WRITE_DEVICE_CONTROL_PORTS = OFF;
+       EDA_GENERATE_FUNCTIONAL_NETLIST = OFF;
+       EDA_FLATTEN_BUSES = OFF;
+       EDA_MAP_ILLEGAL_CHARACTERS = OFF;
+       EDA_EXCALIBUR_ATOMS_AS_SINGLE_STRIPE = OFF;
+       EDA_RUN_TOOL_AUTOMATICALLY = OFF;
+       EDA_OUTPUT_DATA_FORMAT = NONE;
+       USE_GENERATED_PHYSICAL_CONSTRAINTS = ON;
+       RESYNTHESIS_PHYSICAL_SYNTHESIS = NORMAL;
+       RESYNTHESIS_OPTIMIZATION_EFFORT = NORMAL;
+       RESYNTHESIS_RETIMING = FULL;
+}
+EDA_TOOL_SETTINGS(eda_timing_analysis)
+{
+       EDA_INCLUDE_VHDL_CONFIGURATION_DECLARATION = OFF;
+       EDA_TRUNCATE_LONG_HIERARCHY_PATHS = OFF;
+       EDA_MAINTAIN_DESIGN_HIERARCHY = OFF;
+       EDA_WRITE_DEVICE_CONTROL_PORTS = OFF;
+       EDA_GENERATE_FUNCTIONAL_NETLIST = OFF;
+       EDA_FLATTEN_BUSES = OFF;
+       EDA_MAP_ILLEGAL_CHARACTERS = OFF;
+       EDA_EXCALIBUR_ATOMS_AS_SINGLE_STRIPE = OFF;
+       EDA_RUN_TOOL_AUTOMATICALLY = OFF;
+       EDA_OUTPUT_DATA_FORMAT = NONE;
+       EDA_LAUNCH_CMD_LINE_TOOL = OFF;
+       USE_GENERATED_PHYSICAL_CONSTRAINTS = ON;
+       RESYNTHESIS_PHYSICAL_SYNTHESIS = NORMAL;
+       RESYNTHESIS_OPTIMIZATION_EFFORT = NORMAL;
+       RESYNTHESIS_RETIMING = FULL;
+}
+EDA_TOOL_SETTINGS(eda_board_design)
+{
+       EDA_INCLUDE_VHDL_CONFIGURATION_DECLARATION = OFF;
+       EDA_TRUNCATE_LONG_HIERARCHY_PATHS = OFF;
+       EDA_MAINTAIN_DESIGN_HIERARCHY = OFF;
+       EDA_WRITE_DEVICE_CONTROL_PORTS = OFF;
+       EDA_GENERATE_FUNCTIONAL_NETLIST = OFF;
+       EDA_FLATTEN_BUSES = OFF;
+       EDA_MAP_ILLEGAL_CHARACTERS = OFF;
+       EDA_EXCALIBUR_ATOMS_AS_SINGLE_STRIPE = OFF;
+       EDA_RUN_TOOL_AUTOMATICALLY = OFF;
+       EDA_OUTPUT_DATA_FORMAT = NONE;
+       USE_GENERATED_PHYSICAL_CONSTRAINTS = ON;
+       RESYNTHESIS_PHYSICAL_SYNTHESIS = NORMAL;
+       RESYNTHESIS_OPTIMIZATION_EFFORT = NORMAL;
+       RESYNTHESIS_RETIMING = FULL;
+}
+EDA_TOOL_SETTINGS(eda_formal_verification)
+{
+       EDA_INCLUDE_VHDL_CONFIGURATION_DECLARATION = OFF;
+       EDA_TRUNCATE_LONG_HIERARCHY_PATHS = OFF;
+       EDA_MAINTAIN_DESIGN_HIERARCHY = OFF;
+       EDA_WRITE_DEVICE_CONTROL_PORTS = OFF;
+       EDA_GENERATE_FUNCTIONAL_NETLIST = OFF;
+       EDA_FLATTEN_BUSES = OFF;
+       EDA_MAP_ILLEGAL_CHARACTERS = OFF;
+       EDA_EXCALIBUR_ATOMS_AS_SINGLE_STRIPE = OFF;
+       EDA_RUN_TOOL_AUTOMATICALLY = OFF;
+       EDA_OUTPUT_DATA_FORMAT = NONE;
+       USE_GENERATED_PHYSICAL_CONSTRAINTS = ON;
+       RESYNTHESIS_PHYSICAL_SYNTHESIS = NORMAL;
+       RESYNTHESIS_OPTIMIZATION_EFFORT = NORMAL;
+       RESYNTHESIS_RETIMING = FULL;
+}
+EDA_TOOL_SETTINGS(eda_palace)
+{
+       EDA_INCLUDE_VHDL_CONFIGURATION_DECLARATION = OFF;
+       EDA_TRUNCATE_LONG_HIERARCHY_PATHS = OFF;
+       EDA_MAINTAIN_DESIGN_HIERARCHY = OFF;
+       EDA_WRITE_DEVICE_CONTROL_PORTS = OFF;
+       EDA_GENERATE_FUNCTIONAL_NETLIST = OFF;
+       EDA_FLATTEN_BUSES = OFF;
+       EDA_MAP_ILLEGAL_CHARACTERS = OFF;
+       EDA_EXCALIBUR_ATOMS_AS_SINGLE_STRIPE = OFF;
+       EDA_RUN_TOOL_AUTOMATICALLY = OFF;
+       EDA_OUTPUT_DATA_FORMAT = NONE;
+       RESYNTHESIS_RETIMING = FULL;
+       RESYNTHESIS_PHYSICAL_SYNTHESIS = NORMAL;
+       RESYNTHESIS_OPTIMIZATION_EFFORT = NORMAL;
+       USE_GENERATED_PHYSICAL_CONSTRAINTS = ON;
+}
+CLOCK(clk_120mhz)
+{
+       FMAX_REQUIREMENT = "120.0 MHz";
+       INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS = OFF;
+       DUTY_CYCLE = 50;
+       DIVIDE_BASE_CLOCK_PERIOD_BY = 1;
+       MULTIPLY_BASE_CLOCK_PERIOD_BY = 1;
+       INVERT_BASE_CLOCK = OFF;
+}
+CLOCK(usbclk)
+{
+       FMAX_REQUIREMENT = "48.0 MHz";
+       INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS = OFF;
+       DUTY_CYCLE = 50;
+       DIVIDE_BASE_CLOCK_PERIOD_BY = 1;
+       MULTIPLY_BASE_CLOCK_PERIOD_BY = 1;
+       INVERT_BASE_CLOCK = OFF;
+}
+CLOCK(SCLK)
+{
+       FMAX_REQUIREMENT = "1.0 MHz";
+       INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS = OFF;
+       DUTY_CYCLE = 50;
+       DIVIDE_BASE_CLOCK_PERIOD_BY = 1;
+       MULTIPLY_BASE_CLOCK_PERIOD_BY = 1;
+       INVERT_BASE_CLOCK = OFF;
+}
+CLOCK(adclk0)
+{
+       FMAX_REQUIREMENT = "60.0 MHz";
+       INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS = OFF;
+       DUTY_CYCLE = 50;
+       DIVIDE_BASE_CLOCK_PERIOD_BY = 1;
+       MULTIPLY_BASE_CLOCK_PERIOD_BY = 1;
+       INVERT_BASE_CLOCK = OFF;
+}
+CLOCK(adclk1)
+{
+       FMAX_REQUIREMENT = "60.0 MHz";
+       INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS = OFF;
+       DUTY_CYCLE = 50;
+       DIVIDE_BASE_CLOCK_PERIOD_BY = 1;
+       MULTIPLY_BASE_CLOCK_PERIOD_BY = 1;
+       INVERT_BASE_CLOCK = OFF;
+}
diff --git a/usrp/fpga/toplevel/usrp_multi/usrp_multi.qpf b/usrp/fpga/toplevel/usrp_multi/usrp_multi.qpf
new file mode 100644 (file)
index 0000000..1524de1
--- /dev/null
@@ -0,0 +1,29 @@
+# Copyright (C) 1991-2004 Altera Corporation
+# Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
+# support information,  device programming or simulation file,  and any other
+# associated  documentation or information  provided by  Altera  or a partner
+# under  Altera's   Megafunction   Partnership   Program  may  be  used  only
+# to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
+# other  use  of such  megafunction  design,  netlist,  support  information,
+# device programming or simulation file,  or any other  related documentation
+# or information  is prohibited  for  any  other purpose,  including, but not
+# limited to  modification,  reverse engineering,  de-compiling, or use  with
+# any other  silicon devices,  unless such use is  explicitly  licensed under
+# a separate agreement with  Altera  or a megafunction partner.  Title to the
+# intellectual property,  including patents,  copyrights,  trademarks,  trade
+# secrets,  or maskworks,  embodied in any such megafunction design, netlist,
+# support  information,  device programming or simulation file,  or any other
+# related documentation or information provided by  Altera  or a megafunction
+# partner, remains with Altera, the megafunction partner, or their respective
+# licensors. No other licenses, including any licenses needed under any third
+# party's intellectual property, are provided herein.
+
+
+
+QUARTUS_VERSION = "4.0"
+DATE = "17:10:11  December 20, 2004"
+
+
+# Active Revisions
+
+PROJECT_REVISION = "usrp_multi"
diff --git a/usrp/fpga/toplevel/usrp_multi/usrp_multi.qsf b/usrp/fpga/toplevel/usrp_multi/usrp_multi.qsf
new file mode 100644 (file)
index 0000000..9f0efbd
--- /dev/null
@@ -0,0 +1,408 @@
+# Copyright (C) 1991-2005 Altera Corporation\r
+# Your use of Altera Corporation's design tools, logic functions \r
+# and other software and tools, and its AMPP partner logic       \r
+# functions, and any output files any of the foregoing           \r
+# (including device programming or simulation files), and any    \r
+# associated documentation or information are expressly subject  \r
+# to the terms and conditions of the Altera Program License      \r
+# Subscription Agreement, Altera MegaCore Function License       \r
+# Agreement, or other applicable license agreement, including,   \r
+# without limitation, that your use is for the sole purpose of   \r
+# programming logic devices manufactured by Altera and sold by   \r
+# Altera or its authorized distributors.  Please refer to the    \r
+# applicable agreement for further details.\r
+\r
+\r
+# The default values for assignments are stored in the file\r
+#              usrp_multi_assignment_defaults.qdf\r
+# If this file doesn't exist, and for assignments not listed, see file\r
+#              assignment_defaults.qdf\r
+\r
+# Altera recommends that you do not modify this file. This\r
+# file is updated automatically by the Quartus II software\r
+# and any changes you make may be lost or overwritten.\r
+\r
+\r
+# Project-Wide Assignments\r
+# ========================\r
+set_global_assignment -name ORIGINAL_QUARTUS_VERSION 3.0\r
+set_global_assignment -name PROJECT_CREATION_TIME_DATE "00:14:04  JULY 13, 2003"\r
+set_global_assignment -name LAST_QUARTUS_VERSION 6.1\r
+\r
+# Pin & Location Assignments\r
+# ==========================\r
+set_global_assignment -name RESERVE_PIN "AS INPUT TRI-STATED"\r
+set_location_assignment PIN_29 -to SCLK\r
+set_location_assignment PIN_117 -to SDI\r
+set_location_assignment PIN_28 -to usbclk\r
+set_location_assignment PIN_107 -to usbctl[0]\r
+set_location_assignment PIN_106 -to usbctl[1]\r
+set_location_assignment PIN_105 -to usbctl[2]\r
+set_location_assignment PIN_100 -to usbdata[0]\r
+set_location_assignment PIN_84 -to usbdata[10]\r
+set_location_assignment PIN_83 -to usbdata[11]\r
+set_location_assignment PIN_82 -to usbdata[12]\r
+set_location_assignment PIN_79 -to usbdata[13]\r
+set_location_assignment PIN_78 -to usbdata[14]\r
+set_location_assignment PIN_77 -to usbdata[15]\r
+set_location_assignment PIN_99 -to usbdata[1]\r
+set_location_assignment PIN_98 -to usbdata[2]\r
+set_location_assignment PIN_95 -to usbdata[3]\r
+set_location_assignment PIN_94 -to usbdata[4]\r
+set_location_assignment PIN_93 -to usbdata[5]\r
+set_location_assignment PIN_88 -to usbdata[6]\r
+set_location_assignment PIN_87 -to usbdata[7]\r
+set_location_assignment PIN_86 -to usbdata[8]\r
+set_location_assignment PIN_85 -to usbdata[9]\r
+set_location_assignment PIN_104 -to usbrdy[0]\r
+set_location_assignment PIN_101 -to usbrdy[1]\r
+set_location_assignment PIN_76 -to FX2_1\r
+set_location_assignment PIN_75 -to FX2_2\r
+set_location_assignment PIN_74 -to FX2_3\r
+set_location_assignment PIN_116 -to io_rx_a[0]\r
+set_location_assignment PIN_115 -to io_rx_a[1]\r
+set_location_assignment PIN_114 -to io_rx_a[2]\r
+set_location_assignment PIN_113 -to io_rx_a[3]\r
+set_location_assignment PIN_108 -to io_rx_a[4]\r
+set_location_assignment PIN_195 -to io_rx_a[5]\r
+set_location_assignment PIN_196 -to io_rx_a[6]\r
+set_location_assignment PIN_197 -to io_rx_a[7]\r
+set_location_assignment PIN_200 -to io_rx_a[8]\r
+set_location_assignment PIN_201 -to io_rx_a[9]\r
+set_location_assignment PIN_202 -to io_rx_a[10]\r
+set_location_assignment PIN_203 -to io_rx_a[11]\r
+set_location_assignment PIN_206 -to io_rx_a[12]\r
+set_location_assignment PIN_207 -to io_rx_a[13]\r
+set_location_assignment PIN_208 -to io_rx_a[14]\r
+set_location_assignment PIN_214 -to io_rx_b[0]\r
+set_location_assignment PIN_215 -to io_rx_b[1]\r
+set_location_assignment PIN_216 -to io_rx_b[2]\r
+set_location_assignment PIN_217 -to io_rx_b[3]\r
+set_location_assignment PIN_218 -to io_rx_b[4]\r
+set_location_assignment PIN_219 -to io_rx_b[5]\r
+set_location_assignment PIN_222 -to io_rx_b[6]\r
+set_location_assignment PIN_223 -to io_rx_b[7]\r
+set_location_assignment PIN_224 -to io_rx_b[8]\r
+set_location_assignment PIN_225 -to io_rx_b[9]\r
+set_location_assignment PIN_226 -to io_rx_b[10]\r
+set_location_assignment PIN_227 -to io_rx_b[11]\r
+set_location_assignment PIN_228 -to io_rx_b[12]\r
+set_location_assignment PIN_233 -to io_rx_b[13]\r
+set_location_assignment PIN_234 -to io_rx_b[14]\r
+set_location_assignment PIN_175 -to io_tx_a[0]\r
+set_location_assignment PIN_176 -to io_tx_a[1]\r
+set_location_assignment PIN_177 -to io_tx_a[2]\r
+set_location_assignment PIN_178 -to io_tx_a[3]\r
+set_location_assignment PIN_179 -to io_tx_a[4]\r
+set_location_assignment PIN_180 -to io_tx_a[5]\r
+set_location_assignment PIN_181 -to io_tx_a[6]\r
+set_location_assignment PIN_182 -to io_tx_a[7]\r
+set_location_assignment PIN_183 -to io_tx_a[8]\r
+set_location_assignment PIN_184 -to io_tx_a[9]\r
+set_location_assignment PIN_185 -to io_tx_a[10]\r
+set_location_assignment PIN_186 -to io_tx_a[11]\r
+set_location_assignment PIN_187 -to io_tx_a[12]\r
+set_location_assignment PIN_188 -to io_tx_a[13]\r
+set_location_assignment PIN_193 -to io_tx_a[14]\r
+set_location_assignment PIN_73 -to io_tx_b[0]\r
+set_location_assignment PIN_68 -to io_tx_b[1]\r
+set_location_assignment PIN_67 -to io_tx_b[2]\r
+set_location_assignment PIN_66 -to io_tx_b[3]\r
+set_location_assignment PIN_65 -to io_tx_b[4]\r
+set_location_assignment PIN_64 -to io_tx_b[5]\r
+set_location_assignment PIN_63 -to io_tx_b[6]\r
+set_location_assignment PIN_62 -to io_tx_b[7]\r
+set_location_assignment PIN_61 -to io_tx_b[8]\r
+set_location_assignment PIN_60 -to io_tx_b[9]\r
+set_location_assignment PIN_59 -to io_tx_b[10]\r
+set_location_assignment PIN_58 -to io_tx_b[11]\r
+set_location_assignment PIN_57 -to io_tx_b[12]\r
+set_location_assignment PIN_56 -to io_tx_b[13]\r
+set_location_assignment PIN_55 -to io_tx_b[14]\r
+set_location_assignment PIN_152 -to master_clk\r
+set_location_assignment PIN_144 -to rx_a_a[0]\r
+set_location_assignment PIN_143 -to rx_a_a[1]\r
+set_location_assignment PIN_141 -to rx_a_a[2]\r
+set_location_assignment PIN_140 -to rx_a_a[3]\r
+set_location_assignment PIN_139 -to rx_a_a[4]\r
+set_location_assignment PIN_138 -to rx_a_a[5]\r
+set_location_assignment PIN_137 -to rx_a_a[6]\r
+set_location_assignment PIN_136 -to rx_a_a[7]\r
+set_location_assignment PIN_135 -to rx_a_a[8]\r
+set_location_assignment PIN_134 -to rx_a_a[9]\r
+set_location_assignment PIN_133 -to rx_a_a[10]\r
+set_location_assignment PIN_132 -to rx_a_a[11]\r
+set_location_assignment PIN_23 -to rx_a_b[0]\r
+set_location_assignment PIN_21 -to rx_a_b[1]\r
+set_location_assignment PIN_20 -to rx_a_b[2]\r
+set_location_assignment PIN_19 -to rx_a_b[3]\r
+set_location_assignment PIN_18 -to rx_a_b[4]\r
+set_location_assignment PIN_17 -to rx_a_b[5]\r
+set_location_assignment PIN_16 -to rx_a_b[6]\r
+set_location_assignment PIN_15 -to rx_a_b[7]\r
+set_location_assignment PIN_14 -to rx_a_b[8]\r
+set_location_assignment PIN_13 -to rx_a_b[9]\r
+set_location_assignment PIN_12 -to rx_a_b[10]\r
+set_location_assignment PIN_11 -to rx_a_b[11]\r
+set_location_assignment PIN_131 -to rx_b_a[0]\r
+set_location_assignment PIN_128 -to rx_b_a[1]\r
+set_location_assignment PIN_127 -to rx_b_a[2]\r
+set_location_assignment PIN_126 -to rx_b_a[3]\r
+set_location_assignment PIN_125 -to rx_b_a[4]\r
+set_location_assignment PIN_124 -to rx_b_a[5]\r
+set_location_assignment PIN_123 -to rx_b_a[6]\r
+set_location_assignment PIN_122 -to rx_b_a[7]\r
+set_location_assignment PIN_121 -to rx_b_a[8]\r
+set_location_assignment PIN_120 -to rx_b_a[9]\r
+set_location_assignment PIN_119 -to rx_b_a[10]\r
+set_location_assignment PIN_118 -to rx_b_a[11]\r
+set_location_assignment PIN_8 -to rx_b_b[0]\r
+set_location_assignment PIN_7 -to rx_b_b[1]\r
+set_location_assignment PIN_6 -to rx_b_b[2]\r
+set_location_assignment PIN_5 -to rx_b_b[3]\r
+set_location_assignment PIN_4 -to rx_b_b[4]\r
+set_location_assignment PIN_3 -to rx_b_b[5]\r
+set_location_assignment PIN_2 -to rx_b_b[6]\r
+set_location_assignment PIN_240 -to rx_b_b[7]\r
+set_location_assignment PIN_239 -to rx_b_b[8]\r
+set_location_assignment PIN_238 -to rx_b_b[9]\r
+set_location_assignment PIN_237 -to rx_b_b[10]\r
+set_location_assignment PIN_236 -to rx_b_b[11]\r
+set_location_assignment PIN_156 -to SDO\r
+set_location_assignment PIN_153 -to SEN_FPGA\r
+set_location_assignment PIN_159 -to tx_a[0]\r
+set_location_assignment PIN_160 -to tx_a[1]\r
+set_location_assignment PIN_161 -to tx_a[2]\r
+set_location_assignment PIN_162 -to tx_a[3]\r
+set_location_assignment PIN_163 -to tx_a[4]\r
+set_location_assignment PIN_164 -to tx_a[5]\r
+set_location_assignment PIN_165 -to tx_a[6]\r
+set_location_assignment PIN_166 -to tx_a[7]\r
+set_location_assignment PIN_167 -to tx_a[8]\r
+set_location_assignment PIN_168 -to tx_a[9]\r
+set_location_assignment PIN_169 -to tx_a[10]\r
+set_location_assignment PIN_170 -to tx_a[11]\r
+set_location_assignment PIN_173 -to tx_a[12]\r
+set_location_assignment PIN_174 -to tx_a[13]\r
+set_location_assignment PIN_38 -to tx_b[0]\r
+set_location_assignment PIN_39 -to tx_b[1]\r
+set_location_assignment PIN_41 -to tx_b[2]\r
+set_location_assignment PIN_42 -to tx_b[3]\r
+set_location_assignment PIN_43 -to tx_b[4]\r
+set_location_assignment PIN_44 -to tx_b[5]\r
+set_location_assignment PIN_45 -to tx_b[6]\r
+set_location_assignment PIN_46 -to tx_b[7]\r
+set_location_assignment PIN_47 -to tx_b[8]\r
+set_location_assignment PIN_48 -to tx_b[9]\r
+set_location_assignment PIN_49 -to tx_b[10]\r
+set_location_assignment PIN_50 -to tx_b[11]\r
+set_location_assignment PIN_53 -to tx_b[12]\r
+set_location_assignment PIN_54 -to tx_b[13]\r
+set_location_assignment PIN_158 -to TXSYNC_A\r
+set_location_assignment PIN_37 -to TXSYNC_B\r
+set_location_assignment PIN_235 -to io_rx_b[15]\r
+set_location_assignment PIN_24 -to io_tx_b[15]\r
+set_location_assignment PIN_213 -to io_rx_a[15]\r
+set_location_assignment PIN_194 -to io_tx_a[15]\r
+set_location_assignment PIN_1 -to MYSTERY_SIGNAL\r
+\r
+# Timing Assignments\r
+# ==================\r
+set_global_assignment -name INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS OFF\r
+\r
+# Analysis & Synthesis Assignments\r
+# ================================\r
+set_global_assignment -name SAVE_DISK_SPACE OFF\r
+set_global_assignment -name DEVICE_FILTER_PACKAGE "ANY QFP"\r
+set_global_assignment -name DEVICE_FILTER_PIN_COUNT 240\r
+set_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "<None>"\r
+set_global_assignment -name FAMILY Cyclone\r
+set_global_assignment -name CYCLONE_OPTIMIZATION_TECHNIQUE BALANCED\r
+set_global_assignment -name STRATIX_OPTIMIZATION_TECHNIQUE SPEED\r
+set_global_assignment -name APEX20K_OPTIMIZATION_TECHNIQUE SPEED\r
+set_global_assignment -name TOP_LEVEL_ENTITY usrp_multi\r
+set_global_assignment -name VHDL_SHOW_LMF_MAPPING_MESSAGES OFF\r
+set_global_assignment -name USER_LIBRARIES "H:\\usrp-for2.7\\fpga\\megacells"\r
+set_global_assignment -name AUTO_ENABLE_SMART_COMPILE ON\r
+\r
+# Fitter Assignments\r
+# ==================\r
+set_global_assignment -name DEVICE EP1C12Q240C8\r
+set_global_assignment -name CYCLONE_CONFIGURATION_SCHEME "PASSIVE SERIAL"\r
+set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED"\r
+set_global_assignment -name OPTIMIZE_HOLD_TIMING OFF\r
+set_global_assignment -name OPTIMIZE_TIMING "NORMAL COMPILATION"\r
+set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC OFF\r
+set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION OFF\r
+set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING OFF\r
+set_global_assignment -name IO_PLACEMENT_OPTIMIZATION OFF\r
+set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT NORMAL\r
+set_global_assignment -name INC_PLC_MODE OFF\r
+set_global_assignment -name ROUTING_BACK_ANNOTATION_MODE OFF\r
+set_instance_assignment -name IO_STANDARD LVTTL -to usbdata[12]\r
+set_global_assignment -name STRATIX_DEVICE_IO_STANDARD LVTTL\r
+set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1\r
+\r
+# Timing Analysis Assignments\r
+# ===========================\r
+set_global_assignment -name MAX_SCC_SIZE 50\r
+\r
+# EDA Netlist Writer Assignments\r
+# ==============================\r
+set_global_assignment -name EDA_SIMULATION_TOOL "<None>"\r
+set_global_assignment -name EDA_TIMING_ANALYSIS_TOOL "<NONE>"\r
+set_global_assignment -name EDA_BOARD_DESIGN_TOOL "<NONE>"\r
+set_global_assignment -name EDA_FORMAL_VERIFICATION_TOOL "<NONE>"\r
+set_global_assignment -name EDA_RESYNTHESIS_TOOL "<NONE>"\r
+\r
+# Assembler Assignments\r
+# =====================\r
+set_global_assignment -name USE_CONFIGURATION_DEVICE OFF\r
+set_global_assignment -name GENERATE_RBF_FILE ON\r
+set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED"\r
+set_global_assignment -name AUTO_RESTART_CONFIGURATION OFF\r
+\r
+# Simulator Assignments\r
+# =====================\r
+set_global_assignment -name START_TIME "0 ns"\r
+set_global_assignment -name GLITCH_INTERVAL "1 ns"\r
+\r
+# Design Assistant Assignments\r
+# ============================\r
+set_global_assignment -name DRC_REPORT_TOP_FANOUT OFF\r
+set_global_assignment -name DRC_REPORT_FANOUT_EXCEEDING OFF\r
+set_global_assignment -name ASSG_CAT OFF\r
+set_global_assignment -name ASSG_RULE_MISSING_FMAX OFF\r
+set_global_assignment -name ASSG_RULE_MISSING_TIMING OFF\r
+set_global_assignment -name NONSYNCHSTRUCT_RULE_ASYN_RAM OFF\r
+set_global_assignment -name CLK_CAT OFF\r
+set_global_assignment -name CLK_RULE_COMB_CLOCK OFF\r
+set_global_assignment -name CLK_RULE_INV_CLOCK OFF\r
+set_global_assignment -name CLK_RULE_GATING_SCHEME OFF\r
+set_global_assignment -name CLK_RULE_INPINS_CLKNET OFF\r
+set_global_assignment -name CLK_RULE_CLKNET_CLKSPINES OFF\r
+set_global_assignment -name CLK_RULE_MIX_EDGES OFF\r
+set_global_assignment -name RESET_CAT OFF\r
+set_global_assignment -name RESET_RULE_INPINS_RESETNET OFF\r
+set_global_assignment -name RESET_RULE_UNSYNCH_EXRESET OFF\r
+set_global_assignment -name RESET_RULE_IMSYNCH_EXRESET OFF\r
+set_global_assignment -name RESET_RULE_COMB_ASYNCH_RESET OFF\r
+set_global_assignment -name RESET_RULE_UNSYNCH_ASYNCH_DOMAIN OFF\r
+set_global_assignment -name RESET_RULE_IMSYNCH_ASYNCH_DOMAIN OFF\r
+set_global_assignment -name TIMING_CAT OFF\r
+set_global_assignment -name TIMING_RULE_SHIFT_REG OFF\r
+set_global_assignment -name TIMING_RULE_COIN_CLKEDGE OFF\r
+set_global_assignment -name NONSYNCHSTRUCT_RULE_COMB_DRIVES_RAM_WE OFF\r
+set_global_assignment -name NONSYNCHSTRUCT_CAT OFF\r
+set_global_assignment -name NONSYNCHSTRUCT_RULE_COMBLOOP OFF\r
+set_global_assignment -name NONSYNCHSTRUCT_RULE_REG_LOOP OFF\r
+set_global_assignment -name NONSYNCHSTRUCT_RULE_DELAY_CHAIN OFF\r
+set_global_assignment -name NONSYNCHSTRUCT_RULE_RIPPLE_CLK OFF\r
+set_global_assignment -name NONSYNCHSTRUCT_RULE_ILLEGAL_PULSE_GEN OFF\r
+set_global_assignment -name NONSYNCHSTRUCT_RULE_MULTI_VIBRATOR OFF\r
+set_global_assignment -name NONSYNCHSTRUCT_RULE_SRLATCH OFF\r
+set_global_assignment -name NONSYNCHSTRUCT_RULE_LATCH_UNIDENTIFIED OFF\r
+set_global_assignment -name SIGNALRACE_CAT OFF\r
+set_global_assignment -name ACLK_CAT OFF\r
+set_global_assignment -name ACLK_RULE_NO_SZER_ACLK_DOMAIN OFF\r
+set_global_assignment -name ACLK_RULE_SZER_BTW_ACLK_DOMAIN OFF\r
+set_global_assignment -name ACLK_RULE_IMSZER_ADOMAIN OFF\r
+set_global_assignment -name HCPY_CAT OFF\r
+set_global_assignment -name HCPY_VREF_PINS OFF\r
+\r
+# SignalTap II Assignments\r
+# ========================\r
+set_global_assignment -name HUB_ENTITY_NAME SLD_HUB\r
+set_global_assignment -name HUB_INSTANCE_NAME SLD_HUB_INST\r
+set_global_assignment -name ENABLE_SIGNALTAP OFF\r
+\r
+# LogicLock Region Assignments\r
+# ============================\r
+set_global_assignment -name LOGICLOCK_INCREMENTAL_COMPILE_ASSIGNMENT OFF\r
+\r
+# -----------------\r
+# start CLOCK(SCLK)\r
+\r
+       # Timing Assignments\r
+       # ==================\r
+set_global_assignment -name DUTY_CYCLE 50 -section_id SCLK\r
+set_global_assignment -name FMAX_REQUIREMENT "1 MHz" -section_id SCLK\r
+set_global_assignment -name INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS OFF -section_id SCLK\r
+\r
+# end CLOCK(SCLK)\r
+# ---------------\r
+\r
+# -----------------------\r
+# start CLOCK(master_clk)\r
+\r
+       # Timing Assignments\r
+       # ==================\r
+set_global_assignment -name DUTY_CYCLE 50 -section_id master_clk\r
+set_global_assignment -name FMAX_REQUIREMENT "64 MHz" -section_id master_clk\r
+set_global_assignment -name INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS OFF -section_id master_clk\r
+\r
+# end CLOCK(master_clk)\r
+# ---------------------\r
+\r
+# -------------------\r
+# start CLOCK(usbclk)\r
+\r
+       # Timing Assignments\r
+       # ==================\r
+set_global_assignment -name DUTY_CYCLE 50 -section_id usbclk\r
+set_global_assignment -name FMAX_REQUIREMENT "48 MHz" -section_id usbclk\r
+set_global_assignment -name INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS OFF -section_id usbclk\r
+\r
+# end CLOCK(usbclk)\r
+# -----------------\r
+\r
+# ----------------------\r
+# start ENTITY(usrp_multi)\r
+\r
+       # Timing Assignments\r
+       # ==================\r
+set_instance_assignment -name CLOCK_SETTINGS SCLK -to SCLK\r
+set_instance_assignment -name CLOCK_SETTINGS usbclk -to usbclk\r
+set_instance_assignment -name CLOCK_SETTINGS master_clk -to master_clk\r
+\r
+# end ENTITY(usrp_multi)\r
+# --------------------\r
+\r
+\r
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/rssi.v\r
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/setting_reg_masked.v\r
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/master_control_multi.v\r
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/ram16.v\r
+set_global_assignment -name VERILOG_FILE ../../megacells/fifo_4k.v\r
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/hb/acc.v\r
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/hb/mult.v\r
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/hb/ram16_2sum.v\r
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/hb/coeff_rom.v\r
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/hb/halfband_decim.v\r
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/hb/mac.v\r
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/hb/coeff_ram.v\r
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/tx_chain.v\r
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/rx_dcoffset.v\r
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/adc_interface.v\r
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/io_pins.v\r
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/setting_reg.v\r
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/bidir_reg.v\r
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/cic_int_shifter.v\r
+set_global_assignment -name VERILOG_FILE ../../megacells/clk_doubler.v\r
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/rx_chain.v\r
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/gen_sync.v\r
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/master_control.v\r
+set_global_assignment -name VERILOG_FILE ../../megacells/fifo_2k.v\r
+set_global_assignment -name VERILOG_FILE ../../megacells/bustri.v\r
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/rx_buffer.v\r
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/tx_buffer.v\r
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/phase_acc.v\r
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/cic_interp.v\r
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/cic_decim.v\r
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/cordic_stage.v\r
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/cordic.v\r
+set_global_assignment -name VERILOG_FILE usrp_multi.v\r
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/clk_divider.v\r
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/serial_io.v\r
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/strobe_gen.v\r
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/sign_extend.v
\ No newline at end of file
diff --git a/usrp/fpga/toplevel/usrp_multi/usrp_multi.v b/usrp/fpga/toplevel/usrp_multi/usrp_multi.v
new file mode 100644 (file)
index 0000000..ce484fc
--- /dev/null
@@ -0,0 +1,379 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2003,2004,2005,2006 Matt Ettus
+//  Copyright (C) 2006 Martin Dudok van Heel
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+// Top level module for a full setup with DUCs and DDCs
+
+// Define DEBUG_OWNS_IO_PINS if we're using the daughterboard i/o pins
+// for debugging info.  NB, This can kill the m'board and/or d'board if you
+// have anything except basic d'boards installed.
+
+// Uncomment the following to include optional circuitry
+
+`include "config.vh"
+`include "../../../firmware/include/fpga_regs_common.v"
+`include "../../../firmware/include/fpga_regs_standard.v"
+
+module usrp_multi
+(output MYSTERY_SIGNAL,
+ input master_clk,
+ input SCLK,
+ input SDI,
+ inout SDO,
+ input SEN_FPGA,
+
+ input FX2_1,
+ output FX2_2,
+ output FX2_3,
+ input wire [11:0] rx_a_a,
+ input wire [11:0] rx_b_a,
+ input wire [11:0] rx_a_b,
+ input wire [11:0] rx_b_b,
+
+ output wire [13:0] tx_a,
+ output wire [13:0] tx_b,
+
+ output wire TXSYNC_A,
+ output wire TXSYNC_B,
+  // USB interface
+ input usbclk,
+ input wire [2:0] usbctl,
+ output wire [1:0] usbrdy,
+ inout [15:0] usbdata,  // NB Careful, inout
+
+ // These are the general purpose i/o's that go to the daughterboard slots
+ inout wire [15:0] io_tx_a,
+ inout wire [15:0] io_tx_b,
+ inout wire [15:0] io_rx_a,
+ inout wire [15:0] io_rx_b
+ );    
+   wire [15:0] debugdata,debugctrl;
+   assign MYSTERY_SIGNAL = 1'b0;
+   
+   wire clk64,clk128;
+   
+   wire WR = usbctl[0];
+   wire RD = usbctl[1];
+   wire OE = usbctl[2];
+
+   wire have_space, have_pkt_rdy;
+   assign usbrdy[0] = have_space;
+   assign usbrdy[1] = have_pkt_rdy;
+
+   wire   tx_underrun, rx_overrun;    
+   wire   clear_status = FX2_1;
+   assign FX2_2 = rx_overrun;
+   assign FX2_3 = tx_underrun;
+      
+   wire [15:0] usbdata_out;
+   
+   wire [3:0]  dac0mux,dac1mux,dac2mux,dac3mux;
+   
+   wire        tx_realsignals;
+   wire [3:0]  rx_numchan;
+   wire [2:0]  tx_numchan;
+   
+   wire [7:0]  interp_rate, decim_rate;
+   wire [15:0] tx_debugbus, rx_debugbus;
+   
+   wire        enable_tx, enable_rx;
+   wire        reset_data;
+`ifdef MULTI_ON
+   wire        sync_rx;
+   assign reset_data = sync_rx;
+`else
+   assign reset_data = 1'b0;
+`endif //  `ifdef MULTI_ON
+
+   wire        tx_dsp_reset, rx_dsp_reset, tx_bus_reset, rx_bus_reset;
+   wire [7:0]  settings;
+   
+   // Tri-state bus macro
+   bustri bustri( .data(usbdata_out),.enabledt(OE),.tridata(usbdata) );
+
+   assign      clk64 = master_clk;
+
+   wire [15:0] ch0tx,ch1tx,ch2tx,ch3tx; //,ch4tx,ch5tx,ch6tx,ch7tx;
+   wire [15:0] ch0rx,ch1rx,ch2rx,ch3rx,ch4rx,ch5rx,ch6rx,ch7rx;
+   
+   // TX
+   wire [15:0] i_out_0,i_out_1,q_out_0,q_out_1;
+   wire [15:0] bb_tx_i0,bb_tx_q0,bb_tx_i1,bb_tx_q1;  // bb_tx_i2,bb_tx_q2,bb_tx_i3,bb_tx_q3;
+   
+   wire        strobe_interp, tx_sample_strobe;
+   wire        tx_empty;
+   
+   wire        serial_strobe;
+   wire [6:0]  serial_addr;
+   wire [31:0] serial_data;
+
+   reg [15:0] debug_counter;
+`ifdef COUNTER_32BIT_ON
+   reg [31:0] sample_counter_32bit;
+`endif //  `ifdef COUNTER_32BIT_ON
+   reg [15:0] loopback_i_0,loopback_q_0;
+   
+   ////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////
+   // Transmit Side
+`ifdef TX_ON
+   assign     bb_tx_i0 = ch0tx;
+   assign      bb_tx_q0 = ch1tx;
+   assign      bb_tx_i1 = ch2tx;
+   assign      bb_tx_q1 = ch3tx;
+   
+   tx_buffer tx_buffer
+     ( .usbclk(usbclk),.bus_reset(tx_bus_reset),.reset(tx_dsp_reset),
+       .usbdata(usbdata),.WR(WR),.have_space(have_space),.tx_underrun(tx_underrun),
+       .channels({tx_numchan,1'b0}),
+       .tx_i_0(ch0tx),.tx_q_0(ch1tx),
+       .tx_i_1(ch2tx),.tx_q_1(ch3tx),
+       .tx_i_2(),.tx_q_2(),
+       .tx_i_3(),.tx_q_3(),
+       .txclk(clk64),.txstrobe(strobe_interp),
+       .clear_status(clear_status),
+       .tx_empty(tx_empty),
+       .debugbus(tx_debugbus) );
+
+   tx_chain tx_chain_0
+     ( .clock(clk64),.reset(tx_dsp_reset),.enable(enable_tx),
+       .interp_rate(interp_rate),.sample_strobe(tx_sample_strobe),
+       .interpolator_strobe(strobe_interp),.freq(),
+       .i_in(bb_tx_i0),.q_in(bb_tx_q0),.i_out(i_out_0),.q_out(q_out_0) );
+
+   tx_chain tx_chain_1
+     ( .clock(clk64),.reset(tx_dsp_reset),.enable(enable_tx),
+       .interp_rate(interp_rate),.sample_strobe(tx_sample_strobe),
+       .interpolator_strobe(strobe_interp),.freq(),
+       .i_in(bb_tx_i1),.q_in(bb_tx_q1),.i_out(i_out_1),.q_out(q_out_1) );
+
+   setting_reg #(`FR_TX_MUX) 
+     sr_txmux(.clock(clk64),.reset(tx_dsp_reset),.strobe(serial_strobe),.addr(serial_addr),.in(serial_data),
+             .out({dac3mux,dac2mux,dac1mux,dac0mux,tx_realsignals,tx_numchan}));
+   
+   wire [15:0] tx_a_a = dac0mux[3] ? (dac0mux[1] ? (dac0mux[0] ? q_out_1 : i_out_1) : (dac0mux[0] ? q_out_0 : i_out_0)) : 16'b0;
+   wire [15:0] tx_b_a = dac1mux[3] ? (dac1mux[1] ? (dac1mux[0] ? q_out_1 : i_out_1) : (dac1mux[0] ? q_out_0 : i_out_0)) : 16'b0;
+   wire [15:0] tx_a_b = dac2mux[3] ? (dac2mux[1] ? (dac2mux[0] ? q_out_1 : i_out_1) : (dac2mux[0] ? q_out_0 : i_out_0)) : 16'b0;
+   wire [15:0] tx_b_b = dac3mux[3] ? (dac3mux[1] ? (dac3mux[0] ? q_out_1 : i_out_1) : (dac3mux[0] ? q_out_0 : i_out_0)) : 16'b0;
+
+   wire txsync = tx_sample_strobe;
+   assign TXSYNC_A = txsync;
+   assign TXSYNC_B = txsync;
+
+   assign tx_a = txsync ? tx_b_a[15:2] : tx_a_a[15:2];
+   assign tx_b = txsync ? tx_b_b[15:2] : tx_a_b[15:2];
+`endif //  `ifdef TX_ON
+   
+   /////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////
+   // Receive Side
+`ifdef RX_ON
+   wire        rx_sample_strobe,strobe_decim,hb_strobe;
+   wire [15:0] bb_rx_i0,bb_rx_q0,bb_rx_i1,bb_rx_q1,
+              bb_rx_i2,bb_rx_q2,bb_rx_i3,bb_rx_q3;
+
+   wire loopback = settings[0];
+   wire counter = settings[1];
+`ifdef COUNTER_32BIT_ON
+   wire counter_32bit = settings[2];
+
+   always @(posedge clk64)
+     if(rx_dsp_reset)
+       sample_counter_32bit <= #1 32'd0;
+     else if(~enable_rx | reset_data)
+       sample_counter_32bit <=#1 32'd0;
+     else if(hb_strobe)
+       sample_counter_32bit <=#1 sample_counter_32bit + 32'd1;
+`endif //  `ifdef COUNTER_32BIT_ON
+
+   always @(posedge clk64)
+     if(rx_dsp_reset)
+       debug_counter <= #1 16'd0;
+     else if(~enable_rx)
+       debug_counter <= #1 16'd0;
+     else if(hb_strobe)
+       debug_counter <=#1 debug_counter + 16'd2;
+   
+   always @(posedge clk64)
+     if(strobe_interp)
+       begin
+         loopback_i_0 <= #1 ch0tx;
+         loopback_q_0 <= #1 ch1tx;
+       end
+   
+`ifdef COUNTER_32BIT_ON
+   assign ch0rx = counter_32bit?sample_counter_32bit[31:16]:counter ? debug_counter : loopback ? loopback_i_0 : bb_rx_i0;
+   assign ch1rx = counter_32bit?sample_counter_32bit[15:0]:counter ? debug_counter + 16'd1 : loopback ? loopback_q_0 : bb_rx_q0;
+   assign ch2rx = bb_rx_i1;
+   assign ch3rx = bb_rx_q1;
+   assign ch4rx = counter_32bit?bb_rx_i0:bb_rx_i2;
+   assign ch5rx = counter_32bit?bb_rx_q0:bb_rx_q2;// If using counter replicate channels here to be able to get rx_i0 when using counter
+                           //This means if you use 4 channels that channel 3 will be replaced by channel 0
+                           // and channel 0 will output the 32 bit counter.
+   assign ch6rx = bb_rx_i3;
+   assign ch7rx = bb_rx_q3;
+`else
+   assign ch0rx = counter ? debug_counter : loopback ? loopback_i_0 : bb_rx_i0;
+   assign ch1rx = counter ? debug_counter + 16'd1 : loopback ? loopback_q_0 : bb_rx_q0;
+   assign ch2rx = bb_rx_i1;
+   assign ch3rx = bb_rx_q1;
+   assign ch4rx = bb_rx_i2;
+   assign ch5rx = bb_rx_q2;
+   assign ch6rx = bb_rx_i3;
+   assign ch7rx = bb_rx_q3;
+`endif //  `ifdef COUNTER_32BIT_ON
+
+
+   wire [15:0] ddc0_in_i,ddc0_in_q,ddc1_in_i,ddc1_in_q,ddc2_in_i,ddc2_in_q,ddc3_in_i,ddc3_in_q;
+   adc_interface adc_interface(.clock(clk64),.reset(rx_dsp_reset),.enable(1'b1),
+                              .serial_addr(serial_addr),.serial_data(serial_data),.serial_strobe(serial_strobe),
+                              .rx_a_a(rx_a_a),.rx_b_a(rx_b_a),.rx_a_b(rx_a_b),.rx_b_b(rx_b_b),
+                              .ddc0_in_i(ddc0_in_i),.ddc0_in_q(ddc0_in_q),
+                              .ddc1_in_i(ddc1_in_i),.ddc1_in_q(ddc1_in_q),
+                              .ddc2_in_i(ddc2_in_i),.ddc2_in_q(ddc2_in_q),
+                              .ddc3_in_i(ddc3_in_i),.ddc3_in_q(ddc3_in_q),.rx_numchan(rx_numchan) );
+   
+   rx_buffer rx_buffer
+     ( .usbclk(usbclk),.bus_reset(rx_bus_reset),.reset(rx_dsp_reset | reset_data),
+       .reset_regs(rx_dsp_reset),
+       .usbdata(usbdata_out),.RD(RD),.have_pkt_rdy(have_pkt_rdy),.rx_overrun(rx_overrun),
+       .channels(rx_numchan),
+       .ch_0(ch0rx),.ch_1(ch1rx),
+       .ch_2(ch2rx),.ch_3(ch3rx),
+       .ch_4(ch4rx),.ch_5(ch5rx),
+       .ch_6(ch6rx),.ch_7(ch7rx),
+       .rxclk(clk64),.rxstrobe(hb_strobe),
+       .clear_status(clear_status),
+       .serial_addr(serial_addr),.serial_data(serial_data),.serial_strobe(serial_strobe),
+       .debugbus(rx_debugbus) );
+   
+ `ifdef RX_EN_0
+   rx_chain #(`FR_RX_FREQ_0,`FR_RX_PHASE_0) rx_chain_0
+     ( .clock(clk64),.reset(reset_data),.enable(enable_rx),
+       .decim_rate(decim_rate),.sample_strobe(rx_sample_strobe),.decimator_strobe(strobe_decim),.hb_strobe(hb_strobe),
+       .serial_addr(serial_addr),.serial_data(serial_data),.serial_strobe(serial_strobe),
+       .i_in(ddc0_in_i),.q_in(ddc0_in_q),.i_out(bb_rx_i0),.q_out(bb_rx_q0),.debugdata(debugdata),.debugctrl(debugctrl));
+ `else
+   assign      bb_rx_i0=16'd0;
+   assign      bb_rx_q0=16'd0;
+ `endif
+   
+ `ifdef RX_EN_1
+   rx_chain #(`FR_RX_FREQ_1,`FR_RX_PHASE_1) rx_chain_1
+     ( .clock(clk64),.reset(reset_data),.enable(enable_rx),
+       .decim_rate(decim_rate),.sample_strobe(rx_sample_strobe),.decimator_strobe(strobe_decim),.hb_strobe(),
+       .serial_addr(serial_addr),.serial_data(serial_data),.serial_strobe(serial_strobe),
+       .i_in(ddc1_in_i),.q_in(ddc1_in_q),.i_out(bb_rx_i1),.q_out(bb_rx_q1));
+ `else
+   assign      bb_rx_i1=16'd0;
+   assign      bb_rx_q1=16'd0;
+ `endif
+   
+ `ifdef RX_EN_2
+   rx_chain #(`FR_RX_FREQ_2,`FR_RX_PHASE_2) rx_chain_2
+     ( .clock(clk64),.reset(reset_data),.enable(enable_rx),
+       .decim_rate(decim_rate),.sample_strobe(rx_sample_strobe),.decimator_strobe(strobe_decim),.hb_strobe(),
+       .serial_addr(serial_addr),.serial_data(serial_data),.serial_strobe(serial_strobe),
+       .i_in(ddc2_in_i),.q_in(ddc2_in_q),.i_out(bb_rx_i2),.q_out(bb_rx_q2));
+ `else
+   assign      bb_rx_i2=16'd0;
+   assign      bb_rx_q2=16'd0;
+ `endif
+
+ `ifdef RX_EN_3
+   rx_chain #(`FR_RX_FREQ_3,`FR_RX_PHASE_3) rx_chain_3
+     ( .clock(clk64),.reset(reset_data),.enable(enable_rx),
+       .decim_rate(decim_rate),.sample_strobe(rx_sample_strobe),.decimator_strobe(strobe_decim),.hb_strobe(),
+       .serial_addr(serial_addr),.serial_data(serial_data),.serial_strobe(serial_strobe),
+       .i_in(ddc3_in_i),.q_in(ddc3_in_q),.i_out(bb_rx_i3),.q_out(bb_rx_q3));
+   assign      bb_rx_i3=16'd0;
+   assign      bb_rx_q3=16'd0;
+ `endif
+
+`endif //  `ifdef RX_ON
+   
+   ///////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////
+   // Control Functions
+
+   wire [31:0] capabilities;
+   assign      capabilities[7] =   `TX_CAP_HB;
+   assign      capabilities[6:4] = `TX_CAP_NCHAN;
+   assign      capabilities[3] =   `RX_CAP_HB;
+   assign      capabilities[2:0] = `RX_CAP_NCHAN;
+
+
+   serial_io serial_io
+     ( .master_clk(clk64),.serial_clock(SCLK),.serial_data_in(SDI),
+       .enable(SEN_FPGA),.reset(1'b0),.serial_data_out(SDO),
+       .serial_addr(serial_addr),.serial_data(serial_data),.serial_strobe(serial_strobe),
+       .readback_0({io_rx_a,io_tx_a}),.readback_1({io_rx_b,io_tx_b}),.readback_2(capabilities),.readback_3(32'hf0f0931a) );
+
+   wire [15:0] reg_0,reg_1,reg_2,reg_3;
+
+`ifdef MULTI_ON
+
+   master_control_multi master_control
+     ( .master_clk(clk64),.usbclk(usbclk),
+       .serial_addr(serial_addr),.serial_data(serial_data),.serial_strobe(serial_strobe),
+       .rx_slave_sync(io_rx_a[`bitnoFR_RX_SYNC_INPUT_IOPIN]),
+       .tx_bus_reset(tx_bus_reset),.rx_bus_reset(rx_bus_reset),
+       .tx_dsp_reset(tx_dsp_reset),.rx_dsp_reset(rx_dsp_reset),
+       .enable_tx(enable_tx),.enable_rx(enable_rx),
+       .sync_rx(sync_rx),
+       .interp_rate(interp_rate),.decim_rate(decim_rate),
+       .tx_sample_strobe(tx_sample_strobe),.strobe_interp(strobe_interp),
+       .rx_sample_strobe(rx_sample_strobe),.strobe_decim(strobe_decim),
+       .tx_empty(tx_empty),
+       //.debug_0(rx_a_a),.debug_1(ddc0_in_i),
+       .debug_0(rx_debugbus),.debug_1(ddc0_in_i),
+       .debug_2({rx_sample_strobe,strobe_decim,serial_strobe,serial_addr}),.debug_3({rx_dsp_reset,tx_dsp_reset,rx_bus_reset,tx_bus_reset,enable_rx,tx_underrun,rx_overrun,decim_rate}),
+       .reg_0(reg_0),.reg_1(reg_1),.reg_2(reg_2),.reg_3(reg_3) );
+
+`else //`ifdef MULTI_ON
+
+   master_control master_control
+     ( .master_clk(clk64),.usbclk(usbclk),
+       .serial_addr(serial_addr),.serial_data(serial_data),.serial_strobe(serial_strobe),
+       .tx_bus_reset(tx_bus_reset),.rx_bus_reset(rx_bus_reset),
+       .tx_dsp_reset(tx_dsp_reset),.rx_dsp_reset(rx_dsp_reset),
+       .enable_tx(enable_tx),.enable_rx(enable_rx),
+       .interp_rate(interp_rate),.decim_rate(decim_rate),
+       .tx_sample_strobe(tx_sample_strobe),.strobe_interp(strobe_interp),
+       .rx_sample_strobe(rx_sample_strobe),.strobe_decim(strobe_decim),
+       .tx_empty(tx_empty),
+       //.debug_0(rx_a_a),.debug_1(ddc0_in_i),
+       .debug_0(rx_debugbus),.debug_1(ddc0_in_i),
+       .debug_2({rx_sample_strobe,strobe_decim,serial_strobe,serial_addr}),.debug_3({rx_dsp_reset,tx_dsp_reset,rx_bus_reset,tx_bus_reset,enable_rx,tx_underrun,rx_overrun,decim_rate}),
+       .reg_0(reg_0),.reg_1(reg_1),.reg_2(reg_2),.reg_3(reg_3) );
+
+`endif //`ifdef MULTI_ON   
+
+   io_pins io_pins
+     (.io_0(io_tx_a),.io_1(io_rx_a),.io_2(io_tx_b),.io_3(io_rx_b),
+      .reg_0(reg_0),.reg_1(reg_1),.reg_2(reg_2),.reg_3(reg_3),
+      .clock(clk64),.rx_reset(rx_dsp_reset),.tx_reset(tx_dsp_reset),
+      .serial_addr(serial_addr),.serial_data(serial_data),.serial_strobe(serial_strobe));
+   
+   ////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////
+   // Misc Settings
+   setting_reg #(`FR_MODE) sr_misc(.clock(clk64),.reset(rx_dsp_reset),.strobe(serial_strobe),.addr(serial_addr),.in(serial_data),.out(settings));
+
+endmodule // usrp_multi
diff --git a/usrp/fpga/toplevel/usrp_std/config.vh b/usrp/fpga/toplevel/usrp_std/config.vh
new file mode 100644 (file)
index 0000000..f1f8ec4
--- /dev/null
@@ -0,0 +1,53 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2006,2007 Matt Ettus
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+// ====================================================================
+//            User control over what parts get included
+//
+//                  >>>> EDIT ONLY THIS SECTION <<<<
+//                  Uncomment only ONE configuration
+// ====================================================================
+
+// ====================================================================
+// FIXME drive configuration selection from the command line and/or gui
+// ====================================================================
+
+// Uncomment this for 1 rx channel (w/ halfband) & 1 transmit channel
+//`include "../include/common_config_1rxhb_1tx.vh"
+
+// Uncomment this for 2 rx channels (w/ halfband) & 2 transmit channels
+  `include "../include/common_config_2rxhb_2tx.vh"
+
+// Uncomment this for 4 rx channels (w/o halfband) & 0 transmit channels
+//`include "../include/common_config_4rx_0tx.vh"
+
+// Uncomment this for multi with 2 rx channels (w/ halfband) & 0 transmit channels
+//`include "../include/common_config_2rxhb_0tx.vh"
+
+// Uncomment this for multi with 2 rx channels (w/o halfband) & 0 transmit channels
+//`include "../include/common_config_2rx_0tx.vh"
+
+// Add other "known to fit" configurations here...
+
+// ====================================================================
+//  Now include the common footer
+// ====================================================================
+  `include "../include/common_config_bottom.vh"
diff --git a/usrp/fpga/toplevel/usrp_std/usrp_std.csf b/usrp/fpga/toplevel/usrp_std/usrp_std.csf
new file mode 100644 (file)
index 0000000..627197c
--- /dev/null
@@ -0,0 +1,444 @@
+COMPILER_SETTINGS
+{
+       IO_PLACEMENT_OPTIMIZATION = OFF;
+       ENABLE_DRC_SETTINGS = OFF;
+       PHYSICAL_SYNTHESIS_REGISTER_RETIMING = OFF;
+       PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION = OFF;
+       PHYSICAL_SYNTHESIS_COMBO_LOGIC = OFF;
+       DRC_FANOUT_EXCEEDING = 30;
+       DRC_REPORT_FANOUT_EXCEEDING = OFF;
+       DRC_TOP_FANOUT = 50;
+       DRC_REPORT_TOP_FANOUT = OFF;
+       RUN_DRC_DURING_COMPILATION = OFF;
+       ADV_NETLIST_OPT_RETIME_CORE_AND_IO = ON;
+       ADV_NETLIST_OPT_SYNTH_USE_FITTER_INFO = OFF;
+       ADV_NETLIST_OPT_SYNTH_GATE_RETIME = OFF;
+       ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP = OFF;
+       SMART_COMPILE_IGNORES_TDC_FOR_STRATIX_PLL_CHANGES = OFF;
+       MERGE_HEX_FILE = OFF;
+       TRUE_WYSIWYG_FLOW = OFF;
+       SEED = 1;
+       FINAL_PLACEMENT_OPTIMIZATION = AUTOMATICALLY;
+       FAMILY = Cyclone;
+       DPRAM_DUAL_PORT_MODE_OTHER_SIGNALS_EPXA1 = "DPRAM0 TO 1 DPRAM1 TO 2";
+       DPRAM_32BIT_SINGLE_PORT_MODE_OTHER_SIGNALS_EPXA1 = "MEGALAB COLUMN 1";
+       DPRAM_8BIT_16BIT_SINGLE_PORT_MODE_OTHER_SIGNALS_EPXA1 = "MEGALAB COLUMN 1";
+       DPRAM_DUAL_PORT_MODE_OUTPUT_EPXA1 = "DPRAM0 TO 1 DPRAM1 TO 2";
+       DPRAM_32BIT_SINGLE_PORT_MODE_OUTPUT_EPXA1 = "LOWER TO 1ESB UPPER TO 1";
+       DPRAM_8BIT_16BIT_SINGLE_PORT_MODE_OUTPUT_EPXA1 = "MEGALAB COLUMN 1";
+       DPRAM_DUAL_PORT_MODE_INPUT_EPXA1 = "DPRAM0 TO 1 DPRAM1 TO 2";
+       DPRAM_32BIT_SINGLE_PORT_MODE_INPUT_EPXA1 = "MEGALAB COLUMN 1";
+       DPRAM_8BIT_16BIT_SINGLE_PORT_MODE_INPUT_EPXA1 = "MEGALAB COLUMN 1";
+       DPRAM_DUAL_PORT_MODE_OTHER_SIGNALS_EPXA4_10 = "DPRAM0 TO 3 DPRAM1 TO 4";
+       DPRAM_SINGLE_PORT_MODE_OTHER_SIGNALS_EPXA4_10 = "DPRAM0 TO 3 DPRAM1 TO 4";
+       DPRAM_WIDE_MODE_OTHER_SIGNALS_EPXA4_10 = "MEGALAB COLUMN 3";
+       DPRAM_DEEP_MODE_OTHER_SIGNALS_EPXA4_10 = "MEGALAB COLUMN 3";
+       DPRAM_DUAL_PORT_MODE_OUTPUT_EPXA4_10 = "DPRAM0 TO 3 DPRAM1 TO 4ESB";
+       DPRAM_SINGLE_PORT_MODE_OUTPUT_EPXA4_10 = "DPRAM0 TO 3 DPRAM1 TO 4ESB";
+       DPRAM_WIDE_MODE_OUTPUT_EPXA4_10 = "LOWER TO 3 UPPER TO 4ESB";
+       DPRAM_DEEP_MODE_OUTPUT_EPXA4_10 = "MEGALAB COLUMN 3";
+       DPRAM_DUAL_PORT_MODE_INPUT_EPXA4_10 = "DPRAM0 TO 3 DPRAM1 TO 4";
+       DPRAM_SINGLE_PORT_MODE_INPUT_EPXA4_10 = "DPRAM0 TO 3 DPRAM1 TO 4";
+       DPRAM_WIDE_MODE_INPUT_EPXA4_10 = "LOWER TO 3 UPPER TO 4";
+       DPRAM_DEEP_MODE_INPUT_EPXA4_10 = "MEGALAB COLUMN 3";
+       DPRAM_OTHER_SIGNALS_EPXA4_10 = "DEFAULT OTHER ROUTING OPTIONS";
+       DPRAM_OUTPUT_EPXA4_10 = "DEFAULT OUTPUT ROUTING OPTIONS";
+       DPRAM_INPUT_EPXA4_10 = "DEFAULT INPUT ROUTING OPTIONS";
+       STRIPE_TO_PLD_INTERRUPTS_EPXA4_10 = "MEGALAB COLUMN 2";
+       PLD_TO_STRIPE_INTERRUPTS_EPXA4_10 = "MEGALAB COLUMN 2";
+       PROCESSOR_DEBUG_EXTENSIONS_EPXA4_10 = "MEGALAB COLUMN 2";
+       STRIPE_TO_PLD_BRIDGE_EPXA4_10 = "MEGALAB COLUMN 1";
+       FAST_FIT_COMPILATION = OFF;
+       SIGNALPROBE_DURING_NORMAL_COMPILATION = OFF;
+       OPTIMIZE_IOC_REGISTER_PLACEMENT_FOR_TIMING = ON;
+       OPTIMIZE_TIMING = "NORMAL COMPILATION";
+       OPTIMIZE_HOLD_TIMING = OFF;
+       COMPILATION_LEVEL = FULL;
+       SAVE_DISK_SPACE = OFF;
+       SPEED_DISK_USAGE_TRADEOFF = NORMAL;
+       LOGICLOCK_INCREMENTAL_COMPILE_ASSIGNMENT = OFF;
+       SIGNALPROBE_ALLOW_OVERUSE = OFF;
+       FOCUS_ENTITY_NAME = |usrp_std;
+       ROUTING_BACK_ANNOTATION_MODE = OFF;
+       INC_PLC_MODE = OFF;
+       FIT_ONLY_ONE_ATTEMPT = OFF;
+}
+DEFAULT_DEVICE_OPTIONS
+{
+       GENERATE_CONFIG_HEXOUT_FILE = OFF;
+       GENERATE_CONFIG_JBC_FILE_COMPRESSED = ON;
+       GENERATE_CONFIG_JBC_FILE = OFF;
+       GENERATE_CONFIG_JAM_FILE = OFF;
+       GENERATE_CONFIG_ISC_FILE = OFF;
+       GENERATE_CONFIG_SVF_FILE = OFF;
+       GENERATE_JBC_FILE_COMPRESSED = ON;
+       GENERATE_JBC_FILE = OFF;
+       GENERATE_JAM_FILE = OFF;
+       GENERATE_ISC_FILE = OFF;
+       GENERATE_SVF_FILE = OFF;
+       RESERVE_PIN = "AS INPUT TRI-STATED";
+       RESERVE_ALL_UNUSED_PINS = "AS OUTPUT DRIVING GROUND";
+       HEXOUT_FILE_COUNT_DIRECTION = UP;
+       HEXOUT_FILE_START_ADDRESS = 0;
+       GENERATE_HEX_FILE = OFF;
+       GENERATE_RBF_FILE = OFF;
+       GENERATE_TTF_FILE = OFF;
+       RESERVE_ASDO_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       RESERVE_DATA0_AFTER_CONFIGURATION = "AS INPUT TRI-STATED";
+       RESERVE_DATA7_THROUGH_DATA1_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       RESERVE_RDYNBUSY_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       RESERVE_NWS_NRS_NCS_CS_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       DISABLE_NCS_AND_OE_PULLUPS_ON_CONFIG_DEVICE = OFF;
+       AUTO_INCREMENT_CONFIG_DEVICE_JTAG_USER_CODE = ON;
+       EPROM_USE_CHECKSUM_AS_USERCODE = OFF;
+       FLEX10K_CONFIG_DEVICE_JTAG_USER_CODE = FFFFFFFF;
+       MERCURY_CONFIG_DEVICE_JTAG_USER_CODE = FFFFFFFF;
+       STRATIX_CONFIG_DEVICE_JTAG_USER_CODE = FFFFFFFF;
+       APEX20K_CONFIG_DEVICE_JTAG_USER_CODE = FFFFFFFF;
+       STRATIX_CONFIGURATION_DEVICE = AUTO;
+       CYCLONE_CONFIGURATION_DEVICE = AUTO;
+       FLEX10K_CONFIGURATION_DEVICE = AUTO;
+       FLEX6K_CONFIGURATION_DEVICE = AUTO;
+       MERCURY_CONFIGURATION_DEVICE = AUTO;
+       EXCALIBUR_CONFIGURATION_DEVICE = AUTO;
+       APEX20K_CONFIGURATION_DEVICE = AUTO;
+       USE_CONFIGURATION_DEVICE = ON;
+       ENABLE_INIT_DONE_OUTPUT = OFF;
+       FLEX10K_ENABLE_LOCK_OUTPUT = OFF;
+       ENABLE_DEVICE_WIDE_OE = OFF;
+       ENABLE_DEVICE_WIDE_RESET = OFF;
+       RELEASE_CLEARS_BEFORE_TRI_STATES = OFF;
+       AUTO_RESTART_CONFIGURATION = OFF;
+       ENABLE_VREFB_PIN = OFF;
+       ENABLE_VREFA_PIN = OFF;
+       SECURITY_BIT = OFF;
+       USER_START_UP_CLOCK = OFF;
+       APEXII_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       FLEX10K_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       FLEX6K_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       MERCURY_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       EXCALIBUR_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       CYCLONE_CONFIGURATION_SCHEME = "ACTIVE SERIAL";
+       STRATIX_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       APEX20K_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       STRATIX_UPDATE_MODE = STANDARD;
+       USE_CHECKSUM_AS_USERCODE = OFF;
+       MAX7000_USE_CHECKSUM_AS_USERCODE = OFF;
+       MAX7000_JTAG_USER_CODE = FFFFFFFF;
+       FLEX10K_JTAG_USER_CODE = 7F;
+       MERCURY_JTAG_USER_CODE = FFFFFFFF;
+       APEX20K_JTAG_USER_CODE = FFFFFFFF;
+       STRATIX_JTAG_USER_CODE = FFFFFFFF;
+       MAX7000S_JTAG_USER_CODE = FFFF;
+       RESERVE_NCEO_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       FLEX10K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE = ON;
+       FLEX6K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE = OFF;
+       ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE = ON;
+       MAX7000_ENABLE_JTAG_BST_SUPPORT = ON;
+       ENABLE_JTAG_BST_SUPPORT = OFF;
+       CONFIGURATION_CLOCK_DIVISOR = 1;
+       CONFIGURATION_CLOCK_FREQUENCY = "10 MHZ";
+       CLOCK_SOURCE = INTERNAL;
+       COMPRESSION_MODE = OFF;
+       ON_CHIP_BITSTREAM_DECOMPRESSION = OFF;
+}
+AUTO_SLD_HUB_ENTITY
+{
+       AUTO_INSERT_SLD_HUB_ENTITY = ENABLE;
+       HUB_INSTANCE_NAME = SLD_HUB_INST;
+       HUB_ENTITY_NAME = SLD_HUB;
+}
+SIGNALTAP_LOGIC_ANALYZER_SETTINGS
+{
+       ENABLE_SIGNALTAP = Off;
+       AUTO_ENABLE_SMART_COMPILE = On;
+}
+CHIP(usrp_std)
+{
+       DEVICE = EP1C12Q240C8;
+       DEVICE_FILTER_PACKAGE = "ANY QFP";
+       DEVICE_FILTER_PIN_COUNT = 240;
+       DEVICE_FILTER_SPEED_GRADE = ANY;
+       AUTO_RESTART_CONFIGURATION = OFF;
+       RELEASE_CLEARS_BEFORE_TRI_STATES = OFF;
+       USER_START_UP_CLOCK = OFF;
+       ENABLE_DEVICE_WIDE_RESET = OFF;
+       ENABLE_DEVICE_WIDE_OE = OFF;
+       ENABLE_INIT_DONE_OUTPUT = OFF;
+       FLEX10K_ENABLE_LOCK_OUTPUT = OFF;
+       ENABLE_JTAG_BST_SUPPORT = OFF;
+       MAX7000_ENABLE_JTAG_BST_SUPPORT = ON;
+       APEX20K_JTAG_USER_CODE = FFFFFFFF;
+       MERCURY_JTAG_USER_CODE = FFFFFFFF;
+       FLEX10K_JTAG_USER_CODE = 7F;
+       MAX7000_JTAG_USER_CODE = FFFFFFFF;
+       MAX7000S_JTAG_USER_CODE = FFFF;
+       STRATIX_JTAG_USER_CODE = FFFFFFFF;
+       APEX20K_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       MERCURY_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       FLEX6K_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       FLEX10K_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       EXCALIBUR_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       APEXII_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       STRATIX_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       CYCLONE_CONFIGURATION_SCHEME = "PASSIVE SERIAL";
+       USE_CONFIGURATION_DEVICE = OFF;
+       APEX20K_CONFIGURATION_DEVICE = AUTO;
+       MERCURY_CONFIGURATION_DEVICE = AUTO;
+       FLEX6K_CONFIGURATION_DEVICE = AUTO;
+       FLEX10K_CONFIGURATION_DEVICE = AUTO;
+       EXCALIBUR_CONFIGURATION_DEVICE = AUTO;
+       STRATIX_CONFIGURATION_DEVICE = AUTO;
+       CYCLONE_CONFIGURATION_DEVICE = AUTO;
+       STRATIX_UPDATE_MODE = STANDARD;
+       APEX20K_CONFIG_DEVICE_JTAG_USER_CODE = FFFFFFFF;
+       MERCURY_CONFIG_DEVICE_JTAG_USER_CODE = FFFFFFFF;
+       FLEX10K_CONFIG_DEVICE_JTAG_USER_CODE = FFFFFFFF;
+       STRATIX_CONFIG_DEVICE_JTAG_USER_CODE = FFFFFFFF;
+       AUTO_INCREMENT_CONFIG_DEVICE_JTAG_USER_CODE = ON;
+       DISABLE_NCS_AND_OE_PULLUPS_ON_CONFIG_DEVICE = OFF;
+       COMPRESSION_MODE = OFF;
+       ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE = ON;
+       FLEX6K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE = OFF;
+       FLEX10K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE = ON;
+       EPROM_USE_CHECKSUM_AS_USERCODE = OFF;
+       USE_CHECKSUM_AS_USERCODE = OFF;
+       MAX7000_USE_CHECKSUM_AS_USERCODE = OFF;
+       GENERATE_TTF_FILE = OFF;
+       GENERATE_RBF_FILE = ON;
+       GENERATE_HEX_FILE = OFF;
+       SECURITY_BIT = OFF;
+       ENABLE_VREFA_PIN = OFF;
+       ENABLE_VREFB_PIN = OFF;
+       GENERATE_SVF_FILE = OFF;
+       GENERATE_ISC_FILE = OFF;
+       GENERATE_JAM_FILE = OFF;
+       GENERATE_JBC_FILE = OFF;
+       GENERATE_JBC_FILE_COMPRESSED = ON;
+       GENERATE_CONFIG_SVF_FILE = OFF;
+       GENERATE_CONFIG_ISC_FILE = OFF;
+       GENERATE_CONFIG_JAM_FILE = OFF;
+       GENERATE_CONFIG_JBC_FILE = OFF;
+       GENERATE_CONFIG_JBC_FILE_COMPRESSED = ON;
+       GENERATE_CONFIG_HEXOUT_FILE = OFF;
+       ON_CHIP_BITSTREAM_DECOMPRESSION = OFF;
+       BASE_PIN_OUT_FILE_ON_SAMEFRAME_DEVICE = OFF;
+       HEXOUT_FILE_START_ADDRESS = 0;
+       HEXOUT_FILE_COUNT_DIRECTION = UP;
+       RESERVE_ALL_UNUSED_PINS = "AS INPUT TRI-STATED";
+       STRATIX_DEVICE_IO_STANDARD = LVTTL;
+       CLOCK_SOURCE = INTERNAL;
+       CONFIGURATION_CLOCK_FREQUENCY = "10 MHZ";
+       CONFIGURATION_CLOCK_DIVISOR = 1;
+       RESERVE_NWS_NRS_NCS_CS_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       RESERVE_RDYNBUSY_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       RESERVE_DATA7_THROUGH_DATA1_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       RESERVE_DATA0_AFTER_CONFIGURATION = "AS INPUT TRI-STATED";
+       RESERVE_NCEO_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       RESERVE_ASDO_AFTER_CONFIGURATION = "USE AS REGULAR IO";
+       SCLK : LOCATION = Pin_101;
+       SDI : LOCATION = Pin_100;
+       SEN : LOCATION = Pin_98;
+       SLD : LOCATION = Pin_95;
+       adc1_data[0] : LOCATION = Pin_5;
+       adc1_data[10] : LOCATION = Pin_235;
+       adc1_data[11] : LOCATION = Pin_234;
+       adc1_data[1] : LOCATION = Pin_4;
+       adc1_data[2] : LOCATION = Pin_3;
+       adc1_data[3] : LOCATION = Pin_2;
+       adc1_data[4] : LOCATION = Pin_1;
+       adc1_data[4] : IO_STANDARD = LVTTL;
+       adc1_data[5] : LOCATION = Pin_240;
+       adc1_data[6] : LOCATION = Pin_239;
+       adc1_data[7] : LOCATION = Pin_238;
+       adc1_data[8] : LOCATION = Pin_237;
+       adc1_data[9] : LOCATION = Pin_236;
+       adc2_data[0] : LOCATION = Pin_20;
+       adc2_data[10] : LOCATION = Pin_8;
+       adc2_data[11] : LOCATION = Pin_7;
+       adc2_data[1] : LOCATION = Pin_19;
+       adc2_data[2] : LOCATION = Pin_18;
+       adc2_data[3] : LOCATION = Pin_17;
+       adc2_data[4] : LOCATION = Pin_16;
+       adc2_data[5] : LOCATION = Pin_15;
+       adc2_data[6] : LOCATION = Pin_14;
+       adc2_data[7] : LOCATION = Pin_13;
+       adc2_data[8] : LOCATION = Pin_12;
+       adc2_data[9] : LOCATION = Pin_11;
+       adc3_data[0] : LOCATION = Pin_200;
+       adc3_data[10] : LOCATION = Pin_184;
+       adc3_data[11] : LOCATION = Pin_183;
+       adc3_data[1] : LOCATION = Pin_197;
+       adc3_data[2] : LOCATION = Pin_196;
+       adc3_data[3] : LOCATION = Pin_195;
+       adc3_data[4] : LOCATION = Pin_194;
+       adc3_data[5] : LOCATION = Pin_193;
+       adc3_data[6] : LOCATION = Pin_188;
+       adc3_data[7] : LOCATION = Pin_187;
+       adc3_data[8] : LOCATION = Pin_186;
+       adc3_data[9] : LOCATION = Pin_185;
+       adc4_data[0] : LOCATION = Pin_222;
+       adc4_data[10] : LOCATION = Pin_203;
+       adc4_data[11] : LOCATION = Pin_202;
+       adc4_data[1] : LOCATION = Pin_219;
+       adc4_data[2] : LOCATION = Pin_217;
+       adc4_data[3] : LOCATION = Pin_216;
+       adc4_data[4] : LOCATION = Pin_215;
+       adc4_data[5] : LOCATION = Pin_214;
+       adc4_data[6] : LOCATION = Pin_213;
+       adc4_data[7] : LOCATION = Pin_208;
+       adc4_data[8] : LOCATION = Pin_207;
+       adc4_data[9] : LOCATION = Pin_206;
+       adc_oeb[0] : LOCATION = Pin_228;
+       adc_oeb[1] : LOCATION = Pin_21;
+       adc_oeb[2] : LOCATION = Pin_181;
+       adc_oeb[3] : LOCATION = Pin_218;
+       adc_otr[0] : LOCATION = Pin_233;
+       adc_otr[1] : LOCATION = Pin_6;
+       adc_otr[2] : LOCATION = Pin_182;
+       adc_otr[3] : LOCATION = Pin_201;
+       adclk0 : LOCATION = Pin_224;
+       adclk1 : LOCATION = Pin_226;
+       clk0 : LOCATION = Pin_28;
+       clk0 : RESERVE_PIN = "AS INPUT TRI-STATED";
+       clk0 : IO_STANDARD = LVTTL;
+       clk1 : LOCATION = Pin_29;
+       clk1 : RESERVE_PIN = "AS INPUT TRI-STATED";
+       clk1 : IO_STANDARD = LVTTL;
+       clk3 : LOCATION = Pin_152;
+       clk3 : RESERVE_PIN = "AS INPUT TRI-STATED";
+       clk3 : IO_STANDARD = LVTTL;
+       clk_120mhz : LOCATION = Pin_153;
+       clk_120mhz : IO_STANDARD = LVTTL;
+       clk_out : LOCATION = Pin_63;
+       clk_out : IO_STANDARD = LVTTL;
+       dac1_data[0] : LOCATION = Pin_165;
+       dac1_data[10] : LOCATION = Pin_177;
+       dac1_data[11] : LOCATION = Pin_178;
+       dac1_data[12] : LOCATION = Pin_179;
+       dac1_data[13] : LOCATION = Pin_180;
+       dac1_data[1] : LOCATION = Pin_166;
+       dac1_data[2] : LOCATION = Pin_167;
+       dac1_data[3] : LOCATION = Pin_168;
+       dac1_data[4] : LOCATION = Pin_169;
+       dac1_data[5] : LOCATION = Pin_170;
+       dac1_data[6] : LOCATION = Pin_173;
+       dac1_data[7] : LOCATION = Pin_174;
+       dac1_data[8] : LOCATION = Pin_175;
+       dac1_data[9] : LOCATION = Pin_176;
+       dac2_data[0] : LOCATION = Pin_159;
+       dac2_data[10] : LOCATION = Pin_163;
+       dac2_data[11] : LOCATION = Pin_139;
+       dac2_data[12] : LOCATION = Pin_164;
+       dac2_data[13] : LOCATION = Pin_138;
+       dac2_data[1] : LOCATION = Pin_158;
+       dac2_data[2] : LOCATION = Pin_160;
+       dac2_data[3] : LOCATION = Pin_156;
+       dac2_data[4] : LOCATION = Pin_161;
+       dac2_data[5] : LOCATION = Pin_144;
+       dac2_data[6] : LOCATION = Pin_162;
+       dac2_data[7] : LOCATION = Pin_141;
+       dac2_data[8] : LOCATION = Pin_143;
+       dac2_data[9] : LOCATION = Pin_140;
+       dac3_data[0] : LOCATION = Pin_122;
+       dac3_data[10] : LOCATION = Pin_134;
+       dac3_data[11] : LOCATION = Pin_135;
+       dac3_data[12] : LOCATION = Pin_136;
+       dac3_data[13] : LOCATION = Pin_137;
+       dac3_data[1] : LOCATION = Pin_123;
+       dac3_data[2] : LOCATION = Pin_124;
+       dac3_data[3] : LOCATION = Pin_125;
+       dac3_data[4] : LOCATION = Pin_126;
+       dac3_data[5] : LOCATION = Pin_127;
+       dac3_data[6] : LOCATION = Pin_128;
+       dac3_data[7] : LOCATION = Pin_131;
+       dac3_data[8] : LOCATION = Pin_132;
+       dac3_data[9] : LOCATION = Pin_133;
+       dac4_data[0] : LOCATION = Pin_104;
+       dac4_data[10] : LOCATION = Pin_118;
+       dac4_data[11] : LOCATION = Pin_119;
+       dac4_data[12] : LOCATION = Pin_120;
+       dac4_data[13] : LOCATION = Pin_121;
+       dac4_data[1] : LOCATION = Pin_105;
+       dac4_data[2] : LOCATION = Pin_106;
+       dac4_data[3] : LOCATION = Pin_107;
+       dac4_data[4] : LOCATION = Pin_108;
+       dac4_data[5] : LOCATION = Pin_113;
+       dac4_data[6] : LOCATION = Pin_114;
+       dac4_data[7] : LOCATION = Pin_115;
+       dac4_data[8] : LOCATION = Pin_116;
+       dac4_data[9] : LOCATION = Pin_117;
+       enable_rx : LOCATION = Pin_88;
+       enable_tx : LOCATION = Pin_93;
+       gndbus[0] : LOCATION = Pin_223;
+       gndbus[0] : RESERVE_PIN = "AS INPUT TRI-STATED";
+       gndbus[0] : IO_STANDARD = LVTTL;
+       gndbus[1] : LOCATION = Pin_225;
+       gndbus[1] : RESERVE_PIN = "AS INPUT TRI-STATED";
+       gndbus[1] : IO_STANDARD = LVTTL;
+       gndbus[2] : LOCATION = Pin_227;
+       gndbus[2] : RESERVE_PIN = "AS INPUT TRI-STATED";
+       gndbus[2] : IO_STANDARD = LVTTL;
+       gndbus[3] : LOCATION = Pin_62;
+       gndbus[3] : RESERVE_PIN = "AS INPUT TRI-STATED";
+       gndbus[3] : IO_STANDARD = LVTTL;
+       gndbus[4] : LOCATION = Pin_64;
+       gndbus[4] : RESERVE_PIN = "AS INPUT TRI-STATED";
+       gndbus[4] : IO_STANDARD = LVTTL;
+       misc_pins[0] : LOCATION = Pin_87;
+       misc_pins[0] : IO_STANDARD = LVTTL;
+       misc_pins[10] : LOCATION = Pin_76;
+       misc_pins[10] : IO_STANDARD = LVTTL;
+       misc_pins[11] : LOCATION = Pin_74;
+       misc_pins[11] : IO_STANDARD = LVTTL;
+       misc_pins[1] : LOCATION = Pin_86;
+       misc_pins[1] : IO_STANDARD = LVTTL;
+       misc_pins[2] : LOCATION = Pin_85;
+       misc_pins[2] : IO_STANDARD = LVTTL;
+       misc_pins[3] : LOCATION = Pin_84;
+       misc_pins[3] : IO_STANDARD = LVTTL;
+       misc_pins[4] : LOCATION = Pin_83;
+       misc_pins[4] : IO_STANDARD = LVTTL;
+       misc_pins[5] : LOCATION = Pin_82;
+       misc_pins[5] : IO_STANDARD = LVTTL;
+       misc_pins[6] : LOCATION = Pin_79;
+       misc_pins[6] : IO_STANDARD = LVTTL;
+       misc_pins[7] : LOCATION = Pin_78;
+       misc_pins[7] : IO_STANDARD = LVTTL;
+       misc_pins[8] : LOCATION = Pin_77;
+       misc_pins[8] : IO_STANDARD = LVTTL;
+       misc_pins[9] : LOCATION = Pin_75;
+       misc_pins[9] : IO_STANDARD = LVTTL;
+       reset : LOCATION = Pin_94;
+       usbclk : LOCATION = Pin_55;
+       usbctl[0] : LOCATION = Pin_56;
+       usbctl[1] : LOCATION = Pin_54;
+       usbctl[2] : LOCATION = Pin_53;
+       usbctl[3] : LOCATION = Pin_58;
+       usbctl[4] : LOCATION = Pin_57;
+       usbctl[5] : LOCATION = Pin_44;
+       usbdata[0] : LOCATION = Pin_73;
+       usbdata[10] : LOCATION = Pin_41;
+       usbdata[11] : LOCATION = Pin_39;
+       usbdata[12] : LOCATION = Pin_38;
+       usbdata[12] : IO_STANDARD = LVTTL;
+       usbdata[13] : LOCATION = Pin_37;
+       usbdata[14] : LOCATION = Pin_24;
+       usbdata[15] : LOCATION = Pin_23;
+       usbdata[1] : LOCATION = Pin_68;
+       usbdata[2] : LOCATION = Pin_67;
+       usbdata[3] : LOCATION = Pin_66;
+       usbdata[4] : LOCATION = Pin_65;
+       usbdata[5] : LOCATION = Pin_61;
+       usbdata[6] : LOCATION = Pin_60;
+       usbdata[7] : LOCATION = Pin_59;
+       usbdata[8] : LOCATION = Pin_43;
+       usbdata[9] : LOCATION = Pin_42;
+       usbrdy[0] : LOCATION = Pin_45;
+       usbrdy[1] : LOCATION = Pin_46;
+       usbrdy[2] : LOCATION = Pin_47;
+       usbrdy[3] : LOCATION = Pin_48;
+       usbrdy[4] : LOCATION = Pin_49;
+       usbrdy[5] : LOCATION = Pin_50;
+       clear_status : LOCATION = Pin_99;
+}
diff --git a/usrp/fpga/toplevel/usrp_std/usrp_std.esf b/usrp/fpga/toplevel/usrp_std/usrp_std.esf
new file mode 100644 (file)
index 0000000..b88c159
--- /dev/null
@@ -0,0 +1,14 @@
+SIMULATOR_SETTINGS
+{
+       ESTIMATE_POWER_CONSUMPTION = OFF;
+       GLITCH_INTERVAL = 1NS;
+       GLITCH_DETECTION = OFF;
+       SIMULATION_COVERAGE = ON;
+       CHECK_OUTPUTS = OFF;
+       SETUP_HOLD_DETECTION = OFF;
+       POWER_ESTIMATION_START_TIME = "0 NS";
+       ADD_DEFAULT_PINS_TO_SIMULATION_OUTPUT_WAVEFORMS = ON;
+       SIMULATION_MODE = TIMING;
+       START_TIME = 0NS;
+       USE_COMPILER_SETTINGS = usrp_std;
+}
diff --git a/usrp/fpga/toplevel/usrp_std/usrp_std.psf b/usrp/fpga/toplevel/usrp_std/usrp_std.psf
new file mode 100644 (file)
index 0000000..506c81b
--- /dev/null
@@ -0,0 +1,312 @@
+DEFAULT_DESIGN_ASSISTANT_SETTINGS
+{
+       HCPY_ALOAD_SIGNALS = OFF;
+       HCPY_VREF_PINS = OFF;
+       HCPY_CAT = OFF;
+       HCPY_ILLEGAL_HC_DEV_PKG = OFF;
+       ACLK_RULE_IMSZER_ADOMAIN = OFF;
+       ACLK_RULE_SZER_BTW_ACLK_DOMAIN = OFF;
+       ACLK_RULE_NO_SZER_ACLK_DOMAIN = OFF;
+       ACLK_CAT = OFF;
+       SIGNALRACE_RULE_ASYNCHPIN_SYNCH_CLKPIN = OFF;
+       SIGNALRACE_CAT = OFF;
+       NONSYNCHSTRUCT_RULE_LATCH_UNIDENTIFIED = OFF;
+       NONSYNCHSTRUCT_RULE_SRLATCH = OFF;
+       NONSYNCHSTRUCT_RULE_DLATCH = OFF;
+       NONSYNCHSTRUCT_RULE_MULTI_VIBRATOR = OFF;
+       NONSYNCHSTRUCT_RULE_ILLEGAL_PULSE_GEN = OFF;
+       NONSYNCHSTRUCT_RULE_RIPPLE_CLK = OFF;
+       NONSYNCHSTRUCT_RULE_DELAY_CHAIN = OFF;
+       NONSYNCHSTRUCT_RULE_REG_LOOP = OFF;
+       NONSYNCHSTRUCT_RULE_COMBLOOP = OFF;
+       NONSYNCHSTRUCT_CAT = OFF;
+       NONSYNCHSTRUCT_RULE_COMB_DRIVES_RAM_WE = OFF;
+       TIMING_RULE_COIN_CLKEDGE = OFF;
+       TIMING_RULE_SHIFT_REG = OFF;
+       TIMING_RULE_HIGH_FANOUTS = OFF;
+       TIMING_CAT = OFF;
+       RESET_RULE_ALL = OFF;
+       RESET_RULE_IMSYNCH_ASYNCH_DOMAIN = OFF;
+       RESET_RULE_UNSYNCH_ASYNCH_DOMAIN = OFF;
+       RESET_RULE_REG_ASNYCH = OFF;
+       RESET_RULE_COMB_ASYNCH_RESET = OFF;
+       RESET_RULE_IMSYNCH_EXRESET = OFF;
+       RESET_RULE_UNSYNCH_EXRESET = OFF;
+       RESET_RULE_INPINS_RESETNET = OFF;
+       RESET_CAT = OFF;
+       CLK_RULE_ALL = OFF;
+       CLK_RULE_MIX_EDGES = OFF;
+       CLK_RULE_CLKNET_CLKSPINES = OFF;
+       CLK_RULE_INPINS_CLKNET = OFF;
+       CLK_RULE_GATING_SCHEME = OFF;
+       CLK_RULE_INV_CLOCK = OFF;
+       CLK_RULE_COMB_CLOCK = OFF;
+       CLK_CAT = OFF;
+       HCPY_EXCEED_USER_IO_USAGE = OFF;
+       HCPY_EXCEED_RAM_USAGE = OFF;
+       NONSYNCHSTRUCT_RULE_ASYN_RAM = OFF;
+       SIGNALRACE_RULE_TRISTATE = OFF;
+       ASSG_RULE_MISSING_TIMING = OFF;
+       ASSG_RULE_MISSING_FMAX = OFF;
+       ASSG_CAT = OFF;
+}
+SYNTHESIS_FITTING_SETTINGS
+{
+       AUTO_SHIFT_REGISTER_RECOGNITION = ON;
+       AUTO_DSP_RECOGNITION = ON;
+       AUTO_RAM_RECOGNITION = ON;
+       REMOVE_DUPLICATE_LOGIC = ON;
+       AUTO_TURBO_BIT = ON;
+       AUTO_MERGE_PLLS = ON;
+       AUTO_OPEN_DRAIN_PINS = ON;
+       AUTO_PARALLEL_EXPANDERS = ON;
+       AUTO_FAST_OUTPUT_ENABLE_REGISTERS = OFF;
+       AUTO_FAST_OUTPUT_REGISTERS = OFF;
+       AUTO_FAST_INPUT_REGISTERS = OFF;
+       AUTO_CASCADE_CHAINS = ON;
+       AUTO_CARRY_CHAINS = ON;
+       AUTO_DELAY_CHAINS = ON;
+       MAX7000_PARALLEL_EXPANDER_CHAIN_LENGTH = 4;
+       PARALLEL_EXPANDER_CHAIN_LENGTH = 16;
+       CASCADE_CHAIN_LENGTH = 2;
+       STRATIX_CARRY_CHAIN_LENGTH = 70;
+       MERCURY_CARRY_CHAIN_LENGTH = 48;
+       FLEX10K_CARRY_CHAIN_LENGTH = 32;
+       FLEX6K_CARRY_CHAIN_LENGTH = 32;
+       CARRY_CHAIN_LENGTH = 48;
+       CARRY_OUT_PINS_LCELL_INSERT = ON;
+       NORMAL_LCELL_INSERT = ON;
+       AUTO_LCELL_INSERTION = ON;
+       ALLOW_XOR_GATE_USAGE = ON;
+       AUTO_PACKED_REGISTERS_STRATIX = NORMAL;
+       AUTO_PACKED_REGISTERS = OFF;
+       AUTO_PACKED_REG_CYCLONE = NORMAL;
+       FLEX10K_OPTIMIZATION_TECHNIQUE = AREA;
+       FLEX6K_OPTIMIZATION_TECHNIQUE = AREA;
+       MERCURY_OPTIMIZATION_TECHNIQUE = AREA;
+       APEX20K_OPTIMIZATION_TECHNIQUE = SPEED;
+       MAX7000_OPTIMIZATION_TECHNIQUE = SPEED;
+       STRATIX_OPTIMIZATION_TECHNIQUE = SPEED;
+       CYCLONE_OPTIMIZATION_TECHNIQUE = AREA;
+       FLEX10K_TECHNOLOGY_MAPPER = LUT;
+       FLEX6K_TECHNOLOGY_MAPPER = LUT;
+       MERCURY_TECHNOLOGY_MAPPER = LUT;
+       APEX20K_TECHNOLOGY_MAPPER = LUT;
+       MAX7000_TECHNOLOGY_MAPPER = "PRODUCT TERM";
+       STRATIX_TECHNOLOGY_MAPPER = LUT;
+       AUTO_IMPLEMENT_IN_ROM = OFF;
+       AUTO_GLOBAL_MEMORY_CONTROLS = OFF;
+       AUTO_GLOBAL_REGISTER_CONTROLS = ON;
+       AUTO_GLOBAL_OE = ON;
+       AUTO_GLOBAL_CLOCK = ON;
+       USE_LPM_FOR_AHDL_OPERATORS = ON;
+       LIMIT_AHDL_INTEGERS_TO_32_BITS = OFF;
+       ENABLE_BUS_HOLD_CIRCUITRY = OFF;
+       WEAK_PULL_UP_RESISTOR = OFF;
+       TURBO_BIT = ON;
+       MAX7000_IGNORE_SOFT_BUFFERS = OFF;
+       IGNORE_SOFT_BUFFERS = ON;
+       MAX7000_IGNORE_LCELL_BUFFERS = AUTO;
+       IGNORE_LCELL_BUFFERS = OFF;
+       IGNORE_ROW_GLOBAL_BUFFERS = OFF;
+       IGNORE_GLOBAL_BUFFERS = OFF;
+       IGNORE_CASCADE_BUFFERS = OFF;
+       IGNORE_CARRY_BUFFERS = OFF;
+       REMOVE_DUPLICATE_REGISTERS = ON;
+       REMOVE_REDUNDANT_LOGIC_CELLS = OFF;
+       ALLOW_POWER_UP_DONT_CARE = ON;
+       PCI_IO = OFF;
+       NOT_GATE_PUSH_BACK = ON;
+       SLOW_SLEW_RATE = OFF;
+       DSP_BLOCK_BALANCING = AUTO;
+       STATE_MACHINE_PROCESSING = AUTO;
+}
+DEFAULT_HARDCOPY_SETTINGS
+{
+       HARDCOPY_EXTERNAL_CLOCK_JITTER = "0.0 NS";
+}
+DEFAULT_TIMING_REQUIREMENTS
+{
+       INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS = OFF;
+       RUN_ALL_TIMING_ANALYSES = ON;
+       IGNORE_CLOCK_SETTINGS = OFF;
+       DEFAULT_HOLD_MULTICYCLE = "SAME AS MULTICYCLE";
+       CUT_OFF_IO_PIN_FEEDBACK = ON;
+       CUT_OFF_CLEAR_AND_PRESET_PATHS = ON;
+       CUT_OFF_READ_DURING_WRITE_PATHS = ON;
+       CUT_OFF_PATHS_BETWEEN_CLOCK_DOMAINS = ON;
+       DO_MIN_ANALYSIS = ON;
+       DO_MIN_TIMING = OFF;
+       NUMBER_OF_PATHS_TO_REPORT = 200;
+       NUMBER_OF_DESTINATION_TO_REPORT = 10;
+       NUMBER_OF_SOURCES_PER_DESTINATION_TO_REPORT = 10;
+       MAX_SCC_SIZE = 50;
+}
+HDL_SETTINGS
+{
+       VERILOG_INPUT_VERSION = VERILOG_2001;
+       ENABLE_IP_DEBUG = OFF;
+       VHDL_INPUT_VERSION = VHDL93;
+       VHDL_SHOW_LMF_MAPPING_MESSAGES = OFF;
+}
+PROJECT_INFO(usrp_std)
+{
+       ORIGINAL_QUARTUS_VERSION = 3.0;
+       PROJECT_CREATION_TIME_DATE = "00:14:04  JULY 13, 2003";
+       LAST_QUARTUS_VERSION = 3.0;
+       SHOW_REGISTRATION_MESSAGE = ON;
+       USER_LIBRARIES = "e:\usrp\fpga\megacells";
+}
+THIRD_PARTY_EDA_TOOLS(usrp_std)
+{
+       EDA_DESIGN_ENTRY_SYNTHESIS_TOOL = "<NONE>";
+       EDA_SIMULATION_TOOL = "<NONE>";
+       EDA_TIMING_ANALYSIS_TOOL = "<NONE>";
+       EDA_BOARD_DESIGN_TOOL = "<NONE>";
+       EDA_FORMAL_VERIFICATION_TOOL = "<NONE>";
+       EDA_RESYNTHESIS_TOOL = "<NONE>";
+}
+EDA_TOOL_SETTINGS(eda_design_synthesis)
+{
+       EDA_INPUT_GND_NAME = GND;
+       EDA_INPUT_VCC_NAME = VCC;
+       EDA_SHOW_LMF_MAPPING_MESSAGES = OFF;
+       EDA_RUN_TOOL_AUTOMATICALLY = OFF;
+       EDA_INPUT_DATA_FORMAT = EDIF;
+       EDA_OUTPUT_DATA_FORMAT = NONE;
+       USE_GENERATED_PHYSICAL_CONSTRAINTS = ON;
+       RESYNTHESIS_PHYSICAL_SYNTHESIS = NORMAL;
+       RESYNTHESIS_OPTIMIZATION_EFFORT = NORMAL;
+       RESYNTHESIS_RETIMING = FULL;
+}
+EDA_TOOL_SETTINGS(eda_simulation)
+{
+       EDA_INCLUDE_VHDL_CONFIGURATION_DECLARATION = OFF;
+       EDA_TRUNCATE_LONG_HIERARCHY_PATHS = OFF;
+       EDA_MAINTAIN_DESIGN_HIERARCHY = OFF;
+       EDA_WRITE_DEVICE_CONTROL_PORTS = OFF;
+       EDA_GENERATE_FUNCTIONAL_NETLIST = OFF;
+       EDA_FLATTEN_BUSES = OFF;
+       EDA_MAP_ILLEGAL_CHARACTERS = OFF;
+       EDA_EXCALIBUR_ATOMS_AS_SINGLE_STRIPE = OFF;
+       EDA_RUN_TOOL_AUTOMATICALLY = OFF;
+       EDA_OUTPUT_DATA_FORMAT = NONE;
+       USE_GENERATED_PHYSICAL_CONSTRAINTS = ON;
+       RESYNTHESIS_PHYSICAL_SYNTHESIS = NORMAL;
+       RESYNTHESIS_OPTIMIZATION_EFFORT = NORMAL;
+       RESYNTHESIS_RETIMING = FULL;
+}
+EDA_TOOL_SETTINGS(eda_timing_analysis)
+{
+       EDA_INCLUDE_VHDL_CONFIGURATION_DECLARATION = OFF;
+       EDA_TRUNCATE_LONG_HIERARCHY_PATHS = OFF;
+       EDA_MAINTAIN_DESIGN_HIERARCHY = OFF;
+       EDA_WRITE_DEVICE_CONTROL_PORTS = OFF;
+       EDA_GENERATE_FUNCTIONAL_NETLIST = OFF;
+       EDA_FLATTEN_BUSES = OFF;
+       EDA_MAP_ILLEGAL_CHARACTERS = OFF;
+       EDA_EXCALIBUR_ATOMS_AS_SINGLE_STRIPE = OFF;
+       EDA_RUN_TOOL_AUTOMATICALLY = OFF;
+       EDA_OUTPUT_DATA_FORMAT = NONE;
+       EDA_LAUNCH_CMD_LINE_TOOL = OFF;
+       USE_GENERATED_PHYSICAL_CONSTRAINTS = ON;
+       RESYNTHESIS_PHYSICAL_SYNTHESIS = NORMAL;
+       RESYNTHESIS_OPTIMIZATION_EFFORT = NORMAL;
+       RESYNTHESIS_RETIMING = FULL;
+}
+EDA_TOOL_SETTINGS(eda_board_design)
+{
+       EDA_INCLUDE_VHDL_CONFIGURATION_DECLARATION = OFF;
+       EDA_TRUNCATE_LONG_HIERARCHY_PATHS = OFF;
+       EDA_MAINTAIN_DESIGN_HIERARCHY = OFF;
+       EDA_WRITE_DEVICE_CONTROL_PORTS = OFF;
+       EDA_GENERATE_FUNCTIONAL_NETLIST = OFF;
+       EDA_FLATTEN_BUSES = OFF;
+       EDA_MAP_ILLEGAL_CHARACTERS = OFF;
+       EDA_EXCALIBUR_ATOMS_AS_SINGLE_STRIPE = OFF;
+       EDA_RUN_TOOL_AUTOMATICALLY = OFF;
+       EDA_OUTPUT_DATA_FORMAT = NONE;
+       USE_GENERATED_PHYSICAL_CONSTRAINTS = ON;
+       RESYNTHESIS_PHYSICAL_SYNTHESIS = NORMAL;
+       RESYNTHESIS_OPTIMIZATION_EFFORT = NORMAL;
+       RESYNTHESIS_RETIMING = FULL;
+}
+EDA_TOOL_SETTINGS(eda_formal_verification)
+{
+       EDA_INCLUDE_VHDL_CONFIGURATION_DECLARATION = OFF;
+       EDA_TRUNCATE_LONG_HIERARCHY_PATHS = OFF;
+       EDA_MAINTAIN_DESIGN_HIERARCHY = OFF;
+       EDA_WRITE_DEVICE_CONTROL_PORTS = OFF;
+       EDA_GENERATE_FUNCTIONAL_NETLIST = OFF;
+       EDA_FLATTEN_BUSES = OFF;
+       EDA_MAP_ILLEGAL_CHARACTERS = OFF;
+       EDA_EXCALIBUR_ATOMS_AS_SINGLE_STRIPE = OFF;
+       EDA_RUN_TOOL_AUTOMATICALLY = OFF;
+       EDA_OUTPUT_DATA_FORMAT = NONE;
+       USE_GENERATED_PHYSICAL_CONSTRAINTS = ON;
+       RESYNTHESIS_PHYSICAL_SYNTHESIS = NORMAL;
+       RESYNTHESIS_OPTIMIZATION_EFFORT = NORMAL;
+       RESYNTHESIS_RETIMING = FULL;
+}
+EDA_TOOL_SETTINGS(eda_palace)
+{
+       EDA_INCLUDE_VHDL_CONFIGURATION_DECLARATION = OFF;
+       EDA_TRUNCATE_LONG_HIERARCHY_PATHS = OFF;
+       EDA_MAINTAIN_DESIGN_HIERARCHY = OFF;
+       EDA_WRITE_DEVICE_CONTROL_PORTS = OFF;
+       EDA_GENERATE_FUNCTIONAL_NETLIST = OFF;
+       EDA_FLATTEN_BUSES = OFF;
+       EDA_MAP_ILLEGAL_CHARACTERS = OFF;
+       EDA_EXCALIBUR_ATOMS_AS_SINGLE_STRIPE = OFF;
+       EDA_RUN_TOOL_AUTOMATICALLY = OFF;
+       EDA_OUTPUT_DATA_FORMAT = NONE;
+       RESYNTHESIS_RETIMING = FULL;
+       RESYNTHESIS_PHYSICAL_SYNTHESIS = NORMAL;
+       RESYNTHESIS_OPTIMIZATION_EFFORT = NORMAL;
+       USE_GENERATED_PHYSICAL_CONSTRAINTS = ON;
+}
+CLOCK(clk_120mhz)
+{
+       FMAX_REQUIREMENT = "120.0 MHz";
+       INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS = OFF;
+       DUTY_CYCLE = 50;
+       DIVIDE_BASE_CLOCK_PERIOD_BY = 1;
+       MULTIPLY_BASE_CLOCK_PERIOD_BY = 1;
+       INVERT_BASE_CLOCK = OFF;
+}
+CLOCK(usbclk)
+{
+       FMAX_REQUIREMENT = "48.0 MHz";
+       INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS = OFF;
+       DUTY_CYCLE = 50;
+       DIVIDE_BASE_CLOCK_PERIOD_BY = 1;
+       MULTIPLY_BASE_CLOCK_PERIOD_BY = 1;
+       INVERT_BASE_CLOCK = OFF;
+}
+CLOCK(SCLK)
+{
+       FMAX_REQUIREMENT = "1.0 MHz";
+       INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS = OFF;
+       DUTY_CYCLE = 50;
+       DIVIDE_BASE_CLOCK_PERIOD_BY = 1;
+       MULTIPLY_BASE_CLOCK_PERIOD_BY = 1;
+       INVERT_BASE_CLOCK = OFF;
+}
+CLOCK(adclk0)
+{
+       FMAX_REQUIREMENT = "60.0 MHz";
+       INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS = OFF;
+       DUTY_CYCLE = 50;
+       DIVIDE_BASE_CLOCK_PERIOD_BY = 1;
+       MULTIPLY_BASE_CLOCK_PERIOD_BY = 1;
+       INVERT_BASE_CLOCK = OFF;
+}
+CLOCK(adclk1)
+{
+       FMAX_REQUIREMENT = "60.0 MHz";
+       INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS = OFF;
+       DUTY_CYCLE = 50;
+       DIVIDE_BASE_CLOCK_PERIOD_BY = 1;
+       MULTIPLY_BASE_CLOCK_PERIOD_BY = 1;
+       INVERT_BASE_CLOCK = OFF;
+}
diff --git a/usrp/fpga/toplevel/usrp_std/usrp_std.qpf b/usrp/fpga/toplevel/usrp_std/usrp_std.qpf
new file mode 100644 (file)
index 0000000..e8b2750
--- /dev/null
@@ -0,0 +1,29 @@
+# Copyright (C) 1991-2004 Altera Corporation
+# Any  megafunction  design,  and related netlist (encrypted  or  decrypted),
+# support information,  device programming or simulation file,  and any other
+# associated  documentation or information  provided by  Altera  or a partner
+# under  Altera's   Megafunction   Partnership   Program  may  be  used  only
+# to program  PLD  devices (but not masked  PLD  devices) from  Altera.   Any
+# other  use  of such  megafunction  design,  netlist,  support  information,
+# device programming or simulation file,  or any other  related documentation
+# or information  is prohibited  for  any  other purpose,  including, but not
+# limited to  modification,  reverse engineering,  de-compiling, or use  with
+# any other  silicon devices,  unless such use is  explicitly  licensed under
+# a separate agreement with  Altera  or a megafunction partner.  Title to the
+# intellectual property,  including patents,  copyrights,  trademarks,  trade
+# secrets,  or maskworks,  embodied in any such megafunction design, netlist,
+# support  information,  device programming or simulation file,  or any other
+# related documentation or information provided by  Altera  or a megafunction
+# partner, remains with Altera, the megafunction partner, or their respective
+# licensors. No other licenses, including any licenses needed under any third
+# party's intellectual property, are provided herein.
+
+
+
+QUARTUS_VERSION = "4.0"
+DATE = "17:10:11  December 20, 2004"
+
+
+# Active Revisions
+
+PROJECT_REVISION = "usrp_std"
diff --git a/usrp/fpga/toplevel/usrp_std/usrp_std.qsf b/usrp/fpga/toplevel/usrp_std/usrp_std.qsf
new file mode 100644 (file)
index 0000000..e0bac48
--- /dev/null
@@ -0,0 +1,409 @@
+# Copyright (C) 1991-2005 Altera Corporation
+# Your use of Altera Corporation's design tools, logic functions 
+# and other software and tools, and its AMPP partner logic       
+# functions, and any output files any of the foregoing           
+# (including device programming or simulation files), and any    
+# associated documentation or information are expressly subject  
+# to the terms and conditions of the Altera Program License      
+# Subscription Agreement, Altera MegaCore Function License       
+# Agreement, or other applicable license agreement, including,   
+# without limitation, that your use is for the sole purpose of   
+# programming logic devices manufactured by Altera and sold by   
+# Altera or its authorized distributors.  Please refer to the    
+# applicable agreement for further details.
+
+
+# The default values for assignments are stored in the file
+#              usrp_std_assignment_defaults.qdf
+# If this file doesn't exist, and for assignments not listed, see file
+#              assignment_defaults.qdf
+
+# Altera recommends that you do not modify this file. This
+# file is updated automatically by the Quartus II software
+# and any changes you make may be lost or overwritten.
+
+
+# Project-Wide Assignments
+# ========================
+set_global_assignment -name ORIGINAL_QUARTUS_VERSION 3.0
+set_global_assignment -name PROJECT_CREATION_TIME_DATE "00:14:04  JULY 13, 2003"
+set_global_assignment -name LAST_QUARTUS_VERSION "7.1 SP1"
+
+# Pin & Location Assignments
+# ==========================
+set_global_assignment -name RESERVE_PIN "AS INPUT TRI-STATED"
+set_location_assignment PIN_29 -to SCLK
+set_location_assignment PIN_117 -to SDI
+set_location_assignment PIN_28 -to usbclk
+set_location_assignment PIN_107 -to usbctl[0]
+set_location_assignment PIN_106 -to usbctl[1]
+set_location_assignment PIN_105 -to usbctl[2]
+set_location_assignment PIN_100 -to usbdata[0]
+set_location_assignment PIN_84 -to usbdata[10]
+set_location_assignment PIN_83 -to usbdata[11]
+set_location_assignment PIN_82 -to usbdata[12]
+set_location_assignment PIN_79 -to usbdata[13]
+set_location_assignment PIN_78 -to usbdata[14]
+set_location_assignment PIN_77 -to usbdata[15]
+set_location_assignment PIN_99 -to usbdata[1]
+set_location_assignment PIN_98 -to usbdata[2]
+set_location_assignment PIN_95 -to usbdata[3]
+set_location_assignment PIN_94 -to usbdata[4]
+set_location_assignment PIN_93 -to usbdata[5]
+set_location_assignment PIN_88 -to usbdata[6]
+set_location_assignment PIN_87 -to usbdata[7]
+set_location_assignment PIN_86 -to usbdata[8]
+set_location_assignment PIN_85 -to usbdata[9]
+set_location_assignment PIN_104 -to usbrdy[0]
+set_location_assignment PIN_101 -to usbrdy[1]
+set_location_assignment PIN_76 -to FX2_1
+set_location_assignment PIN_75 -to FX2_2
+set_location_assignment PIN_74 -to FX2_3
+set_location_assignment PIN_116 -to io_rx_a[0]
+set_location_assignment PIN_115 -to io_rx_a[1]
+set_location_assignment PIN_114 -to io_rx_a[2]
+set_location_assignment PIN_113 -to io_rx_a[3]
+set_location_assignment PIN_108 -to io_rx_a[4]
+set_location_assignment PIN_195 -to io_rx_a[5]
+set_location_assignment PIN_196 -to io_rx_a[6]
+set_location_assignment PIN_197 -to io_rx_a[7]
+set_location_assignment PIN_200 -to io_rx_a[8]
+set_location_assignment PIN_201 -to io_rx_a[9]
+set_location_assignment PIN_202 -to io_rx_a[10]
+set_location_assignment PIN_203 -to io_rx_a[11]
+set_location_assignment PIN_206 -to io_rx_a[12]
+set_location_assignment PIN_207 -to io_rx_a[13]
+set_location_assignment PIN_208 -to io_rx_a[14]
+set_location_assignment PIN_214 -to io_rx_b[0]
+set_location_assignment PIN_215 -to io_rx_b[1]
+set_location_assignment PIN_216 -to io_rx_b[2]
+set_location_assignment PIN_217 -to io_rx_b[3]
+set_location_assignment PIN_218 -to io_rx_b[4]
+set_location_assignment PIN_219 -to io_rx_b[5]
+set_location_assignment PIN_222 -to io_rx_b[6]
+set_location_assignment PIN_223 -to io_rx_b[7]
+set_location_assignment PIN_224 -to io_rx_b[8]
+set_location_assignment PIN_225 -to io_rx_b[9]
+set_location_assignment PIN_226 -to io_rx_b[10]
+set_location_assignment PIN_227 -to io_rx_b[11]
+set_location_assignment PIN_228 -to io_rx_b[12]
+set_location_assignment PIN_233 -to io_rx_b[13]
+set_location_assignment PIN_234 -to io_rx_b[14]
+set_location_assignment PIN_175 -to io_tx_a[0]
+set_location_assignment PIN_176 -to io_tx_a[1]
+set_location_assignment PIN_177 -to io_tx_a[2]
+set_location_assignment PIN_178 -to io_tx_a[3]
+set_location_assignment PIN_179 -to io_tx_a[4]
+set_location_assignment PIN_180 -to io_tx_a[5]
+set_location_assignment PIN_181 -to io_tx_a[6]
+set_location_assignment PIN_182 -to io_tx_a[7]
+set_location_assignment PIN_183 -to io_tx_a[8]
+set_location_assignment PIN_184 -to io_tx_a[9]
+set_location_assignment PIN_185 -to io_tx_a[10]
+set_location_assignment PIN_186 -to io_tx_a[11]
+set_location_assignment PIN_187 -to io_tx_a[12]
+set_location_assignment PIN_188 -to io_tx_a[13]
+set_location_assignment PIN_193 -to io_tx_a[14]
+set_location_assignment PIN_73 -to io_tx_b[0]
+set_location_assignment PIN_68 -to io_tx_b[1]
+set_location_assignment PIN_67 -to io_tx_b[2]
+set_location_assignment PIN_66 -to io_tx_b[3]
+set_location_assignment PIN_65 -to io_tx_b[4]
+set_location_assignment PIN_64 -to io_tx_b[5]
+set_location_assignment PIN_63 -to io_tx_b[6]
+set_location_assignment PIN_62 -to io_tx_b[7]
+set_location_assignment PIN_61 -to io_tx_b[8]
+set_location_assignment PIN_60 -to io_tx_b[9]
+set_location_assignment PIN_59 -to io_tx_b[10]
+set_location_assignment PIN_58 -to io_tx_b[11]
+set_location_assignment PIN_57 -to io_tx_b[12]
+set_location_assignment PIN_56 -to io_tx_b[13]
+set_location_assignment PIN_55 -to io_tx_b[14]
+set_location_assignment PIN_152 -to master_clk
+set_location_assignment PIN_144 -to rx_a_a[0]
+set_location_assignment PIN_143 -to rx_a_a[1]
+set_location_assignment PIN_141 -to rx_a_a[2]
+set_location_assignment PIN_140 -to rx_a_a[3]
+set_location_assignment PIN_139 -to rx_a_a[4]
+set_location_assignment PIN_138 -to rx_a_a[5]
+set_location_assignment PIN_137 -to rx_a_a[6]
+set_location_assignment PIN_136 -to rx_a_a[7]
+set_location_assignment PIN_135 -to rx_a_a[8]
+set_location_assignment PIN_134 -to rx_a_a[9]
+set_location_assignment PIN_133 -to rx_a_a[10]
+set_location_assignment PIN_132 -to rx_a_a[11]
+set_location_assignment PIN_23 -to rx_a_b[0]
+set_location_assignment PIN_21 -to rx_a_b[1]
+set_location_assignment PIN_20 -to rx_a_b[2]
+set_location_assignment PIN_19 -to rx_a_b[3]
+set_location_assignment PIN_18 -to rx_a_b[4]
+set_location_assignment PIN_17 -to rx_a_b[5]
+set_location_assignment PIN_16 -to rx_a_b[6]
+set_location_assignment PIN_15 -to rx_a_b[7]
+set_location_assignment PIN_14 -to rx_a_b[8]
+set_location_assignment PIN_13 -to rx_a_b[9]
+set_location_assignment PIN_12 -to rx_a_b[10]
+set_location_assignment PIN_11 -to rx_a_b[11]
+set_location_assignment PIN_131 -to rx_b_a[0]
+set_location_assignment PIN_128 -to rx_b_a[1]
+set_location_assignment PIN_127 -to rx_b_a[2]
+set_location_assignment PIN_126 -to rx_b_a[3]
+set_location_assignment PIN_125 -to rx_b_a[4]
+set_location_assignment PIN_124 -to rx_b_a[5]
+set_location_assignment PIN_123 -to rx_b_a[6]
+set_location_assignment PIN_122 -to rx_b_a[7]
+set_location_assignment PIN_121 -to rx_b_a[8]
+set_location_assignment PIN_120 -to rx_b_a[9]
+set_location_assignment PIN_119 -to rx_b_a[10]
+set_location_assignment PIN_118 -to rx_b_a[11]
+set_location_assignment PIN_8 -to rx_b_b[0]
+set_location_assignment PIN_7 -to rx_b_b[1]
+set_location_assignment PIN_6 -to rx_b_b[2]
+set_location_assignment PIN_5 -to rx_b_b[3]
+set_location_assignment PIN_4 -to rx_b_b[4]
+set_location_assignment PIN_3 -to rx_b_b[5]
+set_location_assignment PIN_2 -to rx_b_b[6]
+set_location_assignment PIN_240 -to rx_b_b[7]
+set_location_assignment PIN_239 -to rx_b_b[8]
+set_location_assignment PIN_238 -to rx_b_b[9]
+set_location_assignment PIN_237 -to rx_b_b[10]
+set_location_assignment PIN_236 -to rx_b_b[11]
+set_location_assignment PIN_156 -to SDO
+set_location_assignment PIN_153 -to SEN_FPGA
+set_location_assignment PIN_159 -to tx_a[0]
+set_location_assignment PIN_160 -to tx_a[1]
+set_location_assignment PIN_161 -to tx_a[2]
+set_location_assignment PIN_162 -to tx_a[3]
+set_location_assignment PIN_163 -to tx_a[4]
+set_location_assignment PIN_164 -to tx_a[5]
+set_location_assignment PIN_165 -to tx_a[6]
+set_location_assignment PIN_166 -to tx_a[7]
+set_location_assignment PIN_167 -to tx_a[8]
+set_location_assignment PIN_168 -to tx_a[9]
+set_location_assignment PIN_169 -to tx_a[10]
+set_location_assignment PIN_170 -to tx_a[11]
+set_location_assignment PIN_173 -to tx_a[12]
+set_location_assignment PIN_174 -to tx_a[13]
+set_location_assignment PIN_38 -to tx_b[0]
+set_location_assignment PIN_39 -to tx_b[1]
+set_location_assignment PIN_41 -to tx_b[2]
+set_location_assignment PIN_42 -to tx_b[3]
+set_location_assignment PIN_43 -to tx_b[4]
+set_location_assignment PIN_44 -to tx_b[5]
+set_location_assignment PIN_45 -to tx_b[6]
+set_location_assignment PIN_46 -to tx_b[7]
+set_location_assignment PIN_47 -to tx_b[8]
+set_location_assignment PIN_48 -to tx_b[9]
+set_location_assignment PIN_49 -to tx_b[10]
+set_location_assignment PIN_50 -to tx_b[11]
+set_location_assignment PIN_53 -to tx_b[12]
+set_location_assignment PIN_54 -to tx_b[13]
+set_location_assignment PIN_158 -to TXSYNC_A
+set_location_assignment PIN_37 -to TXSYNC_B
+set_location_assignment PIN_235 -to io_rx_b[15]
+set_location_assignment PIN_24 -to io_tx_b[15]
+set_location_assignment PIN_213 -to io_rx_a[15]
+set_location_assignment PIN_194 -to io_tx_a[15]
+set_location_assignment PIN_1 -to MYSTERY_SIGNAL
+
+# Timing Assignments
+# ==================
+set_global_assignment -name INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS OFF
+
+# Analysis & Synthesis Assignments
+# ================================
+set_global_assignment -name SAVE_DISK_SPACE OFF
+set_global_assignment -name DEVICE_FILTER_PACKAGE "ANY QFP"
+set_global_assignment -name DEVICE_FILTER_PIN_COUNT 240
+set_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL "<None>"
+set_global_assignment -name FAMILY Cyclone
+set_global_assignment -name CYCLONE_OPTIMIZATION_TECHNIQUE BALANCED
+set_global_assignment -name STRATIX_OPTIMIZATION_TECHNIQUE SPEED
+set_global_assignment -name APEX20K_OPTIMIZATION_TECHNIQUE SPEED
+set_global_assignment -name TOP_LEVEL_ENTITY usrp_std
+set_global_assignment -name VHDL_SHOW_LMF_MAPPING_MESSAGES OFF
+set_global_assignment -name USER_LIBRARIES "e:\\usrp\\fpga\\megacells"
+set_global_assignment -name AUTO_ENABLE_SMART_COMPILE ON
+
+# Fitter Assignments
+# ==================
+set_global_assignment -name DEVICE EP1C12Q240C8
+set_global_assignment -name CYCLONE_CONFIGURATION_SCHEME "PASSIVE SERIAL"
+set_global_assignment -name RESERVE_ALL_UNUSED_PINS "AS INPUT TRI-STATED"
+set_global_assignment -name OPTIMIZE_HOLD_TIMING OFF
+set_global_assignment -name OPTIMIZE_TIMING "NORMAL COMPILATION"
+set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC OFF
+set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION OFF
+set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING OFF
+set_global_assignment -name IO_PLACEMENT_OPTIMIZATION OFF
+set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT NORMAL
+set_global_assignment -name INC_PLC_MODE OFF
+set_global_assignment -name ROUTING_BACK_ANNOTATION_MODE OFF
+set_instance_assignment -name IO_STANDARD LVTTL -to usbdata[12]
+set_global_assignment -name STRATIX_DEVICE_IO_STANDARD LVTTL
+set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 1
+
+# Timing Analysis Assignments
+# ===========================
+set_global_assignment -name MAX_SCC_SIZE 50
+
+# EDA Netlist Writer Assignments
+# ==============================
+set_global_assignment -name EDA_SIMULATION_TOOL "<None>"
+set_global_assignment -name EDA_TIMING_ANALYSIS_TOOL "<NONE>"
+set_global_assignment -name EDA_BOARD_DESIGN_TOOL "<NONE>"
+set_global_assignment -name EDA_FORMAL_VERIFICATION_TOOL "<NONE>"
+set_global_assignment -name EDA_RESYNTHESIS_TOOL "<NONE>"
+
+# Assembler Assignments
+# =====================
+set_global_assignment -name USE_CONFIGURATION_DEVICE OFF
+set_global_assignment -name GENERATE_RBF_FILE ON
+set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "AS INPUT TRI-STATED"
+set_global_assignment -name AUTO_RESTART_CONFIGURATION OFF
+
+# Simulator Assignments
+# =====================
+set_global_assignment -name START_TIME "0 ns"
+set_global_assignment -name GLITCH_INTERVAL "1 ns"
+
+# Design Assistant Assignments
+# ============================
+set_global_assignment -name DRC_REPORT_TOP_FANOUT OFF
+set_global_assignment -name DRC_REPORT_FANOUT_EXCEEDING OFF
+set_global_assignment -name ASSG_CAT OFF
+set_global_assignment -name ASSG_RULE_MISSING_FMAX OFF
+set_global_assignment -name ASSG_RULE_MISSING_TIMING OFF
+set_global_assignment -name NONSYNCHSTRUCT_RULE_ASYN_RAM OFF
+set_global_assignment -name CLK_CAT OFF
+set_global_assignment -name CLK_RULE_COMB_CLOCK OFF
+set_global_assignment -name CLK_RULE_INV_CLOCK OFF
+set_global_assignment -name CLK_RULE_GATING_SCHEME OFF
+set_global_assignment -name CLK_RULE_INPINS_CLKNET OFF
+set_global_assignment -name CLK_RULE_CLKNET_CLKSPINES OFF
+set_global_assignment -name CLK_RULE_MIX_EDGES OFF
+set_global_assignment -name RESET_CAT OFF
+set_global_assignment -name RESET_RULE_INPINS_RESETNET OFF
+set_global_assignment -name RESET_RULE_UNSYNCH_EXRESET OFF
+set_global_assignment -name RESET_RULE_IMSYNCH_EXRESET OFF
+set_global_assignment -name RESET_RULE_COMB_ASYNCH_RESET OFF
+set_global_assignment -name RESET_RULE_UNSYNCH_ASYNCH_DOMAIN OFF
+set_global_assignment -name RESET_RULE_IMSYNCH_ASYNCH_DOMAIN OFF
+set_global_assignment -name TIMING_CAT OFF
+set_global_assignment -name TIMING_RULE_SHIFT_REG OFF
+set_global_assignment -name TIMING_RULE_COIN_CLKEDGE OFF
+set_global_assignment -name NONSYNCHSTRUCT_RULE_COMB_DRIVES_RAM_WE OFF
+set_global_assignment -name NONSYNCHSTRUCT_CAT OFF
+set_global_assignment -name NONSYNCHSTRUCT_RULE_COMBLOOP OFF
+set_global_assignment -name NONSYNCHSTRUCT_RULE_REG_LOOP OFF
+set_global_assignment -name NONSYNCHSTRUCT_RULE_DELAY_CHAIN OFF
+set_global_assignment -name NONSYNCHSTRUCT_RULE_RIPPLE_CLK OFF
+set_global_assignment -name NONSYNCHSTRUCT_RULE_ILLEGAL_PULSE_GEN OFF
+set_global_assignment -name NONSYNCHSTRUCT_RULE_MULTI_VIBRATOR OFF
+set_global_assignment -name NONSYNCHSTRUCT_RULE_SRLATCH OFF
+set_global_assignment -name NONSYNCHSTRUCT_RULE_LATCH_UNIDENTIFIED OFF
+set_global_assignment -name SIGNALRACE_CAT OFF
+set_global_assignment -name ACLK_CAT OFF
+set_global_assignment -name ACLK_RULE_NO_SZER_ACLK_DOMAIN OFF
+set_global_assignment -name ACLK_RULE_SZER_BTW_ACLK_DOMAIN OFF
+set_global_assignment -name ACLK_RULE_IMSZER_ADOMAIN OFF
+set_global_assignment -name HCPY_CAT OFF
+set_global_assignment -name HCPY_VREF_PINS OFF
+
+# SignalTap II Assignments
+# ========================
+set_global_assignment -name HUB_ENTITY_NAME SLD_HUB
+set_global_assignment -name HUB_INSTANCE_NAME SLD_HUB_INST
+set_global_assignment -name ENABLE_SIGNALTAP OFF
+
+# LogicLock Region Assignments
+# ============================
+set_global_assignment -name LOGICLOCK_INCREMENTAL_COMPILE_ASSIGNMENT OFF
+
+# -----------------
+# start CLOCK(SCLK)
+
+       # Timing Assignments
+       # ==================
+set_global_assignment -name DUTY_CYCLE 50 -section_id SCLK
+set_global_assignment -name FMAX_REQUIREMENT "1 MHz" -section_id SCLK
+set_global_assignment -name INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS OFF -section_id SCLK
+
+# end CLOCK(SCLK)
+# ---------------
+
+# -----------------------
+# start CLOCK(master_clk)
+
+       # Timing Assignments
+       # ==================
+set_global_assignment -name DUTY_CYCLE 50 -section_id master_clk
+set_global_assignment -name FMAX_REQUIREMENT "64 MHz" -section_id master_clk
+set_global_assignment -name INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS OFF -section_id master_clk
+
+# end CLOCK(master_clk)
+# ---------------------
+
+# -------------------
+# start CLOCK(usbclk)
+
+       # Timing Assignments
+       # ==================
+set_global_assignment -name DUTY_CYCLE 50 -section_id usbclk
+set_global_assignment -name FMAX_REQUIREMENT "48 MHz" -section_id usbclk
+set_global_assignment -name INCLUDE_EXTERNAL_PIN_DELAYS_IN_FMAX_CALCULATIONS OFF -section_id usbclk
+
+# end CLOCK(usbclk)
+# -----------------
+
+# ----------------------
+# start ENTITY(usrp_std)
+
+       # Timing Assignments
+       # ==================
+set_instance_assignment -name CLOCK_SETTINGS SCLK -to SCLK
+set_instance_assignment -name CLOCK_SETTINGS usbclk -to usbclk
+set_instance_assignment -name CLOCK_SETTINGS master_clk -to master_clk
+
+# end ENTITY(usrp_std)
+# --------------------
+
+set_instance_assignment -name PARTITION_HIERARCHY no_file_for_top_partition -to | -section_id Top
+set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
+set_global_assignment -name VERILOG_FILE ../../megacells/fifo_4k_18.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/atr_delay.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/cic_dec_shifter.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/rssi.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/ram16.v
+set_global_assignment -name VERILOG_FILE ../../megacells/fifo_4k.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/hb/acc.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/hb/mult.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/hb/ram16_2sum.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/hb/coeff_rom.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/hb/halfband_decim.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/hb/mac.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/tx_chain.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/rx_dcoffset.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/adc_interface.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/io_pins.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/setting_reg.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/bidir_reg.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/cic_int_shifter.v
+set_global_assignment -name VERILOG_FILE ../../megacells/clk_doubler.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/rx_chain.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/gen_sync.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/master_control.v
+set_global_assignment -name VERILOG_FILE ../../megacells/fifo_2k.v
+set_global_assignment -name VERILOG_FILE ../../megacells/bustri.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/rx_buffer.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/tx_buffer.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/phase_acc.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/cic_interp.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/cic_decim.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/cordic_stage.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/cordic.v
+set_global_assignment -name VERILOG_FILE usrp_std.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/clk_divider.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/serial_io.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/strobe_gen.v
+set_global_assignment -name VERILOG_FILE ../../sdr_lib/sign_extend.v
\ No newline at end of file
diff --git a/usrp/fpga/toplevel/usrp_std/usrp_std.v b/usrp/fpga/toplevel/usrp_std/usrp_std.v
new file mode 100644 (file)
index 0000000..8b29a9c
--- /dev/null
@@ -0,0 +1,333 @@
+// -*- verilog -*-
+//
+//  USRP - Universal Software Radio Peripheral
+//
+//  Copyright (C) 2003,2004 Matt Ettus
+//
+//  This program is free software; you can redistribute it and/or modify
+//  it under the terms of the GNU General Public License as published by
+//  the Free Software Foundation; either version 2 of the License, or
+//  (at your option) any later version.
+//
+//  This program is distributed in the hope that it will be useful,
+//  but WITHOUT ANY WARRANTY; without even the implied warranty of
+//  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+//  GNU General Public License for more details.
+//
+//  You should have received a copy of the GNU General Public License
+//  along with this program; if not, write to the Free Software
+//  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+//
+
+// Top level module for a full setup with DUCs and DDCs
+
+// Define DEBUG_OWNS_IO_PINS if we're using the daughterboard i/o pins
+// for debugging info.  NB, This can kill the m'board and/or d'board if you
+// have anything except basic d'boards installed.
+
+// Uncomment the following to include optional circuitry
+
+`include "config.vh"
+`include "../../../firmware/include/fpga_regs_common.v"
+`include "../../../firmware/include/fpga_regs_standard.v"
+
+module usrp_std
+(output MYSTERY_SIGNAL,
+ input master_clk,
+ input SCLK,
+ input SDI,
+ inout SDO,
+ input SEN_FPGA,
+
+ input FX2_1,
+ output FX2_2,
+ output FX2_3,
+ input wire [11:0] rx_a_a,
+ input wire [11:0] rx_b_a,
+ input wire [11:0] rx_a_b,
+ input wire [11:0] rx_b_b,
+
+ output wire [13:0] tx_a,
+ output wire [13:0] tx_b,
+
+ output wire TXSYNC_A,
+ output wire TXSYNC_B,
+  // USB interface
+ input usbclk,
+ input wire [2:0] usbctl,
+ output wire [1:0] usbrdy,
+ inout [15:0] usbdata,  // NB Careful, inout
+
+ // These are the general purpose i/o's that go to the daughterboard slots
+ inout wire [15:0] io_tx_a,
+ inout wire [15:0] io_tx_b,
+ inout wire [15:0] io_rx_a,
+ inout wire [15:0] io_rx_b
+ );    
+   wire [15:0] debugdata,debugctrl;
+   assign MYSTERY_SIGNAL = 1'b0;
+   
+   wire clk64,clk128;
+   
+   wire WR = usbctl[0];
+   wire RD = usbctl[1];
+   wire OE = usbctl[2];
+
+   wire have_space, have_pkt_rdy;
+   assign usbrdy[0] = have_space;
+   assign usbrdy[1] = have_pkt_rdy;
+
+   wire   tx_underrun, rx_overrun;    
+   wire   clear_status = FX2_1;
+   assign FX2_2 = rx_overrun;
+   assign FX2_3 = tx_underrun;
+      
+   wire [15:0] usbdata_out;
+   
+   wire [3:0]  dac0mux,dac1mux,dac2mux,dac3mux;
+   
+   wire        tx_realsignals;
+   wire [3:0]  rx_numchan;
+   wire [2:0]  tx_numchan;
+   
+   wire [7:0]  interp_rate, decim_rate;
+   wire [31:0] tx_debugbus, rx_debugbus;
+   
+   wire        enable_tx, enable_rx;
+   wire        tx_dsp_reset, rx_dsp_reset, tx_bus_reset, rx_bus_reset;
+   wire [7:0]  settings;
+   
+   // Tri-state bus macro
+   bustri bustri( .data(usbdata_out),.enabledt(OE),.tridata(usbdata) );
+
+   assign      clk64 = master_clk;
+
+   wire [15:0] ch0tx,ch1tx,ch2tx,ch3tx; //,ch4tx,ch5tx,ch6tx,ch7tx;
+   wire [15:0] ch0rx,ch1rx,ch2rx,ch3rx,ch4rx,ch5rx,ch6rx,ch7rx;
+   
+   // TX
+   wire [15:0] i_out_0,i_out_1,q_out_0,q_out_1;
+   wire [15:0] bb_tx_i0,bb_tx_q0,bb_tx_i1,bb_tx_q1;  // bb_tx_i2,bb_tx_q2,bb_tx_i3,bb_tx_q3;
+   
+   wire        strobe_interp, tx_sample_strobe;
+   wire        tx_empty;
+   
+   wire        serial_strobe;
+   wire [6:0]  serial_addr;
+   wire [31:0] serial_data;
+
+   reg [15:0] debug_counter;
+   reg [15:0] loopback_i_0,loopback_q_0;
+   
+   ////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////
+   // Transmit Side
+`ifdef TX_ON
+   assign      bb_tx_i0 = ch0tx;
+   assign      bb_tx_q0 = ch1tx;
+   assign      bb_tx_i1 = ch2tx;
+   assign      bb_tx_q1 = ch3tx;
+   
+   tx_buffer tx_buffer
+     ( .usbclk(usbclk), .bus_reset(tx_bus_reset),
+       .usbdata(usbdata),.WR(WR), .have_space(have_space),
+       .tx_underrun(tx_underrun), .clear_status(clear_status),
+       .txclk(clk64), .reset(tx_dsp_reset),
+       .channels({tx_numchan,1'b0}),
+       .tx_i_0(ch0tx),.tx_q_0(ch1tx),
+       .tx_i_1(ch2tx),.tx_q_1(ch3tx),
+       .txstrobe(strobe_interp),
+       .tx_empty(tx_empty),
+       .debugbus(tx_debugbus) );
+   
+ `ifdef TX_EN_0
+   tx_chain tx_chain_0
+     ( .clock(clk64),.reset(tx_dsp_reset),.enable(enable_tx),
+       .interp_rate(interp_rate),.sample_strobe(tx_sample_strobe),
+       .interpolator_strobe(strobe_interp),.freq(),
+       .i_in(bb_tx_i0),.q_in(bb_tx_q0),.i_out(i_out_0),.q_out(q_out_0) );
+ `else
+   assign      i_out_0=16'd0;
+   assign      q_out_0=16'd0;
+ `endif
+
+ `ifdef TX_EN_1
+   tx_chain tx_chain_1
+     ( .clock(clk64),.reset(tx_dsp_reset),.enable(enable_tx),
+       .interp_rate(interp_rate),.sample_strobe(tx_sample_strobe),
+       .interpolator_strobe(strobe_interp),.freq(),
+       .i_in(bb_tx_i1),.q_in(bb_tx_q1),.i_out(i_out_1),.q_out(q_out_1) );
+ `else
+   assign      i_out_1=16'd0;
+   assign      q_out_1=16'd0;
+ `endif
+
+   setting_reg #(`FR_TX_MUX) 
+     sr_txmux(.clock(clk64),.reset(tx_dsp_reset),.strobe(serial_strobe),.addr(serial_addr),.in(serial_data),
+             .out({dac3mux,dac2mux,dac1mux,dac0mux,tx_realsignals,tx_numchan}));
+   
+   wire [15:0] tx_a_a = dac0mux[3] ? (dac0mux[1] ? (dac0mux[0] ? q_out_1 : i_out_1) : (dac0mux[0] ? q_out_0 : i_out_0)) : 16'b0;
+   wire [15:0] tx_b_a = dac1mux[3] ? (dac1mux[1] ? (dac1mux[0] ? q_out_1 : i_out_1) : (dac1mux[0] ? q_out_0 : i_out_0)) : 16'b0;
+   wire [15:0] tx_a_b = dac2mux[3] ? (dac2mux[1] ? (dac2mux[0] ? q_out_1 : i_out_1) : (dac2mux[0] ? q_out_0 : i_out_0)) : 16'b0;
+   wire [15:0] tx_b_b = dac3mux[3] ? (dac3mux[1] ? (dac3mux[0] ? q_out_1 : i_out_1) : (dac3mux[0] ? q_out_0 : i_out_0)) : 16'b0;
+
+   wire txsync = tx_sample_strobe;
+   assign TXSYNC_A = txsync;
+   assign TXSYNC_B = txsync;
+
+   assign tx_a = txsync ? tx_b_a[15:2] : tx_a_a[15:2];
+   assign tx_b = txsync ? tx_b_b[15:2] : tx_a_b[15:2];
+`endif //  `ifdef TX_ON
+   
+   /////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////
+   // Receive Side
+`ifdef RX_ON
+   wire        rx_sample_strobe,strobe_decim,hb_strobe;
+   wire [15:0] bb_rx_i0,bb_rx_q0,bb_rx_i1,bb_rx_q1,
+              bb_rx_i2,bb_rx_q2,bb_rx_i3,bb_rx_q3;
+
+   wire loopback = settings[0];
+   wire counter = settings[1];
+
+   always @(posedge clk64)
+     if(rx_dsp_reset)
+       debug_counter <= #1 16'd0;
+     else if(~enable_rx)
+       debug_counter <= #1 16'd0;
+     else if(hb_strobe)
+       debug_counter <=#1 debug_counter + 16'd2;
+   
+   always @(posedge clk64)
+     if(strobe_interp)
+       begin
+         loopback_i_0 <= #1 ch0tx;
+         loopback_q_0 <= #1 ch1tx;
+       end
+   
+   assign ch0rx = counter ? debug_counter : loopback ? loopback_i_0 : bb_rx_i0;
+   assign ch1rx = counter ? debug_counter + 16'd1 : loopback ? loopback_q_0 : bb_rx_q0;
+   assign ch2rx = bb_rx_i1;
+   assign ch3rx = bb_rx_q1;
+   assign ch4rx = bb_rx_i2;
+   assign ch5rx = bb_rx_q2;
+   assign ch6rx = bb_rx_i3;
+   assign ch7rx = bb_rx_q3;
+
+   wire [15:0] ddc0_in_i,ddc0_in_q,ddc1_in_i,ddc1_in_q,ddc2_in_i,ddc2_in_q,ddc3_in_i,ddc3_in_q;
+   wire [31:0] rssi_0,rssi_1,rssi_2,rssi_3;
+   
+   adc_interface adc_interface(.clock(clk64),.reset(rx_dsp_reset),.enable(1'b1),
+                              .serial_addr(serial_addr),.serial_data(serial_data),.serial_strobe(serial_strobe),
+                              .rx_a_a(rx_a_a),.rx_b_a(rx_b_a),.rx_a_b(rx_a_b),.rx_b_b(rx_b_b),
+                              .rssi_0(rssi_0),.rssi_1(rssi_1),.rssi_2(rssi_2),.rssi_3(rssi_3),
+                              .ddc0_in_i(ddc0_in_i),.ddc0_in_q(ddc0_in_q),
+                              .ddc1_in_i(ddc1_in_i),.ddc1_in_q(ddc1_in_q),
+                              .ddc2_in_i(ddc2_in_i),.ddc2_in_q(ddc2_in_q),
+                              .ddc3_in_i(ddc3_in_i),.ddc3_in_q(ddc3_in_q),.rx_numchan(rx_numchan) );
+   
+   rx_buffer rx_buffer
+     ( .usbclk(usbclk),.bus_reset(rx_bus_reset),.reset(rx_dsp_reset),
+       .reset_regs(rx_dsp_reset),
+       .usbdata(usbdata_out),.RD(RD),.have_pkt_rdy(have_pkt_rdy),.rx_overrun(rx_overrun),
+       .channels(rx_numchan),
+       .ch_0(ch0rx),.ch_1(ch1rx),
+       .ch_2(ch2rx),.ch_3(ch3rx),
+       .ch_4(ch4rx),.ch_5(ch5rx),
+       .ch_6(ch6rx),.ch_7(ch7rx),
+       .rxclk(clk64),.rxstrobe(hb_strobe),
+       .clear_status(clear_status),
+       .serial_addr(serial_addr),.serial_data(serial_data),.serial_strobe(serial_strobe),
+       .debugbus(rx_debugbus) );
+   
+ `ifdef RX_EN_0
+   rx_chain #(`FR_RX_FREQ_0,`FR_RX_PHASE_0) rx_chain_0
+     ( .clock(clk64),.reset(1'b0),.enable(enable_rx),
+       .decim_rate(decim_rate),.sample_strobe(rx_sample_strobe),.decimator_strobe(strobe_decim),.hb_strobe(hb_strobe),
+       .serial_addr(serial_addr),.serial_data(serial_data),.serial_strobe(serial_strobe),
+       .i_in(ddc0_in_i),.q_in(ddc0_in_q),.i_out(bb_rx_i0),.q_out(bb_rx_q0),.debugdata(debugdata),.debugctrl(debugctrl));
+ `else
+   assign      bb_rx_i0=16'd0;
+   assign      bb_rx_q0=16'd0;
+ `endif
+   
+ `ifdef RX_EN_1
+   rx_chain #(`FR_RX_FREQ_1,`FR_RX_PHASE_1) rx_chain_1
+     ( .clock(clk64),.reset(1'b0),.enable(enable_rx),
+       .decim_rate(decim_rate),.sample_strobe(rx_sample_strobe),.decimator_strobe(strobe_decim),.hb_strobe(),
+       .serial_addr(serial_addr),.serial_data(serial_data),.serial_strobe(serial_strobe),
+       .i_in(ddc1_in_i),.q_in(ddc1_in_q),.i_out(bb_rx_i1),.q_out(bb_rx_q1));
+ `else
+   assign      bb_rx_i1=16'd0;
+   assign      bb_rx_q1=16'd0;
+ `endif
+   
+ `ifdef RX_EN_2
+   rx_chain #(`FR_RX_FREQ_2,`FR_RX_PHASE_2) rx_chain_2
+     ( .clock(clk64),.reset(1'b0),.enable(enable_rx),
+       .decim_rate(decim_rate),.sample_strobe(rx_sample_strobe),.decimator_strobe(strobe_decim),.hb_strobe(),
+       .serial_addr(serial_addr),.serial_data(serial_data),.serial_strobe(serial_strobe),
+       .i_in(ddc2_in_i),.q_in(ddc2_in_q),.i_out(bb_rx_i2),.q_out(bb_rx_q2));
+ `else
+   assign      bb_rx_i2=16'd0;
+   assign      bb_rx_q2=16'd0;
+ `endif
+
+ `ifdef RX_EN_3
+   rx_chain #(`FR_RX_FREQ_3,`FR_RX_PHASE_3) rx_chain_3
+     ( .clock(clk64),.reset(1'b0),.enable(enable_rx),
+       .decim_rate(decim_rate),.sample_strobe(rx_sample_strobe),.decimator_strobe(strobe_decim),.hb_strobe(),
+       .serial_addr(serial_addr),.serial_data(serial_data),.serial_strobe(serial_strobe),
+       .i_in(ddc3_in_i),.q_in(ddc3_in_q),.i_out(bb_rx_i3),.q_out(bb_rx_q3));
+ `else
+   assign      bb_rx_i3=16'd0;
+   assign      bb_rx_q3=16'd0;
+ `endif
+
+`endif //  `ifdef RX_ON
+   
+   ///////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////
+   // Control Functions
+
+   wire [31:0] capabilities;
+   assign      capabilities[7] =   `TX_CAP_HB;
+   assign      capabilities[6:4] = `TX_CAP_NCHAN;
+   assign      capabilities[3] =   `RX_CAP_HB;
+   assign      capabilities[2:0] = `RX_CAP_NCHAN;
+
+
+   serial_io serial_io
+     ( .master_clk(clk64),.serial_clock(SCLK),.serial_data_in(SDI),
+       .enable(SEN_FPGA),.reset(1'b0),.serial_data_out(SDO),
+       .serial_addr(serial_addr),.serial_data(serial_data),.serial_strobe(serial_strobe),
+       .readback_0({io_rx_a,io_tx_a}),.readback_1({io_rx_b,io_tx_b}),.readback_2(capabilities),.readback_3(32'hf0f0931a),
+       .readback_4(rssi_0),.readback_5(rssi_1),.readback_6(rssi_2),.readback_7(rssi_3)
+       );
+
+   wire [15:0] reg_0,reg_1,reg_2,reg_3;
+   master_control master_control
+     ( .master_clk(clk64),.usbclk(usbclk),
+       .serial_addr(serial_addr),.serial_data(serial_data),.serial_strobe(serial_strobe),
+       .tx_bus_reset(tx_bus_reset),.rx_bus_reset(rx_bus_reset),
+       .tx_dsp_reset(tx_dsp_reset),.rx_dsp_reset(rx_dsp_reset),
+       .enable_tx(enable_tx),.enable_rx(enable_rx),
+       .interp_rate(interp_rate),.decim_rate(decim_rate),
+       .tx_sample_strobe(tx_sample_strobe),.strobe_interp(strobe_interp),
+       .rx_sample_strobe(rx_sample_strobe),.strobe_decim(strobe_decim),
+       .tx_empty(tx_empty),
+       //.debug_0(rx_a_a),.debug_1(ddc0_in_i),
+       .debug_0(tx_debugbus[15:0]),.debug_1(tx_debugbus[31:16]),
+       .debug_2(rx_debugbus[15:0]),.debug_3(rx_debugbus[31:16]),
+       .reg_0(reg_0),.reg_1(reg_1),.reg_2(reg_2),.reg_3(reg_3) );
+   
+   io_pins io_pins
+     (.io_0(io_tx_a),.io_1(io_rx_a),.io_2(io_tx_b),.io_3(io_rx_b),
+      .reg_0(reg_0),.reg_1(reg_1),.reg_2(reg_2),.reg_3(reg_3),
+      .clock(clk64),.rx_reset(rx_dsp_reset),.tx_reset(tx_dsp_reset),
+      .serial_addr(serial_addr),.serial_data(serial_data),.serial_strobe(serial_strobe));
+   
+   ////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////////
+   // Misc Settings
+   setting_reg #(`FR_MODE) sr_misc(.clock(clk64),.reset(rx_dsp_reset),.strobe(serial_strobe),.addr(serial_addr),.in(serial_data),.out(settings));
+
+endmodule // usrp_std
diff --git a/usrp/host/Makefile.in b/usrp/host/Makefile.in
new file mode 100644 (file)
index 0000000..f279276
--- /dev/null
@@ -0,0 +1,896 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2001,2007 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+subdir = usrp/host
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+SUBDIRS = misc lib swig apps
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am  $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  usrp/host/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  usrp/host/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+check-am: all-am
+check: check-recursive
+all-am: Makefile
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am
+
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/usrp/host/apps/Makefile.in b/usrp/host/apps/Makefile.in
new file mode 100644 (file)
index 0000000..800de1d
--- /dev/null
@@ -0,0 +1,1106 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2003,2006,2008 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(noinst_HEADERS) $(noinst_PYTHON) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(top_srcdir)/Makefile.common
+bin_PROGRAMS = usrper$(EXEEXT) usrp_cal_dc_offset$(EXEEXT)
+noinst_PROGRAMS = check_order_quickly$(EXEEXT) \
+       test_usrp_standard_rx$(EXEEXT) test_usrp_standard_tx$(EXEEXT)
+subdir = usrp/host/apps
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+am__installdirs = "$(DESTDIR)$(bindir)"
+binPROGRAMS_INSTALL = $(INSTALL_PROGRAM)
+PROGRAMS = $(bin_PROGRAMS) $(noinst_PROGRAMS)
+am_check_order_quickly_OBJECTS = check_order_quickly.$(OBJEXT)
+check_order_quickly_OBJECTS = $(am_check_order_quickly_OBJECTS)
+check_order_quickly_LDADD = $(LDADD)
+am_test_usrp_standard_rx_OBJECTS = test_usrp_standard_rx.$(OBJEXT) \
+       time_stuff.$(OBJEXT)
+test_usrp_standard_rx_OBJECTS = $(am_test_usrp_standard_rx_OBJECTS)
+am__DEPENDENCIES_1 =
+test_usrp_standard_rx_DEPENDENCIES = $(am__DEPENDENCIES_1)
+am_test_usrp_standard_tx_OBJECTS = test_usrp_standard_tx.$(OBJEXT) \
+       time_stuff.$(OBJEXT)
+test_usrp_standard_tx_OBJECTS = $(am_test_usrp_standard_tx_OBJECTS)
+test_usrp_standard_tx_DEPENDENCIES = $(am__DEPENDENCIES_1) \
+       $(am__DEPENDENCIES_1) $(am__DEPENDENCIES_1)
+am_usrp_cal_dc_offset_OBJECTS = usrp_cal_dc_offset.$(OBJEXT)
+usrp_cal_dc_offset_OBJECTS = $(am_usrp_cal_dc_offset_OBJECTS)
+usrp_cal_dc_offset_DEPENDENCIES = $(am__DEPENDENCIES_1)
+am_usrper_OBJECTS = usrper.$(OBJEXT)
+usrper_OBJECTS = $(am_usrper_OBJECTS)
+usrper_DEPENDENCIES = $(am__DEPENDENCIES_1)
+DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
+depcomp = $(SHELL) $(top_srcdir)/depcomp
+am__depfiles_maybe = depfiles
+COMPILE = $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) \
+       $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
+LTCOMPILE = $(LIBTOOL) --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
+CCLD = $(CC)
+LINK = $(LIBTOOL) --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CCLD) $(AM_CFLAGS) $(CFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+CXXCOMPILE = $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+LTCXXCOMPILE = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+CXXLD = $(CXX)
+CXXLINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CXXLD) $(AM_CXXFLAGS) $(CXXFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+SOURCES = $(check_order_quickly_SOURCES) \
+       $(test_usrp_standard_rx_SOURCES) \
+       $(test_usrp_standard_tx_SOURCES) $(usrp_cal_dc_offset_SOURCES) \
+       $(usrper_SOURCES)
+DIST_SOURCES = $(check_order_quickly_SOURCES) \
+       $(test_usrp_standard_rx_SOURCES) \
+       $(test_usrp_standard_tx_SOURCES) $(usrp_cal_dc_offset_SOURCES) \
+       $(usrper_SOURCES)
+py_compile = $(top_srcdir)/py-compile
+HEADERS = $(noinst_HEADERS)
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+AM_CPPFLAGS = $(USRP_INCLUDES) $(BOOST_CPPFLAGS) $(CPPUNIT_INCLUDES) \
+          $(WITH_INCLUDES)
+
+noinst_HEADERS = \
+       time_stuff.h                    
+
+noinst_PYTHON = \
+       burn-db-eeprom                  \
+       burn-serial-number              
+
+check_order_quickly_SOURCES = check_order_quickly.cc
+test_usrp_standard_rx_SOURCES = test_usrp_standard_rx.cc time_stuff.c
+test_usrp_standard_rx_LDADD = $(USRP_LA)
+test_usrp_standard_tx_SOURCES = test_usrp_standard_tx.cc time_stuff.c
+test_usrp_standard_tx_LDADD = $(USRP_LA) $(BOOST_LDFLAGS) $(BOOST_PROGRAM_OPTIONS_LIB)
+usrper_SOURCES = usrper.cc
+usrper_LDADD = $(USRP_LA)
+usrp_cal_dc_offset_SOURCES = usrp_cal_dc_offset.cc
+usrp_cal_dc_offset_LDADD = $(USRP_LA)
+all: all-am
+
+.SUFFIXES:
+.SUFFIXES: .c .cc .lo .o .obj
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  usrp/host/apps/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  usrp/host/apps/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+install-binPROGRAMS: $(bin_PROGRAMS)
+       @$(NORMAL_INSTALL)
+       test -z "$(bindir)" || $(MKDIR_P) "$(DESTDIR)$(bindir)"
+       @list='$(bin_PROGRAMS)'; for p in $$list; do \
+         p1=`echo $$p|sed 's/$(EXEEXT)$$//'`; \
+         if test -f $$p \
+            || test -f $$p1 \
+         ; then \
+           f=`echo "$$p1" | sed 's,^.*/,,;$(transform);s/$$/$(EXEEXT)/'`; \
+          echo " $(INSTALL_PROGRAM_ENV) $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(binPROGRAMS_INSTALL) '$$p' '$(DESTDIR)$(bindir)/$$f'"; \
+          $(INSTALL_PROGRAM_ENV) $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(binPROGRAMS_INSTALL) "$$p" "$(DESTDIR)$(bindir)/$$f" || exit 1; \
+         else :; fi; \
+       done
+
+uninstall-binPROGRAMS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(bin_PROGRAMS)'; for p in $$list; do \
+         f=`echo "$$p" | sed 's,^.*/,,;s/$(EXEEXT)$$//;$(transform);s/$$/$(EXEEXT)/'`; \
+         echo " rm -f '$(DESTDIR)$(bindir)/$$f'"; \
+         rm -f "$(DESTDIR)$(bindir)/$$f"; \
+       done
+
+clean-binPROGRAMS:
+       @list='$(bin_PROGRAMS)'; for p in $$list; do \
+         f=`echo $$p|sed 's/$(EXEEXT)$$//'`; \
+         echo " rm -f $$p $$f"; \
+         rm -f $$p $$f ; \
+       done
+
+clean-noinstPROGRAMS:
+       @list='$(noinst_PROGRAMS)'; for p in $$list; do \
+         f=`echo $$p|sed 's/$(EXEEXT)$$//'`; \
+         echo " rm -f $$p $$f"; \
+         rm -f $$p $$f ; \
+       done
+check_order_quickly$(EXEEXT): $(check_order_quickly_OBJECTS) $(check_order_quickly_DEPENDENCIES) 
+       @rm -f check_order_quickly$(EXEEXT)
+       $(CXXLINK) $(check_order_quickly_OBJECTS) $(check_order_quickly_LDADD) $(LIBS)
+test_usrp_standard_rx$(EXEEXT): $(test_usrp_standard_rx_OBJECTS) $(test_usrp_standard_rx_DEPENDENCIES) 
+       @rm -f test_usrp_standard_rx$(EXEEXT)
+       $(CXXLINK) $(test_usrp_standard_rx_OBJECTS) $(test_usrp_standard_rx_LDADD) $(LIBS)
+test_usrp_standard_tx$(EXEEXT): $(test_usrp_standard_tx_OBJECTS) $(test_usrp_standard_tx_DEPENDENCIES) 
+       @rm -f test_usrp_standard_tx$(EXEEXT)
+       $(CXXLINK) $(test_usrp_standard_tx_OBJECTS) $(test_usrp_standard_tx_LDADD) $(LIBS)
+usrp_cal_dc_offset$(EXEEXT): $(usrp_cal_dc_offset_OBJECTS) $(usrp_cal_dc_offset_DEPENDENCIES) 
+       @rm -f usrp_cal_dc_offset$(EXEEXT)
+       $(CXXLINK) $(usrp_cal_dc_offset_OBJECTS) $(usrp_cal_dc_offset_LDADD) $(LIBS)
+usrper$(EXEEXT): $(usrper_OBJECTS) $(usrper_DEPENDENCIES) 
+       @rm -f usrper$(EXEEXT)
+       $(CXXLINK) $(usrper_OBJECTS) $(usrper_LDADD) $(LIBS)
+
+mostlyclean-compile:
+       -rm -f *.$(OBJEXT)
+
+distclean-compile:
+       -rm -f *.tab.c
+
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/check_order_quickly.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/test_usrp_standard_rx.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/test_usrp_standard_tx.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/time_stuff.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/usrp_cal_dc_offset.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/usrper.Po@am__quote@
+
+.c.o:
+@am__fastdepCC_TRUE@   $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(COMPILE) -c $<
+
+.c.obj:
+@am__fastdepCC_TRUE@   $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(COMPILE) -c `$(CYGPATH_W) '$<'`
+
+.c.lo:
+@am__fastdepCC_TRUE@   $(LTCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(LTCOMPILE) -c -o $@ $<
+
+.cc.o:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ $<
+
+.cc.obj:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ `$(CYGPATH_W) '$<'`
+
+.cc.lo:
+@am__fastdepCXX_TRUE@  $(LTCXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LTCXXCOMPILE) -c -o $@ $<
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile $(PROGRAMS) $(HEADERS)
+installdirs:
+       for dir in "$(DESTDIR)$(bindir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-binPROGRAMS clean-generic clean-libtool \
+       clean-noinstPROGRAMS mostlyclean-am
+
+distclean: distclean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+distclean-am: clean-am distclean-compile distclean-generic \
+       distclean-tags
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-am
+
+install-exec-am: install-binPROGRAMS
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-compile mostlyclean-generic \
+       mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-binPROGRAMS
+
+.MAKE: install-am install-strip
+
+.PHONY: CTAGS GTAGS all all-am check check-am clean clean-binPROGRAMS \
+       clean-generic clean-libtool clean-noinstPROGRAMS ctags \
+       dist-hook distclean distclean-compile distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-binPROGRAMS \
+       install-data install-data-am install-dvi install-dvi-am \
+       install-exec install-exec-am install-html install-html-am \
+       install-info install-info-am install-man install-pdf \
+       install-pdf-am install-ps install-ps-am install-strip \
+       installcheck installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-compile \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       tags uninstall uninstall-am uninstall-binPROGRAMS
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/usrp/host/lib/Makefile.in b/usrp/host/lib/Makefile.in
new file mode 100644 (file)
index 0000000..7e2ef38
--- /dev/null
@@ -0,0 +1,1029 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+#  USRP - Universal Software Radio Peripheral
+# 
+#  Copyright (C) 2003,2004,2006,2007 Free Software Foundation, Inc.
+# 
+#  This program is free software; you can redistribute it and/or modify
+#  it under the terms of the GNU General Public License as published by
+#  the Free Software Foundation; either version 3 of the License, or
+#  (at your option) any later version.
+# 
+#  This program is distributed in the hope that it will be useful,
+#  but WITHOUT ANY WARRANTY; without even the implied warranty of
+#  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+#  GNU General Public License for more details.
+# 
+#  You should have received a copy of the GNU General Public License
+#  along with this program; if not, write to the Free Software
+#  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = usrp/host/lib
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+SUBDIRS = legacy
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  usrp/host/lib/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  usrp/host/lib/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-recursive
+all-am: Makefile
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive dist-hook distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/usrp/host/lib/legacy/Makefile.am b/usrp/host/lib/legacy/Makefile.am
new file mode 100644 (file)
index 0000000..e2fabc2
--- /dev/null
@@ -0,0 +1,195 @@
+#
+#  USRP - Universal Software Radio Peripheral
+# 
+#  Copyright (C) 2003,2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+#  This program is free software; you can redistribute it and/or modify
+#  it under the terms of the GNU General Public License as published by
+#  the Free Software Foundation; either version 3 of the License, or
+#  (at your option) any later version.
+# 
+#  This program is distributed in the hope that it will be useful,
+#  but WITHOUT ANY WARRANTY; without even the implied warranty of
+#  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+#  GNU General Public License for more details.
+# 
+#  You should have received a copy of the GNU General Public License
+#  along with this program; if not, write to the Free Software
+#  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+# 
+
+include $(top_srcdir)/Makefile.common
+
+common_INCLUDES = $(USRP_INCLUDES)
+
+lib_LTLIBRARIES = libusrp.la
+
+libusrp_la_common_LDFLAGS = $(NO_UNDEFINED) -version-info 0:0:0 $(BOOST_LDFLAGS)
+
+libusrp_la_common_LIBADD =             \
+       $(USB_LIBS)                     \
+       $(BOOST_THREAD_LIB)             \
+       ../../misc/libmisc.la
+
+# darwin fusb requires omnithreads
+if FUSB_TECH_darwin
+AM_CPPFLAGS = $(common_INCLUDES) $(OMNITHREAD_INCLUDES) $(BOOST_CPPFLAGS) $(WITH_INCLUDES)
+libusrp_la_LIBADD = $(libusrp_la_common_LIBADD) $(OMNITHREAD_LA)
+libusrp_la_LDFLAGS = $(libusrp_la_common_LDFLAGS) -framework CoreFoundation
+else
+AM_CPPFLAGS = $(common_INCLUDES) $(BOOST_CPPFLAGS) $(WITH_INCLUDES)
+libusrp_la_LIBADD = $(libusrp_la_common_LIBADD)
+libusrp_la_LDFLAGS = $(libusrp_la_common_LDFLAGS)
+endif
+
+EXTRA_DIST =                           \
+       std_paths.h.in                  \
+       usrp_dbid.dat                   
+
+BUILT_SOURCES =                        \
+       usrp_dbid.h                     \
+       usrp_dbid.cc                    \
+       usrp_dbid.py                    
+
+
+# ----------------------------------------------------------------
+# FUSB_TECH is set at configure time by way of
+#   usrp/config/usrp_fusb_tech.m4.
+#   It indicates which fast usb strategy we should be building.
+#   We currently implement "generic", "darwin", "win32" and "linux"
+
+
+generic_CODE =                                 \
+       fusb_generic.cc                 \
+       fusb_sysconfig_generic.cc
+
+darwin_CODE =                          \
+       fusb_darwin.cc                  \
+       fusb_sysconfig_darwin.cc        \
+       README_OSX                      \
+       circular_buffer.h               \
+       circular_linked_list.h          \
+       darwin_libusb.h                 \
+       mld_threads.h                   
+
+win32_CODE =                           \
+       fusb_win32.cc                   \
+       fusb_sysconfig_win32.cc         
+
+linux_CODE =                           \
+       fusb_linux.cc                   \
+       fusb_sysconfig_linux.cc         
+
+ra_wb_CODE =                           \
+       fusb_ra_wb.cc                   \
+       fusb_sysconfig_ra_wb.cc
+
+
+#
+# include each <foo>_CODE entry here...
+#
+EXTRA_libusrp_la_SOURCES =             \
+       $(generic_CODE)                 \
+       $(darwin_CODE)                  \
+       $(win32_CODE)                   \
+       $(linux_CODE)                   \
+       $(ra_wb_CODE)
+
+
+# work around automake deficiency
+libusrp_la_common_SOURCES =            \
+       fusb.cc                         \
+       md5.c                           \
+       usrp_basic.cc                   \
+       usrp_config.cc                  \
+       usrp_dbid.cc                    \
+       usrp_local_sighandler.cc        \
+       usrp_prims.cc                   \
+       usrp_standard.cc                \
+       db_boards.cc                    \
+       db_base.cc                      \
+       db_basic.cc                     \
+       db_tv_rx.cc                     \
+       db_flexrf.cc                    \
+       db_flexrf_mimo.cc               \
+       db_dbs_rx.cc                    \
+       db_xcvr2450.cc                  \
+       db_dtt754.cc                    \
+       db_dtt768.cc                    \
+       db_util.cc
+
+#      db_wbx.cc
+
+
+if FUSB_TECH_generic
+libusrp_la_SOURCES = $(libusrp_la_common_SOURCES) $(generic_CODE)
+endif
+
+if FUSB_TECH_darwin
+libusrp_la_SOURCES = $(libusrp_la_common_SOURCES) $(darwin_CODE)
+endif
+
+if FUSB_TECH_win32
+libusrp_la_SOURCES = $(libusrp_la_common_SOURCES) $(win32_CODE)
+endif
+
+if FUSB_TECH_linux
+libusrp_la_SOURCES = $(libusrp_la_common_SOURCES) $(linux_CODE)
+endif
+
+if FUSB_TECH_ra_wb
+libusrp_la_SOURCES = $(libusrp_la_common_SOURCES) $(ra_wb_CODE)
+endif
+
+include_HEADERS =                      \
+       db_base.h                       \
+       db_basic.h                      \
+       db_dbs_rx.h                     \
+       db_dtt754.h                     \
+       db_dtt768.h                     \
+       db_flexrf.h                     \
+       db_flexrf_mimo.h                \
+       db_tv_rx.h                      \
+       db_util.h                       \
+       db_xcvr2450.h                   \
+       usrp_basic.h                    \
+       usrp_bytesex.h                  \
+       usrp_config.h                   \
+       usrp_dbid.h                     \
+       usrp_prims.h                    \
+       usrp_slots.h                    \
+       usrp_standard.h                 \
+       usrp_subdev_spec.h              \
+       usrp_tune_result.h              
+
+noinst_HEADERS =                       \
+       ad9862.h                        \
+       db_base_impl.h                  \
+       db_boards.h                     \
+       db_wbx.h                        \
+       fusb.h                          \
+       fusb_darwin.h                   \
+       fusb_generic.h                  \
+       fusb_linux.h                    \
+       fusb_ra_wb.h                    \
+       fusb_win32.h                    \
+       md5.h                           \
+       rate_to_regval.h                \
+       usrp_local_sighandler.h         
+
+usrppython_PYTHON =                    \
+       usrp_dbid.py                    
+
+noinst_PYTHON =                                \
+       gen_usrp_dbid.py                \
+       check_data.py                   \
+       dump_data.py
+
+swiginclude_HEADERS = db_base.i
+
+# common way for generating sources from templates when using
+# BUILT_SOURCES, using parallel build protection.
+gen_sources = $(BUILT_SOURCES)
+gen_sources_deps = gen_usrp_dbid.py usrp_dbid.dat
+par_gen_command = PYTHONPATH=$(top_srcdir)/usrp/src srcdir=$(srcdir) $(PYTHON) $(srcdir)/gen_usrp_dbid.py $(srcdir)/usrp_dbid.dat
+include $(top_srcdir)/Makefile.par.gen
diff --git a/usrp/host/lib/legacy/Makefile.in b/usrp/host/lib/legacy/Makefile.in
new file mode 100644 (file)
index 0000000..8cc967e
--- /dev/null
@@ -0,0 +1,1380 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+#  USRP - Universal Software Radio Peripheral
+# 
+#  Copyright (C) 2003,2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+#  This program is free software; you can redistribute it and/or modify
+#  it under the terms of the GNU General Public License as published by
+#  the Free Software Foundation; either version 3 of the License, or
+#  (at your option) any later version.
+# 
+#  This program is distributed in the hope that it will be useful,
+#  but WITHOUT ANY WARRANTY; without even the implied warranty of
+#  MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+#  GNU General Public License for more details.
+# 
+#  You should have received a copy of the GNU General Public License
+#  along with this program; if not, write to the Free Software
+#  Foundation, Inc., 51 Franklin Street, Boston, MA  02110-1301  USA
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(include_HEADERS) $(noinst_HEADERS) $(noinst_PYTHON) \
+       $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(srcdir)/std_paths.h.in $(swiginclude_HEADERS) \
+       $(top_srcdir)/Makefile.common $(top_srcdir)/Makefile.par.gen \
+       $(usrppython_PYTHON)
+subdir = usrp/host/lib/legacy
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES = std_paths.h
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(libdir)" "$(DESTDIR)$(usrppythondir)" \
+       "$(DESTDIR)$(includedir)" "$(DESTDIR)$(swigincludedir)"
+libLTLIBRARIES_INSTALL = $(INSTALL)
+LTLIBRARIES = $(lib_LTLIBRARIES)
+am__DEPENDENCIES_1 =
+am__DEPENDENCIES_2 = $(am__DEPENDENCIES_1) $(am__DEPENDENCIES_1) \
+       ../../misc/libmisc.la
+@FUSB_TECH_darwin_FALSE@libusrp_la_DEPENDENCIES =  \
+@FUSB_TECH_darwin_FALSE@       $(am__DEPENDENCIES_2)
+@FUSB_TECH_darwin_TRUE@libusrp_la_DEPENDENCIES =  \
+@FUSB_TECH_darwin_TRUE@        $(am__DEPENDENCIES_2) \
+@FUSB_TECH_darwin_TRUE@        $(am__DEPENDENCIES_1)
+am__libusrp_la_SOURCES_DIST = fusb.cc md5.c usrp_basic.cc \
+       usrp_config.cc usrp_dbid.cc usrp_local_sighandler.cc \
+       usrp_prims.cc usrp_standard.cc db_boards.cc db_base.cc \
+       db_basic.cc db_tv_rx.cc db_flexrf.cc db_flexrf_mimo.cc \
+       db_dbs_rx.cc db_xcvr2450.cc db_dtt754.cc db_dtt768.cc \
+       db_util.cc fusb_darwin.cc fusb_sysconfig_darwin.cc README_OSX \
+       circular_buffer.h circular_linked_list.h darwin_libusb.h \
+       mld_threads.h fusb_generic.cc fusb_sysconfig_generic.cc \
+       fusb_linux.cc fusb_sysconfig_linux.cc fusb_ra_wb.cc \
+       fusb_sysconfig_ra_wb.cc fusb_win32.cc fusb_sysconfig_win32.cc
+am__objects_1 = fusb.lo md5.lo usrp_basic.lo usrp_config.lo \
+       usrp_dbid.lo usrp_local_sighandler.lo usrp_prims.lo \
+       usrp_standard.lo db_boards.lo db_base.lo db_basic.lo \
+       db_tv_rx.lo db_flexrf.lo db_flexrf_mimo.lo db_dbs_rx.lo \
+       db_xcvr2450.lo db_dtt754.lo db_dtt768.lo db_util.lo
+am__objects_2 = fusb_darwin.lo fusb_sysconfig_darwin.lo
+am__objects_3 = fusb_generic.lo fusb_sysconfig_generic.lo
+am__objects_4 = fusb_linux.lo fusb_sysconfig_linux.lo
+am__objects_5 = fusb_ra_wb.lo fusb_sysconfig_ra_wb.lo
+am__objects_6 = fusb_win32.lo fusb_sysconfig_win32.lo
+@FUSB_TECH_darwin_FALSE@@FUSB_TECH_generic_FALSE@@FUSB_TECH_linux_FALSE@@FUSB_TECH_ra_wb_FALSE@@FUSB_TECH_win32_TRUE@am_libusrp_la_OBJECTS = $(am__objects_1) \
+@FUSB_TECH_darwin_FALSE@@FUSB_TECH_generic_FALSE@@FUSB_TECH_linux_FALSE@@FUSB_TECH_ra_wb_FALSE@@FUSB_TECH_win32_TRUE@  $(am__objects_6)
+@FUSB_TECH_darwin_FALSE@@FUSB_TECH_generic_FALSE@@FUSB_TECH_linux_FALSE@@FUSB_TECH_ra_wb_TRUE@am_libusrp_la_OBJECTS = $(am__objects_1) \
+@FUSB_TECH_darwin_FALSE@@FUSB_TECH_generic_FALSE@@FUSB_TECH_linux_FALSE@@FUSB_TECH_ra_wb_TRUE@ $(am__objects_5)
+@FUSB_TECH_darwin_FALSE@@FUSB_TECH_generic_FALSE@@FUSB_TECH_linux_TRUE@am_libusrp_la_OBJECTS = $(am__objects_1) \
+@FUSB_TECH_darwin_FALSE@@FUSB_TECH_generic_FALSE@@FUSB_TECH_linux_TRUE@        $(am__objects_4)
+@FUSB_TECH_darwin_FALSE@@FUSB_TECH_generic_TRUE@am_libusrp_la_OBJECTS = $(am__objects_1) \
+@FUSB_TECH_darwin_FALSE@@FUSB_TECH_generic_TRUE@       $(am__objects_3)
+@FUSB_TECH_darwin_TRUE@am_libusrp_la_OBJECTS = $(am__objects_1) \
+@FUSB_TECH_darwin_TRUE@        $(am__objects_2)
+libusrp_la_OBJECTS = $(am_libusrp_la_OBJECTS)
+libusrp_la_LINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) \
+       $(LIBTOOLFLAGS) --mode=link $(CXXLD) $(AM_CXXFLAGS) \
+       $(CXXFLAGS) $(libusrp_la_LDFLAGS) $(LDFLAGS) -o $@
+DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
+depcomp = $(SHELL) $(top_srcdir)/depcomp
+am__depfiles_maybe = depfiles
+COMPILE = $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) \
+       $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
+LTCOMPILE = $(LIBTOOL) --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
+CCLD = $(CC)
+LINK = $(LIBTOOL) --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CCLD) $(AM_CFLAGS) $(CFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+CXXCOMPILE = $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+LTCXXCOMPILE = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+CXXLD = $(CXX)
+CXXLINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CXXLD) $(AM_CXXFLAGS) $(CXXFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+SOURCES = $(libusrp_la_SOURCES) $(EXTRA_libusrp_la_SOURCES)
+DIST_SOURCES = $(am__libusrp_la_SOURCES_DIST) \
+       $(EXTRA_libusrp_la_SOURCES)
+usrppythonPYTHON_INSTALL = $(INSTALL_DATA)
+py_compile = $(top_srcdir)/py-compile
+includeHEADERS_INSTALL = $(INSTALL_HEADER)
+swigincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+HEADERS = $(include_HEADERS) $(noinst_HEADERS) $(swiginclude_HEADERS)
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = $(DEPDIR)/stamp-sources-generate*
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp \
+       *.loT stamp-sources-generate
+common_INCLUDES = $(USRP_INCLUDES)
+lib_LTLIBRARIES = libusrp.la
+libusrp_la_common_LDFLAGS = $(NO_UNDEFINED) -version-info 0:0:0 $(BOOST_LDFLAGS)
+libusrp_la_common_LIBADD = \
+       $(USB_LIBS)                     \
+       $(BOOST_THREAD_LIB)             \
+       ../../misc/libmisc.la
+
+@FUSB_TECH_darwin_FALSE@AM_CPPFLAGS = $(common_INCLUDES) $(BOOST_CPPFLAGS) $(WITH_INCLUDES)
+
+# darwin fusb requires omnithreads
+@FUSB_TECH_darwin_TRUE@AM_CPPFLAGS = $(common_INCLUDES) $(OMNITHREAD_INCLUDES) $(BOOST_CPPFLAGS) $(WITH_INCLUDES)
+@FUSB_TECH_darwin_FALSE@libusrp_la_LIBADD = $(libusrp_la_common_LIBADD)
+@FUSB_TECH_darwin_TRUE@libusrp_la_LIBADD = $(libusrp_la_common_LIBADD) $(OMNITHREAD_LA)
+@FUSB_TECH_darwin_FALSE@libusrp_la_LDFLAGS = $(libusrp_la_common_LDFLAGS)
+@FUSB_TECH_darwin_TRUE@libusrp_la_LDFLAGS = $(libusrp_la_common_LDFLAGS) -framework CoreFoundation
+EXTRA_DIST = std_paths.h.in usrp_dbid.dat stamp-sources-generate
+BUILT_SOURCES = \
+       usrp_dbid.h                     \
+       usrp_dbid.cc                    \
+       usrp_dbid.py                    
+
+
+# ----------------------------------------------------------------
+# FUSB_TECH is set at configure time by way of
+#   usrp/config/usrp_fusb_tech.m4.
+#   It indicates which fast usb strategy we should be building.
+#   We currently implement "generic", "darwin", "win32" and "linux"
+generic_CODE = \
+       fusb_generic.cc                 \
+       fusb_sysconfig_generic.cc
+
+darwin_CODE = \
+       fusb_darwin.cc                  \
+       fusb_sysconfig_darwin.cc        \
+       README_OSX                      \
+       circular_buffer.h               \
+       circular_linked_list.h          \
+       darwin_libusb.h                 \
+       mld_threads.h                   
+
+win32_CODE = \
+       fusb_win32.cc                   \
+       fusb_sysconfig_win32.cc         
+
+linux_CODE = \
+       fusb_linux.cc                   \
+       fusb_sysconfig_linux.cc         
+
+ra_wb_CODE = \
+       fusb_ra_wb.cc                   \
+       fusb_sysconfig_ra_wb.cc
+
+
+#
+# include each <foo>_CODE entry here...
+#
+EXTRA_libusrp_la_SOURCES = \
+       $(generic_CODE)                 \
+       $(darwin_CODE)                  \
+       $(win32_CODE)                   \
+       $(linux_CODE)                   \
+       $(ra_wb_CODE)
+
+
+# work around automake deficiency
+libusrp_la_common_SOURCES = \
+       fusb.cc                         \
+       md5.c                           \
+       usrp_basic.cc                   \
+       usrp_config.cc                  \
+       usrp_dbid.cc                    \
+       usrp_local_sighandler.cc        \
+       usrp_prims.cc                   \
+       usrp_standard.cc                \
+       db_boards.cc                    \
+       db_base.cc                      \
+       db_basic.cc                     \
+       db_tv_rx.cc                     \
+       db_flexrf.cc                    \
+       db_flexrf_mimo.cc               \
+       db_dbs_rx.cc                    \
+       db_xcvr2450.cc                  \
+       db_dtt754.cc                    \
+       db_dtt768.cc                    \
+       db_util.cc
+
+@FUSB_TECH_darwin_TRUE@libusrp_la_SOURCES = $(libusrp_la_common_SOURCES) $(darwin_CODE)
+
+#      db_wbx.cc
+@FUSB_TECH_generic_TRUE@libusrp_la_SOURCES = $(libusrp_la_common_SOURCES) $(generic_CODE)
+@FUSB_TECH_linux_TRUE@libusrp_la_SOURCES = $(libusrp_la_common_SOURCES) $(linux_CODE)
+@FUSB_TECH_ra_wb_TRUE@libusrp_la_SOURCES = $(libusrp_la_common_SOURCES) $(ra_wb_CODE)
+@FUSB_TECH_win32_TRUE@libusrp_la_SOURCES = $(libusrp_la_common_SOURCES) $(win32_CODE)
+include_HEADERS = \
+       db_base.h                       \
+       db_basic.h                      \
+       db_dbs_rx.h                     \
+       db_dtt754.h                     \
+       db_dtt768.h                     \
+       db_flexrf.h                     \
+       db_flexrf_mimo.h                \
+       db_tv_rx.h                      \
+       db_util.h                       \
+       db_xcvr2450.h                   \
+       usrp_basic.h                    \
+       usrp_bytesex.h                  \
+       usrp_config.h                   \
+       usrp_dbid.h                     \
+       usrp_prims.h                    \
+       usrp_slots.h                    \
+       usrp_standard.h                 \
+       usrp_subdev_spec.h              \
+       usrp_tune_result.h              
+
+noinst_HEADERS = \
+       ad9862.h                        \
+       db_base_impl.h                  \
+       db_boards.h                     \
+       db_wbx.h                        \
+       fusb.h                          \
+       fusb_darwin.h                   \
+       fusb_generic.h                  \
+       fusb_linux.h                    \
+       fusb_ra_wb.h                    \
+       fusb_win32.h                    \
+       md5.h                           \
+       rate_to_regval.h                \
+       usrp_local_sighandler.h         
+
+usrppython_PYTHON = \
+       usrp_dbid.py                    
+
+noinst_PYTHON = \
+       gen_usrp_dbid.py                \
+       check_data.py                   \
+       dump_data.py
+
+swiginclude_HEADERS = db_base.i
+
+# common way for generating sources from templates when using
+# BUILT_SOURCES, using parallel build protection.
+gen_sources = $(BUILT_SOURCES)
+gen_sources_deps = gen_usrp_dbid.py usrp_dbid.dat
+par_gen_command = PYTHONPATH=$(top_srcdir)/usrp/src srcdir=$(srcdir) $(PYTHON) $(srcdir)/gen_usrp_dbid.py $(srcdir)/usrp_dbid.dat
+all: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) all-am
+
+.SUFFIXES:
+.SUFFIXES: .c .cc .lo .o .obj
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(top_srcdir)/Makefile.par.gen $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  usrp/host/lib/legacy/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  usrp/host/lib/legacy/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+std_paths.h: $(top_builddir)/config.status $(srcdir)/std_paths.h.in
+       cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@
+install-libLTLIBRARIES: $(lib_LTLIBRARIES)
+       @$(NORMAL_INSTALL)
+       test -z "$(libdir)" || $(MKDIR_P) "$(DESTDIR)$(libdir)"
+       @list='$(lib_LTLIBRARIES)'; for p in $$list; do \
+         if test -f $$p; then \
+           f=$(am__strip_dir) \
+           echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(libLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) '$$p' '$(DESTDIR)$(libdir)/$$f'"; \
+           $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(libLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) "$$p" "$(DESTDIR)$(libdir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-libLTLIBRARIES:
+       @$(NORMAL_UNINSTALL)
+       @list='$(lib_LTLIBRARIES)'; for p in $$list; do \
+         p=$(am__strip_dir) \
+         echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f '$(DESTDIR)$(libdir)/$$p'"; \
+         $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f "$(DESTDIR)$(libdir)/$$p"; \
+       done
+
+clean-libLTLIBRARIES:
+       -test -z "$(lib_LTLIBRARIES)" || rm -f $(lib_LTLIBRARIES)
+       @list='$(lib_LTLIBRARIES)'; for p in $$list; do \
+         dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
+         test "$$dir" != "$$p" || dir=.; \
+         echo "rm -f \"$${dir}/so_locations\""; \
+         rm -f "$${dir}/so_locations"; \
+       done
+libusrp.la: $(libusrp_la_OBJECTS) $(libusrp_la_DEPENDENCIES) 
+       $(libusrp_la_LINK) -rpath $(libdir) $(libusrp_la_OBJECTS) $(libusrp_la_LIBADD) $(LIBS)
+
+mostlyclean-compile:
+       -rm -f *.$(OBJEXT)
+
+distclean-compile:
+       -rm -f *.tab.c
+
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/db_base.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/db_basic.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/db_boards.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/db_dbs_rx.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/db_dtt754.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/db_dtt768.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/db_flexrf.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/db_flexrf_mimo.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/db_tv_rx.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/db_util.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/db_xcvr2450.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/fusb.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/fusb_darwin.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/fusb_generic.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/fusb_linux.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/fusb_ra_wb.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/fusb_sysconfig_darwin.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/fusb_sysconfig_generic.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/fusb_sysconfig_linux.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/fusb_sysconfig_ra_wb.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/fusb_sysconfig_win32.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/fusb_win32.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/md5.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/usrp_basic.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/usrp_config.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/usrp_dbid.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/usrp_local_sighandler.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/usrp_prims.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/usrp_standard.Plo@am__quote@
+
+.c.o:
+@am__fastdepCC_TRUE@   $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(COMPILE) -c $<
+
+.c.obj:
+@am__fastdepCC_TRUE@   $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(COMPILE) -c `$(CYGPATH_W) '$<'`
+
+.c.lo:
+@am__fastdepCC_TRUE@   $(LTCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(LTCOMPILE) -c -o $@ $<
+
+.cc.o:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ $<
+
+.cc.obj:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ `$(CYGPATH_W) '$<'`
+
+.cc.lo:
+@am__fastdepCXX_TRUE@  $(LTCXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LTCXXCOMPILE) -c -o $@ $<
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-usrppythonPYTHON: $(usrppython_PYTHON)
+       @$(NORMAL_INSTALL)
+       test -z "$(usrppythondir)" || $(MKDIR_P) "$(DESTDIR)$(usrppythondir)"
+       @list='$(usrppython_PYTHON)'; dlist=''; for p in $$list; do\
+         if test -f "$$p"; then b=; else b="$(srcdir)/"; fi; \
+         if test -f $$b$$p; then \
+           f=$(am__strip_dir) \
+           dlist="$$dlist $$f"; \
+           echo " $(usrppythonPYTHON_INSTALL) '$$b$$p' '$(DESTDIR)$(usrppythondir)/$$f'"; \
+           $(usrppythonPYTHON_INSTALL) "$$b$$p" "$(DESTDIR)$(usrppythondir)/$$f"; \
+         else :; fi; \
+       done; \
+       if test -n "$$dlist"; then \
+         if test -z "$(DESTDIR)"; then \
+           PYTHON=$(PYTHON) $(py_compile) --basedir "$(usrppythondir)" $$dlist; \
+         else \
+           PYTHON=$(PYTHON) $(py_compile) --destdir "$(DESTDIR)" --basedir "$(usrppythondir)" $$dlist; \
+         fi; \
+       else :; fi
+
+uninstall-usrppythonPYTHON:
+       @$(NORMAL_UNINSTALL)
+       @list='$(usrppython_PYTHON)'; dlist=''; for p in $$list; do\
+         f=$(am__strip_dir) \
+         rm -f "$(DESTDIR)$(usrppythondir)/$$f"; \
+         rm -f "$(DESTDIR)$(usrppythondir)/$${f}c"; \
+         rm -f "$(DESTDIR)$(usrppythondir)/$${f}o"; \
+       done
+install-includeHEADERS: $(include_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(includedir)" || $(MKDIR_P) "$(DESTDIR)$(includedir)"
+       @list='$(include_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(includeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(includedir)/$$f'"; \
+         $(includeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(includedir)/$$f"; \
+       done
+
+uninstall-includeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(include_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(includedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(includedir)/$$f"; \
+       done
+install-swigincludeHEADERS: $(swiginclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(swigincludedir)" || $(MKDIR_P) "$(DESTDIR)$(swigincludedir)"
+       @list='$(swiginclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(swigincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(swigincludedir)/$$f'"; \
+         $(swigincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(swigincludedir)/$$f"; \
+       done
+
+uninstall-swigincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(swiginclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(swigincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(swigincludedir)/$$f"; \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) check-am
+all-am: Makefile $(LTLIBRARIES) $(HEADERS)
+installdirs:
+       for dir in "$(DESTDIR)$(libdir)" "$(DESTDIR)$(usrppythondir)" "$(DESTDIR)$(includedir)" "$(DESTDIR)$(swigincludedir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+       -test -z "$(BUILT_SOURCES)" || rm -f $(BUILT_SOURCES)
+clean: clean-am
+
+clean-am: clean-generic clean-libLTLIBRARIES clean-libtool \
+       mostlyclean-am
+
+distclean: distclean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+distclean-am: clean-am distclean-compile distclean-generic \
+       distclean-tags
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-includeHEADERS install-swigincludeHEADERS \
+       install-usrppythonPYTHON
+
+install-dvi: install-dvi-am
+
+install-exec-am: install-libLTLIBRARIES
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-compile mostlyclean-generic \
+       mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-includeHEADERS uninstall-libLTLIBRARIES \
+       uninstall-swigincludeHEADERS uninstall-usrppythonPYTHON
+
+.MAKE: install-am install-strip
+
+.PHONY: CTAGS GTAGS all all-am check check-am clean clean-generic \
+       clean-libLTLIBRARIES clean-libtool ctags dist-hook distclean \
+       distclean-compile distclean-generic distclean-libtool \
+       distclean-tags distdir dvi dvi-am html html-am info info-am \
+       install install-am install-data install-data-am install-dvi \
+       install-dvi-am install-exec install-exec-am install-html \
+       install-html-am install-includeHEADERS install-info \
+       install-info-am install-libLTLIBRARIES install-man install-pdf \
+       install-pdf-am install-ps install-ps-am install-strip \
+       install-swigincludeHEADERS install-usrppythonPYTHON \
+       installcheck installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-compile \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       tags uninstall uninstall-am uninstall-includeHEADERS \
+       uninstall-libLTLIBRARIES uninstall-swigincludeHEADERS \
+       uninstall-usrppythonPYTHON
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+
+STAMPS ?=
+EXTRA_DIST ?=
+MOSTLYCLEANFILES ?=
+
+stamp-sources-generate: $(gen_sources_deps)
+       @rm -f $(DEPDIR)/stamp-sources-generate-tmp
+       @touch $(DEPDIR)/stamp-sources-generate-tmp
+       $(par_gen_command)
+       @mv -f $(DEPDIR)/stamp-sources-generate-tmp $@
+
+$(gen_sources): stamp-sources-generate
+       @if test -f $@; then :; else \
+               trap 'rm -rf $(DEPDIR)/stamp-sources-generate-*' 1 2 13 15; \
+               if mkdir $(DEPDIR)/stamp-sources-generate-lock 2>/dev/null; then \
+                       rm -f stamp-sources-generate; \
+                       $(MAKE) $(AM_MAKEFLAGS) stamp-sources-generate; \
+                       rmdir $(DEPDIR)/stamp-sources-generate-lock; \
+               else \
+                       while test -d $(DEPDIR)/stamp-sources-generate-lock; do sleep 1; done; \
+                       test -f stamp-sources-generate; exit $$?; \
+               fi; \
+       fi;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/usrp/host/lib/legacy/README_OSX b/usrp/host/lib/legacy/README_OSX
new file mode 100644 (file)
index 0000000..37026f2
--- /dev/null
@@ -0,0 +1,63 @@
+USRP Darwin Fast USB Changes
+Version 0.2 of 2006-04-27
+Michael Dickens <mdickens @at@ nd .dot. edu>
+
+The files included in this archive are:
+
+circular_buffer.h
+circular_linked_list.h
+darwin_libusb.h
+fusb_darwin.cc
+fusb_darwin.h
+mld_threads.h
+
+These files allow GNURadio code for Darwin / MaxOS X to talk to the
+USRP via USB 2.0 at rates up to around 30 Mega-Bytes/sec (MBps), up
+from 4-8 MBps without the changes.
+
+I implemented the buffering myself; there are probably GR buffers
+available which would do the work but as this is "beta" software it's
+a good place to start.  Speed improvements are made by porting
+LIBUSB's non-true async bulk read and write functions into USRP's
+"fusb", and upgrading them to handle -true- async transfers.
+Unfortunately, the easiest way to do this is to spawn a thread or 2 to
+handle the "async" part of the transfers.  This implementation uses
+Darwin's pthreads to do the work for mutexes, conditions, and threads.
+Previous implementations (0.1 and before) used "omni_threads" as
+provided by gnuradio-core, which caused issues with compiling and
+execution ... I'm glad that this is no longer the case.
+
+As far as I have tested, there is no way to improve the throughput to
+32+ MBps without moving into Darwin's "port"s ... a kernel-level data
+transport method with a user/application layer for USB-specific
+functions.  Unfortunately, Apple's documentation for these "port"s is
+minimal; I have learned more from reading the Darwin source code
+< http://darwinsource.opendarwin.org/ > than by reading Apple's
+documents!  This would also require -not- using LIBUSB, of which the
+removal from the rest of the USRP code would be potentially tedious.
+
+If you run into issues either compiling or testing the USRP on
+OSX, please send me a note.
+
+(1) Go through the bootstrap, configure, compile, and install as
+usual (e.g. see < http://www.nd.edu/~mdickens/GNURadio/ > for my
+usual).
+
+(2) from .../usrp/host/apps :  run the scripts
+++++++++++++++++
+./test_usrp_standard_tx
+./test_usrp_standard_rx
+++++++++++++++++
+
+For -all- systems I've tested on thus far, both of these return
+exactly 41 overruns / underruns, and -most- systems start out with a
+stalled pipe.  This stall comes in a usb_control function call to
+LIBUSB; one would have to change the LIBUSB code to handle this issue.
+
+(3) from gr-build/gnuradio-examples/python/usrp :
+++++++++++++++++
+./benchmark_usb.py
+++++++++++++++++
+
+(4) If you get to here, the try doing the FM receiver (gui or not).
+If that sounds correct, then the USB is working.  Yay!
\ No newline at end of file
diff --git a/usrp/host/lib/legacy/ad9862.h b/usrp/host/lib/legacy/ad9862.h
new file mode 100644 (file)
index 0000000..4375d93
--- /dev/null
@@ -0,0 +1,221 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifndef INCLUDED_AD9862_H
+#define INCLUDED_AD9862_H
+
+/*
+ * Analog Devices AD9862 registers and some fields
+ */
+
+#define BEGIN_AD9862   namespace ad9862 {
+#define        END_AD962       }
+#define        DEF static const int
+
+BEGIN_AD9862;
+
+DEF REG_GENERAL                =  0;
+DEF REG_RX_PWR_DN      =  1;
+DEF    RX_PWR_DN_VREF_DIFF             = (1 << 7);
+DEF    RX_PWR_DN_VREF                  = (1 << 6);
+DEF    RX_PWR_DN_RX_DIGIGAL            = (1 << 5);
+DEF    RX_PWR_DN_RX_B                  = (1 << 4);
+DEF    RX_PWR_DN_RX_A                  = (1 << 3);
+DEF    RX_PWR_DN_BUF_B                 = (1 << 2);
+DEF    RX_PWR_DN_BUF_A                 = (1 << 1);
+DEF    RX_PWR_DN_ALL                   = (1 << 0);
+
+DEF REG_RX_A           =  2;   // bypass input buffer / RxPGA
+DEF REG_RX_B           =  3;   // pypass input buffer / RxPGA
+DEF    RX_X_BYPASS_INPUT_BUFFER        = (1 << 7);
+
+DEF REG_RX_MISC                =  4;
+DEF    RX_MISC_HS_DUTY_CYCLE           = (1 << 2);
+DEF    RX_MISC_SHARED_REF              = (1 << 1);
+DEF    RX_MISC_CLK_DUTY                = (1 << 0);
+
+DEF REG_RX_IF          =  5;
+DEF    RX_IF_THREE_STATE               = (1 << 4);
+DEF    RX_IF_USE_CLKOUT1               = (0 << 3);     
+DEF    RX_IF_USE_CLKOUT2               = (1 << 3);     // aka Rx Retime
+DEF    RX_IF_2S_COMP                   = (1 << 2);
+DEF    RX_IF_INV_RX_SYNC               = (1 << 1);
+DEF    RX_IF_MUX_OUT                   = (1 << 0);
+
+DEF REG_RX_DIGITAL     =  6;
+DEF    RX_DIGITAL_2_CHAN               = (1 << 3);
+DEF    RX_DIGITAL_KEEP_MINUS_VE        = (1 << 2);
+DEF    RX_DIGITAL_HILBERT              = (1 << 1);
+DEF    RX_DIGITAL_DECIMATE             = (1 << 0);
+
+DEF REG_RESERVED_7     =  7;
+
+DEF REG_TX_PWR_DN      =  8;
+DEF    TX_PWR_DN_ALT_TIMING_MODE       = (1 << 5);
+DEF    TX_PWR_DN_TX_OFF_ENABLE         = (1 << 4);
+DEF    TX_PWR_DN_TX_DIGITAL            = (1 << 3);
+DEF    TX_PWR_DN_TX_ANALOG_B           = 0x4;
+DEF    TX_PWR_DN_TX_ANALOG_A           = 0x2;
+DEF    TX_PWR_DN_TX_ANALOG_BOTH        = 0x7;
+
+DEF REG_RESERVED_9     =  9;
+
+DEF REG_TX_A_OFFSET_LO = 10;
+DEF REG_TX_A_OFFSET_HI = 11;
+DEF REG_TX_B_OFFSET_LO = 12;
+DEF REG_TX_B_OFFSET_HI = 13;
+
+DEF REG_TX_A_GAIN      = 14;   // fine trim for matching
+DEF REG_TX_B_GAIN      = 15;   // fine trim for matching
+DEF    TX_X_GAIN_COARSE_FULL           = (3 << 6);
+DEF    TX_X_GAIN_COARSE_1_HALF         = (1 << 6);
+DEF    TX_X_GAIN_COARSE_1_ELEVENTH     = (0 << 6);
+
+DEF REG_TX_PGA         = 16;   // 20 dB continuous gain in 0.1 dB steps
+                               // 0x00 = min gain (-20 dB)
+                               // 0xff = max gain (  0 dB)
+
+DEF REG_TX_MISC                = 17;
+DEF    TX_MISC_SLAVE_ENABLE            = (1 << 1);
+DEF    TX_MISC_TX_PGA_FAST             = (1 << 0);
+
+DEF REG_TX_IF          = 18;
+DEF    TX_IF_USE_CLKOUT2               = (0 << 6);
+DEF    TX_IF_USE_CLKOUT1               = (1 << 6);     // aka Tx Retime
+DEF    TX_IF_I_FIRST                   = (0 << 5);
+DEF    TX_IF_Q_FIRST                   = (1 << 5);
+DEF    TX_IF_INV_TX_SYNC               = (1 << 4);
+DEF    TX_IF_2S_COMP                   = (1 << 3);
+DEF    TX_IF_INVERSE_SAMPLE            = (1 << 2);
+DEF    TX_IF_TWO_EDGES                 = (1 << 1);
+DEF    TX_IF_INTERLEAVED               = (1 << 0);
+
+DEF REG_TX_DIGITAL     = 19;
+DEF    TX_DIGITAL_2_DATA_PATHS         = (1 << 4);
+DEF    TX_DIGITAL_KEEP_NEGATIVE        = (1 << 3);
+DEF    TX_DIGITAL_HILBERT              = (1 << 2);
+DEF    TX_DIGITAL_INTERPOLATE_NONE     = 0x0;
+DEF    TX_DIGITAL_INTERPOLATE_2X       = 0x1;
+DEF    TX_DIGITAL_INTERPOLATE_4X       = 0x2;
+
+DEF REG_TX_MODULATOR   = 20;
+DEF    TX_MODULATOR_NEG_FINE_TUNE      = (1 << 5);
+DEF    TX_MODULATOR_DISABLE_NCO        = (0 << 4);
+DEF    TX_MODULATOR_ENABLE_NCO         = (1 << 4);     // aka Fine Mode
+DEF    TX_MODULATOR_REAL_MIX_MODE      = (1 << 3);
+DEF    TX_MODULATOR_NEG_COARSE_TUNE    = (1 << 2);
+DEF    TX_MODULATOR_COARSE_MODULATION_NONE     = 0x0;
+DEF    TX_MODULATOR_COARSE_MODULATION_F_OVER_4 = 0x1;
+DEF    TX_MODULATOR_COARSE_MODULATION_F_OVER_8 = 0x2;
+DEF    TX_MODULATOR_CM_MASK                    = 0x7;
+
+
+DEF REG_TX_NCO_FTW_7_0 = 21;
+DEF REG_TX_NCO_FTW_15_8        = 22;
+DEF REG_TX_NCO_FTW_23_16= 23;
+
+DEF REG_DLL            = 24;
+DEF    DLL_DISABLE_INTERNAL_XTAL_OSC   = (1 << 6);     // aka Input Clock Ctrl
+DEF    DLL_ADC_DIV2                    = (1 << 5);
+DEF    DLL_MULT_1X                     = (0 << 3);
+DEF    DLL_MULT_2X                     = (1 << 3);
+DEF    DLL_MULT_4X                     = (2 << 3);
+DEF    DLL_PWR_DN                      = (1 << 2);
+// undefined bit                       = (1 << 1);
+DEF    DLL_FAST                        = (1 << 0);
+
+DEF REG_CLKOUT         = 25;
+DEF    CLKOUT2_EQ_DLL                  = (0 << 6);
+DEF    CLKOUT2_EQ_DLL_OVER_2           = (1 << 6);
+DEF    CLKOUT2_EQ_DLL_OVER_4           = (2 << 6);
+DEF    CLKOUT2_EQ_DLL_OVER_8           = (3 << 6);
+DEF    CLKOUT_INVERT_CLKOUT2           = (1 << 5);
+DEF    CLKOUT_DISABLE_CLKOUT2          = (1 << 4);
+// undefined bit                       = (1 << 3);
+// undefined bit                       = (1 << 2);
+DEF    CLKOUT_INVERT_CLKOUT1           = (1 << 1);
+DEF    CLKOUT_DISABLE_CLKOUT1          = (1 << 0);
+
+DEF REG_AUX_ADC_A2_LO  = 26;
+DEF REG_AUX_ADC_A2_HI  = 27;
+DEF REG_AUX_ADC_A1_LO  = 28;
+DEF REG_AUX_ADC_A1_HI  = 29;
+DEF REG_AUX_ADC_B2_LO  = 30;
+DEF REG_AUX_ADC_B2_HI  = 31;
+DEF REG_AUX_ADC_B1_LO  = 32;
+DEF REG_AUX_ADC_B1_HI  = 33;
+
+DEF REG_AUX_ADC_CTRL   = 34;
+DEF    AUX_ADC_CTRL_AUX_SPI            = (1 << 7);
+DEF    AUX_ADC_CTRL_SELBNOTA           = (1 << 6);
+DEF    AUX_ADC_CTRL_REFSEL_B           = (1 << 5);
+DEF    AUX_ADC_CTRL_SELECT_B2          = (0 << 4);
+DEF    AUX_ADC_CTRL_SELECT_B1          = (1 << 4);
+DEF    AUX_ADC_CTRL_START_B            = (1 << 3);
+DEF    AUX_ADC_CTRL_REFSEL_A           = (1 << 2);
+DEF    AUX_ADC_CTRL_SELECT_A2          = (0 << 1);
+DEF    AUX_ADC_CTRL_SELECT_A1          = (1 << 1);
+DEF    AUX_ADC_CTRL_START_A            = (1 << 0);
+
+DEF REG_AUX_ADC_CLK    = 35;
+DEF    AUX_ADC_CLK_CLK_OVER_4          = (1 << 0);
+
+DEF REG_AUX_DAC_A      = 36;
+DEF REG_AUX_DAC_B      = 37;
+DEF REG_AUX_DAC_C      = 38;
+
+DEF REG_AUX_DAC_UPDATE = 39;
+DEF    AUX_DAC_UPDATE_SLAVE_ENABLE     = (1 << 7);
+DEF    AUX_DAC_UPDATE_C                = (1 << 2);
+DEF    AUX_DAC_UPDATE_B                = (1 << 1);
+DEF    AUX_DAC_UPDATE_A                = (1 << 0);
+
+DEF REG_AUX_DAC_PWR_DN = 40;
+DEF    AUX_DAC_PWR_DN_C                = (1 << 2);
+DEF    AUX_DAC_PWR_DN_B                = (1 << 1);
+DEF    AUX_DAC_PWR_DN_A                = (1 << 0);
+
+DEF REG_AUX_DAC_CTRL   = 41;
+DEF    AUX_DAC_CTRL_INV_C              = (1 << 4);
+DEF    AUX_DAC_CTRL_INV_B              = (1 << 2);
+DEF    AUX_DAC_CTRL_INV_A              = (1 << 0);
+
+DEF REG_SIGDELT_LO     = 42;
+DEF REG_SIGDELT_HI     = 43;
+
+// 44 to 48 reserved
+
+DEF REG_ADC_LOW_PWR_LO = 49;
+DEF REG_ADC_LOW_PWR_HI = 50;
+
+// 51 to 62 reserved
+
+DEF REG_CHIP_ID                = 63;
+
+
+END_AD962;
+
+#undef DEF
+#undef BEGIN_AD9862
+#undef END_AD962
+
+#endif /* INCLUDED_AD9862_H */
diff --git a/usrp/host/lib/legacy/check_data.py b/usrp/host/lib/legacy/check_data.py
new file mode 100755 (executable)
index 0000000..100f0f6
--- /dev/null
@@ -0,0 +1,50 @@
+#!/usr/bin/env python
+#
+# Copyright 2003 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+
+import sys
+import struct
+
+fin = sys.stdin
+
+count = 0
+expected = 0
+last_correction = 0
+
+while 1:
+    s = fin.read(2)
+    if not s or len(s) != 2:
+        break
+
+    v, = struct.unpack ('H', s)
+    iv = int(v) & 0xffff
+    # print "%8d  %6d  0x%04x" % (count, iv, iv)
+    if count & 0x1:                     # only counting on the Q channel
+        if (expected & 0xffff) != iv:
+            print "%8d  (%6d) %6d  0x%04x" % (count, count - last_correction, iv, iv)
+            expected = iv               # reset expected sequence
+            last_correction = count
+        expected = (expected + 1) & 0xffff 
+        
+    count += 1
+
+    
+
+
diff --git a/usrp/host/lib/legacy/circular_buffer.h b/usrp/host/lib/legacy/circular_buffer.h
new file mode 100644 (file)
index 0000000..8898e41
--- /dev/null
@@ -0,0 +1,317 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio.
+ *
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifndef _CIRCULAR_BUFFER_H_
+#define _CIRCULAR_BUFFER_H_
+
+#include "mld_threads.h"
+#include <stdexcept>
+
+#ifndef DO_DEBUG
+#define DO_DEBUG 0
+#endif
+
+#if DO_DEBUG
+#define DEBUG(X) do{X} while(0);
+#else
+#define DEBUG(X) do{} while(0);
+#endif
+
+template <class T> class circular_buffer
+{
+private:
+// the buffer to use
+  T* d_buffer;
+
+// the following are in Items (type T)
+  UInt32 d_bufLen_I, d_readNdx_I, d_writeNdx_I;
+  UInt32 d_n_avail_write_I, d_n_avail_read_I;
+
+// stuff to control access to class internals
+  mld_mutex_ptr d_internal;
+  mld_condition_ptr d_readBlock, d_writeBlock;
+
+// booleans to decide how to control reading, writing, and aborting
+  bool d_doWriteBlock, d_doFullRead, d_doAbort;
+
+  void delete_mutex_cond () {
+    if (d_internal) {
+      delete d_internal;
+      d_internal = NULL;
+    }
+    if (d_readBlock) {
+      delete d_readBlock;
+      d_readBlock = NULL;
+    }
+    if (d_writeBlock) {
+      delete d_writeBlock;
+      d_writeBlock = NULL;
+    }
+  };
+
+public:
+  circular_buffer (UInt32 bufLen_I,
+                  bool doWriteBlock = true, bool doFullRead = false) {
+    if (bufLen_I == 0)
+      throw std::runtime_error ("circular_buffer(): "
+                               "Number of items to buffer must be > 0.\n");
+    d_bufLen_I = bufLen_I;
+    d_buffer = (T*) new T[d_bufLen_I];
+    d_doWriteBlock = doWriteBlock;
+    d_doFullRead = doFullRead;
+    d_internal = NULL;
+    d_readBlock = d_writeBlock = NULL;
+    reset ();
+    DEBUG (fprintf (stderr, "c_b(): buf len (items) = %ld, "
+                   "doWriteBlock = %s, doFullRead = %s\n", d_bufLen_I,
+                   (d_doWriteBlock ? "true" : "false"),
+                   (d_doFullRead ? "true" : "false")););
+  };
+
+  ~circular_buffer () {
+    delete_mutex_cond ();
+    delete [] d_buffer;
+  };
+
+  inline UInt32 n_avail_write_items () {
+    d_internal->lock ();
+    UInt32 retVal = d_n_avail_write_I;
+    d_internal->unlock ();
+    return (retVal);
+  };
+
+  inline UInt32 n_avail_read_items () {
+    d_internal->lock ();
+    UInt32 retVal = d_n_avail_read_I;
+    d_internal->unlock ();
+    return (retVal);
+  };
+
+  inline UInt32 buffer_length_items () {return (d_bufLen_I);};
+  inline bool do_write_block () {return (d_doWriteBlock);};
+  inline bool do_full_read () {return (d_doFullRead);};
+
+  void reset () {
+    d_doAbort = false;
+    bzero (d_buffer, d_bufLen_I * sizeof (T));
+    d_readNdx_I = d_writeNdx_I = d_n_avail_read_I = 0;
+    d_n_avail_write_I = d_bufLen_I;
+    delete_mutex_cond ();
+    // create a mutex to handle contention of shared resources;
+    // any routine needed access to shared resources uses lock()
+    // before doing anything, then unlock() when finished.
+    d_internal = new mld_mutex ();
+    // link the internal mutex to the read and write conditions;
+    // when wait() is called, the internal mutex will automatically
+    // be unlock()'ed.  Upon return (from a signal() to the condition),
+    // the internal mutex will be lock()'ed.
+    d_readBlock = new mld_condition (d_internal);
+    d_writeBlock = new mld_condition (d_internal);
+  };
+
+/*
+ * enqueue: add the given buffer of item-length to the queue,
+ *     first-in-first-out (FIFO).
+ *
+ * inputs:
+ *     buf: a pointer to the buffer holding the data
+ *
+ *     bufLen_I: the buffer length in items (of the instantiated type)
+ *
+ * returns:
+ *    -1: on overflow (write is not blocking, and data is being
+ *                     written faster than it is being read)
+ *     0: if nothing to do (0 length buffer)
+ *     1: if success
+ *     2: in the process of aborting, do doing nothing
+ *
+ * will throw runtime errors if inputs are improper:
+ *     buffer pointer is NULL
+ *     buffer length is larger than the instantiated buffer length
+ */
+
+  int enqueue (T* buf, UInt32 bufLen_I) {
+    DEBUG (fprintf (stderr, "enqueue: buf = %X, bufLen = %ld, #av_wr = %ld, "
+                   "#av_rd = %ld.\n", (unsigned int)buf, bufLen_I,
+                   d_n_avail_write_I, d_n_avail_read_I););
+    if (bufLen_I > d_bufLen_I) {
+      fprintf (stderr, "cannot add buffer longer (%ld"
+              ") than instantiated length (%ld"
+              ").\n", bufLen_I, d_bufLen_I);
+      throw std::runtime_error ("circular_buffer::enqueue()");
+    }
+
+    if (bufLen_I == 0)
+      return (0);
+    if (!buf)
+      throw std::runtime_error ("circular_buffer::enqueue(): "
+                               "input buffer is NULL.\n");
+    d_internal->lock ();
+    if (d_doAbort) {
+      d_internal->unlock ();
+      return (2);
+    }
+    // set the return value to 1: success; change if needed
+    int retval = 1;
+    if (bufLen_I > d_n_avail_write_I) {
+      if (d_doWriteBlock) {
+       while (bufLen_I > d_n_avail_write_I) {
+         DEBUG (fprintf (stderr, "enqueue: #len > #a, waiting.\n"););
+         // wait will automatically unlock() the internal mutex
+         d_writeBlock->wait ();
+         // and lock() it here.
+         if (d_doAbort) {
+           d_internal->unlock ();
+           DEBUG (fprintf (stderr, "enqueue: #len > #a, aborting.\n"););
+           return (2);
+         }
+         DEBUG (fprintf (stderr, "enqueue: #len > #a, done waiting.\n"););
+       }
+      } else {
+       d_n_avail_read_I = d_bufLen_I - bufLen_I;
+       d_n_avail_write_I = bufLen_I;
+       DEBUG (fprintf (stderr, "circular_buffer::enqueue: overflow\n"););
+       retval = -1;
+      }
+    }
+    UInt32 n_now_I = d_bufLen_I - d_writeNdx_I, n_start_I = 0;
+    if (n_now_I > bufLen_I)
+      n_now_I = bufLen_I;
+    else if (n_now_I < bufLen_I)
+      n_start_I = bufLen_I - n_now_I;
+    bcopy (buf, &(d_buffer[d_writeNdx_I]), n_now_I * sizeof (T));
+    if (n_start_I) {
+      bcopy (&(buf[n_now_I]), d_buffer, n_start_I * sizeof (T));
+      d_writeNdx_I = n_start_I;
+    } else
+      d_writeNdx_I += n_now_I;
+    d_n_avail_read_I += bufLen_I;
+    d_n_avail_write_I -= bufLen_I;
+    d_readBlock->signal ();
+    d_internal->unlock ();
+    return (retval);
+  };
+
+/*
+ * dequeue: removes from the queue the number of items requested, or
+ *     available, into the given buffer on a FIFO basis.
+ *
+ * inputs:
+ *     buf: a pointer to the buffer into which to copy the data
+ *
+ *     bufLen_I: pointer to the number of items to remove in items
+ *         (of the instantiated type)
+ *
+ * returns:
+ *     0: if nothing to do (0 length buffer)
+ *     1: if success
+ *     2: in the process of aborting, do doing nothing
+ *
+ * will throw runtime errors if inputs are improper:
+ *     buffer pointer is NULL
+ *     buffer length pointer is NULL
+ *     buffer length is larger than the instantiated buffer length
+ */
+
+  int dequeue (T* buf, UInt32* bufLen_I) {
+    DEBUG (fprintf (stderr, "dequeue: buf = %X, *bufLen = %ld, #av_wr = %ld, "
+                   "#av_rd = %ld.\n", (unsigned int)buf, *bufLen_I,
+                   d_n_avail_write_I, d_n_avail_read_I););
+    if (!bufLen_I)
+      throw std::runtime_error ("circular_buffer::dequeue(): "
+                               "input bufLen pointer is NULL.\n");
+    if (!buf)
+      throw std::runtime_error ("circular_buffer::dequeue(): "
+                               "input buffer pointer is NULL.\n");
+    UInt32 l_bufLen_I = *bufLen_I;
+    if (l_bufLen_I == 0)
+      return (0);
+    if (l_bufLen_I > d_bufLen_I) {
+      fprintf (stderr, "cannot remove buffer longer (%ld"
+              ") than instantiated length (%ld"
+              ").\n", l_bufLen_I, d_bufLen_I);
+      throw std::runtime_error ("circular_buffer::dequeue()");
+    }
+
+    d_internal->lock ();
+    if (d_doAbort) {
+      d_internal->unlock ();
+      return (2);
+    }
+    if (d_doFullRead) {
+      while (d_n_avail_read_I < l_bufLen_I) {
+       DEBUG (fprintf (stderr, "dequeue: #a < #len, waiting.\n"););
+       // wait will automatically unlock() the internal mutex
+       d_readBlock->wait ();
+       // and lock() it here.
+       if (d_doAbort) {
+         d_internal->unlock ();
+         DEBUG (fprintf (stderr, "dequeue: #a < #len, aborting.\n"););
+         return (2);
+       }
+       DEBUG (fprintf (stderr, "dequeue: #a < #len, done waiting.\n"););
+     }
+    } else {
+      while (d_n_avail_read_I == 0) {
+       DEBUG (fprintf (stderr, "dequeue: #a == 0, waiting.\n"););
+       // wait will automatically unlock() the internal mutex
+       d_readBlock->wait ();
+       // and lock() it here.
+       if (d_doAbort) {
+         d_internal->unlock ();
+         DEBUG (fprintf (stderr, "dequeue: #a == 0, aborting.\n"););
+         return (2);
+       }
+       DEBUG (fprintf (stderr, "dequeue: #a == 0, done waiting.\n"););
+      }
+    }
+    if (l_bufLen_I > d_n_avail_read_I)
+      l_bufLen_I = d_n_avail_read_I;
+    UInt32 n_now_I = d_bufLen_I - d_readNdx_I, n_start_I = 0;
+    if (n_now_I > l_bufLen_I)
+      n_now_I = l_bufLen_I;
+    else if (n_now_I < l_bufLen_I)
+      n_start_I = l_bufLen_I - n_now_I;
+    bcopy (&(d_buffer[d_readNdx_I]), buf, n_now_I * sizeof (T));
+    if (n_start_I) {
+      bcopy (d_buffer, &(buf[n_now_I]), n_start_I * sizeof (T));
+      d_readNdx_I = n_start_I;
+    } else
+      d_readNdx_I += n_now_I;
+    *bufLen_I = l_bufLen_I;
+    d_n_avail_read_I -= l_bufLen_I;
+    d_n_avail_write_I += l_bufLen_I;
+    d_writeBlock->signal ();
+    d_internal->unlock ();
+    return (1);
+  };
+
+  void abort () {
+    d_internal->lock ();
+    d_doAbort = true;
+    d_writeBlock->signal ();
+    d_readBlock->signal ();
+    d_internal->unlock ();
+  };
+};
+
+#endif /* _CIRCULAR_BUFFER_H_ */
diff --git a/usrp/host/lib/legacy/circular_linked_list.h b/usrp/host/lib/legacy/circular_linked_list.h
new file mode 100644 (file)
index 0000000..e495d60
--- /dev/null
@@ -0,0 +1,284 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio.
+ *
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifndef _CIRCULAR_LINKED_LIST_H_
+#define _CIRCULAR_LINKED_LIST_H_
+
+#include <mld_threads.h>
+#include <stdexcept>
+
+#define __INLINE__ inline
+
+#ifndef DO_DEBUG
+#define DO_DEBUG 0
+#endif
+
+#if DO_DEBUG
+#define DEBUG(X) do{X} while(0);
+#else
+#define DEBUG(X) do{} while(0);
+#endif
+
+template <class T> class s_both;
+
+template <class T> class s_node
+{
+  typedef s_node<T>* s_node_ptr;
+
+private:
+  T d_object;
+  bool d_available;
+  s_node_ptr d_prev, d_next;
+  s_both<T>* d_both;
+
+public:
+  s_node (T l_object,
+         s_node_ptr l_prev = NULL,
+         s_node_ptr l_next = NULL)
+    : d_object (l_object), d_available (TRUE), d_prev (l_prev),
+    d_next (l_next), d_both (0) {};
+
+  __INLINE__ s_node (s_node_ptr l_prev, s_node_ptr l_next = NULL) {
+    s_node ((T) NULL, l_prev, l_next); };
+  __INLINE__ s_node () { s_node (NULL, NULL, NULL); };
+  __INLINE__ ~s_node () {};
+
+  void remove () {
+    d_prev->next (d_next);
+    d_next->prev (d_prev);
+    d_prev = d_next = this;
+  };
+
+  void insert_before (s_node_ptr l_next) {
+    if (l_next) {
+      s_node_ptr l_prev = l_next->prev ();
+      d_next = l_next;
+      d_prev = l_prev;
+      l_prev->next (this);
+      l_next->prev (this);
+    } else
+      d_next = d_prev = this;
+  };
+
+  void insert_after (s_node_ptr l_prev) {
+    if (l_prev) {
+      s_node_ptr l_next = l_prev->next ();
+      d_prev = l_prev;
+      d_next = l_next;
+      l_next->prev (this);
+      l_prev->next (this);
+    } else
+      d_prev = d_next = this;
+  };
+
+  __INLINE__ T object () { return (d_object); };
+  __INLINE__ void object (T l_object) { d_object = l_object; };
+  __INLINE__ bool available () { return (d_available); };
+  __INLINE__ void set_available () { d_available = TRUE; };
+  __INLINE__ void set_available (bool l_avail) { d_available = l_avail; };
+  __INLINE__ void set_not_available () { d_available = FALSE; };
+  __INLINE__ s_node_ptr next () { return (d_next); };
+  __INLINE__ s_node_ptr prev () { return (d_prev); };
+  __INLINE__ s_both<T>* both () { return (d_both); };
+  __INLINE__ void next (s_node_ptr l_next) { d_next = l_next; };
+  __INLINE__ void prev (s_node_ptr l_prev) { d_prev = l_prev; };
+  __INLINE__ void both (s_both<T>* l_both) { d_both = l_both; };
+};
+
+template <class T> class circular_linked_list {
+  typedef s_node<T>* s_node_ptr;
+
+private:
+  s_node_ptr d_current, d_iterate, d_available, d_inUse;
+  UInt32 d_n_nodes, d_n_used;
+  mld_mutex_ptr d_internal;
+  mld_condition_ptr d_ioBlock;
+
+public:
+  circular_linked_list (UInt32 n_nodes) {
+    if (n_nodes == 0)
+      throw std::runtime_error ("circular_linked_list(): n_nodes == 0");
+
+    d_iterate = NULL;
+    d_n_nodes = n_nodes;
+    d_n_used = 0;
+    s_node_ptr l_prev, l_next;
+    d_inUse = d_current = l_next = l_prev = NULL;
+
+    l_prev = new s_node<T> ();
+    l_prev->set_available ();
+    l_prev->next (l_prev);
+    l_prev->prev (l_prev);
+    if (n_nodes > 1) {
+      l_next = new s_node<T> (l_prev, l_prev);
+      l_next->set_available ();
+      l_next->next (l_prev);
+      l_next->prev (l_prev);
+      l_prev->next (l_next);
+      l_prev->prev (l_next);
+      if (n_nodes > 2) {
+       UInt32 n = n_nodes - 2;
+       while (n-- > 0) {
+         d_current = new s_node<T> (l_prev, l_next);
+         d_current->set_available ();
+         d_current->prev (l_prev);
+         d_current->next (l_next);
+         l_prev->next (d_current);
+         l_next->prev (d_current);
+         l_next = d_current;
+         d_current = NULL;
+       }
+      }
+    }
+    d_available = d_current = l_prev;
+    d_ioBlock = new mld_condition ();
+    d_internal = d_ioBlock->mutex ();
+  };
+
+  ~circular_linked_list () {
+    iterate_start ();
+    s_node_ptr l_node = iterate_next ();
+    while (l_node) {
+      delete l_node;
+      l_node = iterate_next ();
+    }
+    delete d_ioBlock;
+    d_ioBlock = NULL;
+    d_available = d_inUse = d_iterate = d_current = NULL;
+    d_n_used = d_n_nodes = 0;
+  };
+
+  s_node_ptr find_next_available_node () {
+    d_internal->lock ();
+// find an available node
+    s_node_ptr l_node = d_available; 
+    DEBUG (fprintf (stderr, "w "););
+    while (! l_node) {
+      DEBUG (fprintf (stderr, "x\n"););
+      // the ioBlock condition will automatically unlock() d_internal
+      d_ioBlock->wait ();
+      // and lock() is here
+      DEBUG (fprintf (stderr, "y\n"););
+      l_node = d_available;
+    }
+    DEBUG (fprintf (stderr, "::f_n_a_n: #u = %ld, node = %p\n",
+                   num_used(), l_node););
+// remove this one from the current available list
+    if (num_available () == 1) {
+// last one, just set available to NULL
+      d_available = NULL;
+    } else
+      d_available = l_node->next ();
+    l_node->remove ();
+// add is to the inUse list
+    if (! d_inUse)
+      d_inUse = l_node;
+    else
+      l_node->insert_before (d_inUse);
+    d_n_used++;
+    l_node->set_not_available ();
+    d_internal->unlock ();
+    return (l_node);
+  };
+
+  void make_node_available (s_node_ptr l_node) {
+    if (!l_node) return;
+    d_internal->lock ();
+    DEBUG (fprintf (stderr, "::m_n_a: #u = %ld, node = %p\n",
+                   num_used(), l_node););
+// remove this node from the inUse list
+    if (num_used () == 1) {
+// last one, just set inUse to NULL
+      d_inUse = NULL;
+    } else
+      d_inUse = l_node->next ();
+    l_node->remove ();
+// add this node to the available list
+    if (! d_available)
+      d_available = l_node;
+    else
+      l_node->insert_before (d_available);
+    d_n_used--;
+
+    DEBUG (fprintf (stderr, "s%ld ", d_n_used););
+// signal the condition when new data arrives
+    d_ioBlock->signal ();
+    DEBUG (fprintf (stderr, "t "););
+
+// unlock the mutex for thread safety
+    d_internal->unlock ();
+  };
+
+  __INLINE__ void iterate_start () { d_iterate = d_current; };
+
+  s_node_ptr iterate_next () {
+#if 0
+// lock the mutex for thread safety
+    d_internal->lock ();
+#endif
+    s_node_ptr l_this = NULL;
+    if (d_iterate) {
+      l_this = d_iterate;
+      d_iterate = d_iterate->next ();
+      if (d_iterate == d_current)
+       d_iterate = NULL;
+    }
+#if 0
+// unlock the mutex for thread safety
+    d_internal->unlock ();
+#endif
+    return (l_this);
+  };
+
+  __INLINE__ T object () { return (d_current->d_object); };
+  __INLINE__ void object (T l_object) { d_current->d_object = l_object; };
+  __INLINE__ UInt32 num_nodes () { return (d_n_nodes); };
+  __INLINE__ UInt32 num_used () { return (d_n_used); };
+  __INLINE__ void num_used (UInt32 l_n_used) { d_n_used = l_n_used; };
+  __INLINE__ UInt32 num_available () { return (d_n_nodes - d_n_used); };
+  __INLINE__ void num_used_inc (void) {
+    if (d_n_used < d_n_nodes) ++d_n_used;
+  };
+  __INLINE__ void num_used_dec (void) {
+    if (d_n_used != 0) --d_n_used;
+// signal the condition that new data has arrived
+    d_ioBlock->signal ();
+  };
+  __INLINE__ bool in_use () { return (d_n_used != 0); };
+};
+
+template <class T> class s_both
+{
+private:
+  s_node<T>* d_node;
+  void* d_this;
+public:
+  __INLINE__ s_both (s_node<T>* l_node, void* l_this)
+    : d_node (l_node), d_this (l_this) {};
+  __INLINE__ ~s_both () {};
+  __INLINE__ s_node<T>* node () { return (d_node); };
+  __INLINE__ void* This () { return (d_this); };
+  __INLINE__ void set (s_node<T>* l_node, void* l_this) {
+    d_node = l_node; d_this = l_this;};
+};
+
+#endif /* _CIRCULAR_LINKED_LIST_H_ */
diff --git a/usrp/host/lib/legacy/darwin_libusb.h b/usrp/host/lib/legacy/darwin_libusb.h
new file mode 100644 (file)
index 0000000..063a2e9
--- /dev/null
@@ -0,0 +1,190 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio.
+ *
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * The following code was taken from LIBUSB verion 0.1.10a,
+ * and makes the fusb_darwin codes do-able in the current GR
+ * programming framework.  Parts and pieces were taken from
+ * usbi.h, darwin.c, and error.h .
+ *
+ * LIBUSB version 0.1.10a is covered by the LGPL, version 2;
+ * These codes are used with permission from:
+ *   (c) 2000-2003 Johannes Erdfelt <johannes@erdfelt.com>
+ *   (c) 2002-2005 Nathan Hjelm <hjelmn@users.sourceforge.net>
+ * All rights reserved.
+ */
+
+#ifndef __DARWIN_LIBUSB_H__
+#define __DARWIN_LIBUSB_H__
+
+#include <IOKit/IOCFBundle.h>
+#include <IOKit/IOCFPlugIn.h>
+#include <IOKit/usb/IOUSBLib.h>
+#include <IOKit/IOKitLib.h>
+
+extern "C" {
+static char *
+darwin_error_str (int result)
+{
+  switch (result) {
+  case kIOReturnSuccess:
+    return "no error";
+  case kIOReturnNotOpen:
+    return "device not opened for exclusive access";
+  case kIOReturnNoDevice:
+    return "no connection to an IOService";
+  case kIOUSBNoAsyncPortErr:
+    return "no asyc port has been opened for interface";
+  case kIOReturnExclusiveAccess:
+    return "another process has device opened for exclusive access";
+  case kIOUSBPipeStalled:
+    return "pipe is stalled";
+  case kIOReturnError:
+    return "could not establish a connection to Darin kernel";
+  case kIOReturnBadArgument:
+    return "invalid argument";
+  default:
+    return "unknown error";
+  }
+}
+
+/* not a valid errorno outside darwin.c */
+#define LUSBDARWINSTALL (ELAST+1)
+
+static int
+darwin_to_errno (int result)
+{
+  switch (result) {
+  case kIOReturnSuccess:
+    return 0;
+  case kIOReturnNotOpen:
+    return EBADF;
+  case kIOReturnNoDevice:
+  case kIOUSBNoAsyncPortErr:
+    return ENXIO;
+  case kIOReturnExclusiveAccess:
+    return EBUSY;
+  case kIOUSBPipeStalled:
+    return LUSBDARWINSTALL;
+  case kIOReturnBadArgument:
+    return EINVAL;
+  case kIOReturnError:
+  default:
+    return 1;
+  }
+}
+
+typedef enum {
+  USB_ERROR_TYPE_NONE = 0,
+  USB_ERROR_TYPE_STRING,
+  USB_ERROR_TYPE_ERRNO,
+} usb_error_type_t;
+
+extern char usb_error_str[1024];
+extern int usb_error_errno;
+extern usb_error_type_t usb_error_type;
+
+#define USB_ERROR(r, x)                                \
+       do { \
+          usb_error_type = USB_ERROR_TYPE_ERRNO; \
+          usb_error_errno = x; \
+         return r; \
+       } while (0)
+
+#define USB_ERROR_STR(r, x, format, args...)   \
+       do { \
+         usb_error_type = USB_ERROR_TYPE_STRING; \
+         snprintf(usb_error_str, sizeof(usb_error_str) - 1, format, ## args); \
+          if (usb_debug) \
+            fprintf(stderr, "USB error: %s\n", usb_error_str); \
+         return r; \
+       } while (0)
+
+#define USB_ERROR_STR_ORIG(x, format, args...) \
+       do { \
+         usb_error_type = USB_ERROR_TYPE_STRING; \
+         snprintf(usb_error_str, sizeof(usb_error_str) - 1, format, ## args); \
+          if (usb_debug) \
+            fprintf(stderr, "USB error: %s\n", usb_error_str); \
+         return x; \
+       } while (0)
+
+#define USB_ERROR_STR_NO_RET(x, format, args...)       \
+       do { \
+         usb_error_type = USB_ERROR_TYPE_STRING; \
+         snprintf(usb_error_str, sizeof(usb_error_str) - 1, format, ## args); \
+          if (usb_debug) \
+            fprintf(stderr, "USB error: %s\n", usb_error_str); \
+       } while (0)
+
+/* simple function that figures out what pipeRef is associated with an endpoint */
+static int ep_to_pipeRef (darwin_dev_handle *device, int ep)
+{
+  io_return_t ret;
+  UInt8 numep, direction, number;
+  UInt8 dont_care1, dont_care3;
+  UInt16 dont_care2;
+  int i;
+
+  if (usb_debug > 3)
+    fprintf(stderr, "Converting ep address to pipeRef.\n");
+
+  /* retrieve the total number of endpoints on this interface */
+  ret = (*(device->interface))->GetNumEndpoints(device->interface, &numep);
+  if ( ret ) {
+    if ( usb_debug > 3 )
+      fprintf ( stderr, "ep_to_pipeRef: interface is %p\n", device->interface );
+    USB_ERROR_STR_ORIG ( -ret, "ep_to_pipeRef: can't get number of endpoints for interface" );
+  }
+
+  /* iterate through the pipeRefs until we find the correct one */
+  for (i = 1 ; i <= numep ; i++) {
+    ret = (*(device->interface))->GetPipeProperties(device->interface, i, &direction, &number,
+                                                   &dont_care1, &dont_care2, &dont_care3);
+
+    if (ret != kIOReturnSuccess) {
+      fprintf (stderr, "ep_to_pipeRef: an error occurred getting pipe information on pipe %d\n",
+              i );
+      USB_ERROR_STR_ORIG (-darwin_to_errno(ret), "ep_to_pipeRef(GetPipeProperties): %s", darwin_error_str(ret));
+    }
+
+    if (usb_debug > 3)
+      fprintf (stderr, "ep_to_pipeRef: Pipe %i: DIR: %i number: %i\n", i, direction, number);
+
+    /* calculate the endpoint of the pipe and check it versus the requested endpoint */
+    if ( ((direction << 7 & USB_ENDPOINT_DIR_MASK) | (number & USB_ENDPOINT_ADDRESS_MASK)) == ep ) {
+      if (usb_debug > 3)
+       fprintf(stderr, "ep_to_pipeRef: pipeRef for ep address 0x%02x found: 0x%02x\n", ep, i);
+
+      return i;
+    }
+  }
+
+  if (usb_debug > 3)
+    fprintf(stderr, "ep_to_pipeRef: No pipeRef found with endpoint address 0x%02x.\n", ep);
+  
+  /* none of the found pipes match the requested endpoint */
+  return -1;
+}
+
+}
+#endif /* __DARWIN_LIBUSB_H__ */
diff --git a/usrp/host/lib/legacy/db_base.cc b/usrp/host/lib/legacy/db_base.cc
new file mode 100644 (file)
index 0000000..80c6d46
--- /dev/null
@@ -0,0 +1,252 @@
+//
+// Copyright 2008 Free Software Foundation, Inc.
+// 
+// This file is part of GNU Radio
+// 
+// GNU Radio is free software; you can redistribute it and/or modify
+// it under the terms of the GNU General Public License as published by
+// the Free Software Foundation; either asversion 3, or (at your option)
+// any later version.
+// 
+// GNU Radio is distributed in the hope that it will be useful,
+// but WITHOUT ANY WARRANTY; without even the implied warranty of
+// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+// GNU General Public License for more details.
+// 
+// You should have received a copy of the GNU General Public License
+// along with GNU Radio; see the file COPYING.  If not, write to
+// the Free Software Foundation, Inc., 51 Franklin Street,
+// Boston, MA 02110-1301, USA.
+//
+
+#include <db_base.h>
+#include <db_base_impl.h>
+
+#if 0
+tune_result::tune_result(double baseband, double dxc, double residual, bool inv)
+  : ok(false), baseband_freq(baseband), dxc_freq(dxc), 
+    residual_freq(residual), inverted(inv)
+{
+}
+
+tune_result::~tune_result()
+{ 
+}
+#endif
+
+
+/*****************************************************************************/
+
+db_base::db_base(usrp_basic_sptr usrp, int which)
+  : d_is_shutdown(false), d_raw_usrp(usrp.get()), d_which(which), d_lo_offset(0.0)
+{
+}
+
+db_base::~db_base()
+{
+  shutdown();
+}
+
+void
+db_base::shutdown()
+{
+  if (!d_is_shutdown){
+    d_is_shutdown = true;
+    // do whatever there is to do to shutdown
+  }
+}
+
+int 
+db_base::dbid()
+{
+  return usrp()->daughterboard_id(d_which);
+}
+
+std::string 
+db_base::name()
+{
+  return usrp_dbid_to_string(dbid());
+}
+
+std::string 
+db_base::side_and_name()
+{
+  if(d_which == 0)
+    return "A: " + name();
+  else
+    return "B: " + name();
+}
+
+// Function to bypass ADC buffers. Any board which is DC-coupled
+// should bypass the buffers
+
+bool
+db_base::bypass_adc_buffers(bool bypass)
+{
+  //if(d_tx) {
+  //  throw  std::runtime_error("TX Board has no adc buffers\n");
+  //}
+
+  bool ok = true;
+  if(d_which==0) {
+    ok &= usrp()->set_adc_buffer_bypass(0, bypass);
+    ok &= usrp()->set_adc_buffer_bypass(1, bypass);
+  }
+  else {
+    ok &= usrp()->set_adc_buffer_bypass(2, bypass);
+    ok &= usrp()->set_adc_buffer_bypass(3, bypass);
+  }
+  return ok;
+}
+
+bool 
+db_base::set_atr_mask(int v)
+{
+  // Set Auto T/R mask.
+  return usrp()->write_atr_mask(d_which, v);
+}
+
+bool 
+db_base::set_atr_txval(int v)
+{
+  // Set Auto T/R register value to be used when transmitting.
+  return usrp()->write_atr_txval(d_which, v);
+}
+  
+bool 
+db_base::set_atr_rxval(int v)
+{
+  // Set Auto T/R register value to be used when receiving.
+  return usrp()->write_atr_rxval(d_which, v);
+}
+  
+bool 
+db_base::set_atr_tx_delay(int v)
+{
+  // Set Auto T/R delay (in clock ticks) from when Tx fifo gets data to 
+  // when T/R switches.
+  return usrp()->write_atr_tx_delay(v);
+}
+
+bool 
+db_base::set_atr_rx_delay(int v)
+{
+  // Set Auto T/R delay (in clock ticks) from when Tx fifo goes empty to 
+  // when T/R switches.
+  return usrp()->write_atr_rx_delay(v);
+}
+
+bool
+db_base::i_and_q_swapped()
+{
+  // Return True if this is a quadrature device and (for RX) ADC 0 is Q
+  // or (for TX) DAC 0 is Q
+  return false;
+}
+
+bool 
+db_base::spectrum_inverted()
+{
+  // Return True if the dboard gives an inverted spectrum
+  
+  return false;
+}
+
+bool
+db_base::set_enable(bool on)
+{
+  // For tx daughterboards, this controls the transmitter enable.
+
+  return true; // default is nop
+}
+
+bool
+db_base::set_auto_tr(bool on)
+{
+  // Enable automatic Transmit/Receive switching (ATR).
+  // 
+  // Should be overridden in subclasses that care.  This will typically
+  // set the atr_mask, txval and rxval.
+
+  return true;
+}
+
+bool
+db_base::set_lo_offset(double offset)
+{
+  // Set how much LO is offset from requested frequency
+
+  d_lo_offset = offset;
+  return true;
+}
+
+bool
+db_base::select_rx_antenna(int which_antenna)
+{
+  // Specify which antenna port to use for reception.
+  // Should be overriden by daughterboards that care.
+
+  return which_antenna == 0;
+}
+
+bool
+db_base::select_rx_antenna(const std::string &which_antenna)
+{
+  // Specify which antenna port to use for reception.
+  // Should be overriden by daughterboards that care.
+
+  return which_antenna == "";
+}
+
+
+// Reference Clock section
+//
+// Control whether a reference clock is sent to the daughterboards,
+// and what frequency
+//
+// Bit 7  -- 1 turns on refclk, 0 allows IO use
+// Bits 6:0 Divider value
+//
+    
+double
+db_base::_refclk_freq() 
+{
+  return usrp()->fpga_master_clock_freq() / _refclk_divisor();
+}
+
+void 
+db_base::_enable_refclk(bool enable)
+{
+  int CLOCK_OUT = 1;   // Clock is on lowest bit
+  int REFCLK_ENABLE = 0x80;
+  int REFCLK_DIVISOR_MASK = 0x7f;
+
+  if(enable) {
+    usrp()->_write_oe(d_which, CLOCK_OUT, CLOCK_OUT); // output enable
+    usrp()->write_refclk(d_which, (_refclk_divisor() & REFCLK_DIVISOR_MASK) | REFCLK_ENABLE);
+  }
+  else {
+    usrp()->write_refclk(d_which, 0);
+  }
+}
+
+int 
+db_base::_refclk_divisor()
+{
+  // Return value to stick in REFCLK_DIVISOR register
+  throw std::runtime_error("_reflck_divisor() called from base class\n");;
+}
+
+bool
+db_base::set_bw(float bw)
+{
+  // Set baseband bandwidth (board specific)
+  // Should be overriden by boards that implement variable IF filtering (e.g., DBSRX)
+  return false;
+}
+
+std::ostream &operator<<(std::ostream &os, db_base &x)
+{
+  os << x.side_and_name();
+  return os;
+}
diff --git a/usrp/host/lib/legacy/db_base.h b/usrp/host/lib/legacy/db_base.h
new file mode 100644 (file)
index 0000000..3547089
--- /dev/null
@@ -0,0 +1,119 @@
+/* -*- c++ -*- */
+//
+// Copyright 2008 Free Software Foundation, Inc.
+// 
+// This file is part of GNU Radio
+// 
+// GNU Radio is free software; you can redistribute it and/or modify
+// it under the terms of the GNU General Public License as published by
+// the Free Software Foundation; either asversion 3, or (at your option)
+// any later version.
+// 
+// GNU Radio is distributed in the hope that it will be useful,
+// but WITHOUT ANY WARRANTY; without even the implied warranty of
+// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+// GNU General Public License for more details.
+// 
+// You should have received a copy of the GNU General Public License
+// along with GNU Radio; see the file COPYING.  If not, write to
+// the Free Software Foundation, Inc., 51 Franklin Street,
+// Boston, MA 02110-1301, USA.
+//
+
+#ifndef INCLUDED_DB_BASE_H
+#define INCLUDED_DB_BASE_H
+
+#include <string>
+#include <boost/shared_ptr.hpp>
+#include <boost/weak_ptr.hpp>
+#include <iosfwd>
+
+class db_base;
+typedef boost::shared_ptr<db_base> db_base_sptr;
+
+class usrp_basic;
+typedef boost::shared_ptr<usrp_basic> usrp_basic_sptr;
+
+struct freq_result_t
+{
+  bool  ok;
+  double baseband_freq;
+};
+
+/******************************************************************************/
+
+/*!
+ * \brief Abstract base class for all USRP daughterboards
+ * \ingroup usrp
+ */
+class db_base
+{
+ protected:
+  bool                         d_is_shutdown;
+  usrp_basic                  *d_raw_usrp;
+  int                          d_which;
+  double                       d_lo_offset;
+
+  void _enable_refclk(bool enable);
+  virtual double _refclk_freq();
+  virtual int _refclk_divisor();
+
+  usrp_basic *usrp(){
+    return d_raw_usrp;
+  }
+
+ public:
+  db_base(boost::shared_ptr<usrp_basic> usrp, int which);
+  virtual ~db_base();
+
+  int dbid();
+  std::string name();
+  std::string side_and_name();
+  int which() { return d_which; }
+
+  bool bypass_adc_buffers(bool bypass);
+  bool set_atr_mask(int v);
+  bool set_atr_txval(int v);
+  bool set_atr_rxval(int v);
+  bool set_atr_tx_delay(int v);
+  bool set_atr_rx_delay(int v);
+  bool set_lo_offset(double offset);
+  double lo_offset() { return d_lo_offset; }
+
+
+  ////////////////////////////////////////////////////////
+  // derived classes should override the following methods
+
+protected:
+  friend class usrp_basic;
+
+  /*!
+   * Called to shutdown daughterboard.  Called from dtor and usrp_basic dtor.
+   *
+   * N.B., any class that overrides shutdown MUST call shutdown in its destructor.
+   */
+  virtual void shutdown();
+
+
+public:
+  virtual float gain_min() = 0;
+  virtual float gain_max() = 0;
+  virtual float gain_db_per_step() = 0;
+  virtual double freq_min() = 0;
+  virtual double freq_max() = 0;
+  virtual struct freq_result_t set_freq(double target_freq) = 0;
+  virtual bool set_gain(float gain) = 0;
+  virtual bool is_quadrature() = 0;
+  virtual bool i_and_q_swapped();
+  virtual bool spectrum_inverted();
+  virtual bool set_enable(bool on);
+  virtual bool set_auto_tr(bool on);
+  virtual bool select_rx_antenna(int which_antenna);
+  virtual bool select_rx_antenna(const std::string &which_antenna);
+  virtual bool set_bw(float bw);
+};
+
+
+std::ostream & operator<<(std::ostream &os, db_base &x);
+
+#endif /* INCLUDED_DB_BASE_H */
diff --git a/usrp/host/lib/legacy/db_base.i b/usrp/host/lib/legacy/db_base.i
new file mode 100644 (file)
index 0000000..bd5483a
--- /dev/null
@@ -0,0 +1,102 @@
+/* -*- c++ -*- */
+//
+// Copyright 2008,2009 Free Software Foundation, Inc.
+// 
+// This file is part of GNU Radio
+// 
+// GNU Radio is free software; you can redistribute it and/or modify
+// it under the terms of the GNU General Public License as published by
+// the Free Software Foundation; either version 3, or (at your option)
+// any later version.
+// 
+// GNU Radio is distributed in the hope that it will be useful,
+// but WITHOUT ANY WARRANTY; without even the implied warranty of
+// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+// GNU General Public License for more details.
+// 
+// You should have received a copy of the GNU General Public License
+// along with GNU Radio; see the file COPYING.  If not, write to
+// the Free Software Foundation, Inc., 51 Franklin Street,
+// Boston, MA 02110-1301, USA.
+//
+
+
+%{
+#include "db_base.h"
+%}
+
+%include <gr_shared_ptr.i>
+
+class usrp_tune_result
+{
+public:  
+  usrp_tune_result(double baseband=0, double dxc=0, 
+                  double residual=0, bool inv=0);
+  ~usrp_tune_result();
+
+  double baseband_freq;
+  double dxc_freq;
+  double residual_freq;
+  bool  inverted;
+};
+
+struct freq_result_t
+{
+  bool  ok;
+  double baseband_freq;
+};
+
+class db_base
+{
+ private:
+  db_base(boost::shared_ptr<usrp_basic> usrp, int which);
+
+ public:
+  virtual ~db_base();
+
+  int dbid();
+  std::string name();
+  std::string side_and_name();
+  int which() { return d_which; }
+
+  bool bypass_adc_buffers(bool bypass);
+  bool set_atr_mask(int v);
+  bool set_atr_txval(int v);
+  bool set_atr_rxval(int v);
+  bool set_atr_tx_delay(int v);
+  bool set_atr_rx_delay(int v);
+  bool set_lo_offset(double offset);
+  double lo_offset() { return d_lo_offset; }
+
+  virtual float gain_min() = 0;
+  virtual float gain_max() = 0;
+  virtual float gain_db_per_step() = 0;
+  virtual double freq_min() = 0;
+  virtual double freq_max() = 0;
+  virtual struct freq_result_t set_freq(double target_freq) = 0;
+  virtual bool set_gain(float gain) = 0;
+  virtual bool is_quadrature() = 0;
+  virtual bool i_and_q_swapped();
+  virtual bool spectrum_inverted();
+  virtual bool set_enable(bool on);
+  virtual bool set_auto_tr(bool on);
+  virtual bool select_rx_antenna(int which_antenna);
+  virtual bool select_rx_antenna(const std::string &antenna);
+  virtual bool set_bw(float bw);
+};
+
+// Create templates for db's, vectors of db's, and vector of vectors of db's
+typedef boost::shared_ptr<db_base> db_base_sptr;
+%template(db_base_sptr) boost::shared_ptr<db_base>;
+%template(db_base_sptr_vector) std::vector<db_base_sptr>;
+%template(db_base_sptr_vector_vector) std::vector<std::vector<db_base_sptr> >;
+
+// Set better class name in Python
+// Enable freq_range and gain_range from public methods of class not implemented in C++
+// And create a dummy wrapper for backwards compatability with some of the example code
+%pythoncode %{
+  db_base_sptr.__repr__ = lambda self: "<db_base::%s>" % (self.name(),)
+  db_base_sptr.freq_range = lambda self: (self.freq_min(), self.freq_max(), 1)
+  db_base_sptr.gain_range = lambda self: (self.gain_min(), self.gain_max(), self.gain_db_per_step())
+
+%}
diff --git a/usrp/host/lib/legacy/db_base_impl.h b/usrp/host/lib/legacy/db_base_impl.h
new file mode 100644 (file)
index 0000000..bb4d95d
--- /dev/null
@@ -0,0 +1,33 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+#ifndef INCLUDED_DB_BASE_IMPL_H
+#define INCLUDED_DB_BASE_IMPL_H
+
+#include <db_base.h>
+#include <db_util.h>
+#include <usrp_basic.h>
+#include <fpga_regs_standard.h>
+#include <fpga_regs_common.h>
+#include <usrp_prims.h>
+#include <usrp_spi_defs.h>
+#include <stdexcept>
+
+#endif /* INCLUDED_DB_BASE_IMPL_H */
diff --git a/usrp/host/lib/legacy/db_basic.cc b/usrp/host/lib/legacy/db_basic.cc
new file mode 100644 (file)
index 0000000..4bafc93
--- /dev/null
@@ -0,0 +1,263 @@
+//
+// Copyright 2008 Free Software Foundation, Inc.
+// 
+// This file is part of GNU Radio
+// 
+// GNU Radio is free software; you can redistribute it and/or modify
+// it under the terms of the GNU General Public License as published by
+// the Free Software Foundation; either asversion 3, or (at your option)
+// any later version.
+// 
+// GNU Radio is distributed in the hope that it will be useful,
+// but WITHOUT ANY WARRANTY; without even the implied warranty of
+// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+// GNU General Public License for more details.
+// 
+// You should have received a copy of the GNU General Public License
+// along with GNU Radio; see the file COPYING.  If not, write to
+// the Free Software Foundation, Inc., 51 Franklin Street,
+// Boston, MA 02110-1301, USA.
+
+#include <db_basic.h>
+#include <db_base_impl.h>
+
+
+db_basic_tx::db_basic_tx(boost::shared_ptr<usrp_basic> usrp, int which)
+  : db_base(usrp, which)
+{
+  // Handler for Basic Tx daughterboards.
+  // 
+  // @param usrp: instance of usrp.source_c
+  // @param which: which side: 0 or 1 corresponding to TX_A or TX_B respectively
+
+  set_gain((gain_min() + gain_max()) / 2);         // initialize gain
+}
+
+db_basic_tx::~db_basic_tx()
+{
+}
+
+double 
+db_basic_tx::freq_min() 
+{
+  return -90e9;
+}
+
+double 
+db_basic_tx::freq_max() 
+{
+  return 90e9;
+}
+
+struct freq_result_t 
+db_basic_tx::set_freq(double target_freq)
+{
+  // Set the frequency.
+  // 
+  // @param freq:  target RF frequency in Hz
+  // @type freq:   double
+  // 
+  // @returns (ok, actual_baseband_freq) where:
+  //   ok is True or False and indicates success or failure,
+  //   actual_baseband_freq is the RF frequency that corresponds to DC in the IF.
+  
+  struct freq_result_t args = {false, 0};
+  args.ok = true;
+  args.baseband_freq = 0.0;
+  return args;
+}
+
+float
+db_basic_tx::gain_min()
+{
+  return usrp()->pga_min();
+}
+
+float
+db_basic_tx::gain_max()
+{
+  return usrp()->pga_max();
+}
+
+float
+db_basic_tx::gain_db_per_step()
+{
+  return usrp()->pga_db_per_step();
+}
+
+bool 
+db_basic_tx::set_gain(float gain)
+{
+  // Set the gain.
+  // 
+  // @param gain:  gain in decibels
+  // @returns True/False
+
+  bool ok = usrp()->set_pga(d_which * 2 + 0, gain);
+  ok = ok && usrp()->set_pga(d_which * 2 + 1, gain);
+  return ok;
+}
+
+bool 
+db_basic_tx::is_quadrature()
+{
+  // Return True if this board requires both I & Q analog channels.
+  
+  return true;
+}
+
+
+/******************************************************************************/
+
+
+db_basic_rx::db_basic_rx(usrp_basic_sptr usrp, int which, int subdev)
+  : db_base(usrp, which)
+{
+  // Handler for Basic Rx daughterboards.
+  // 
+  // @param usrp: instance of usrp.source_c
+  // @param which: which side: 0 or 1 corresponding to TX_A or TX_B respectively
+  // @param subdev: which analog i/o channel: 0 or 1
+  // @type subdev: int
+  
+  d_subdev = subdev;
+    
+  bypass_adc_buffers(true);
+
+  if(0) {       // Doing this would give us a different default than the historical values...
+    set_gain(float(gain_min() + gain_max()) / 2.0);       // initialize gain
+  }
+}
+
+db_basic_rx::~db_basic_rx()
+{
+}
+
+double
+db_basic_rx::freq_min() 
+{
+  return -90e9;
+}
+
+double
+db_basic_rx::freq_max()
+{
+  return 90e9;
+}
+
+struct freq_result_t 
+db_basic_rx::set_freq(double target_freq)
+{
+  // Set the frequency.
+  // 
+  // @param freq:  target RF frequency in Hz
+  // @type freq:   double
+  // 
+  // @returns (ok, actual_baseband_freq) where:
+  //   ok is True or False and indicates success or failure,
+  //   actual_baseband_freq is the RF frequency that corresponds to DC in the IF.
+  
+  struct freq_result_t args = {true, 0.0};
+  return args;
+}
+
+float
+db_basic_rx::gain_min()
+{
+  return usrp()->pga_min();
+}
+
+float
+db_basic_rx::gain_max()
+{
+  return usrp()->pga_max();
+}
+
+float
+db_basic_rx::gain_db_per_step()
+{
+  return usrp()->pga_db_per_step();
+}
+
+bool 
+db_basic_rx::set_gain(float gain)
+{
+  // Set the gain.
+  // 
+  // @param gain:  gain in decibels
+  // @returns True/False
+  
+  return usrp()->set_pga(d_which * 2 + d_subdev, gain);
+}
+
+bool 
+db_basic_rx::is_quadrature()
+{
+  // Return True if this board requires both I & Q analog channels.
+
+  // This bit of info is useful when setting up the USRP Rx mux register.
+  
+  return (d_subdev == 2);
+}
+
+
+
+/******************************************************************************/
+
+
+db_lf_tx::db_lf_tx(usrp_basic_sptr usrp, int which)
+  : db_basic_tx(usrp, which)
+{
+  // Handler for Low Freq Tx daughterboards.
+  //
+  // @param usrp: instance of usrp.source_c
+  // @param which: which side: 0 or 1 corresponding to RX_A or RX_B respectively
+}
+
+db_lf_tx::~db_lf_tx()
+{
+}
+
+double 
+db_lf_tx::freq_min() 
+{
+  return -32e6;
+}
+
+double 
+db_lf_tx::freq_max()
+{
+  return 32e6;
+}
+
+/******************************************************************************/
+
+
+db_lf_rx::db_lf_rx(usrp_basic_sptr usrp, int which, int subdev)
+  : db_basic_rx(usrp, which, subdev)
+{
+  // Handler for Low Freq Rx daughterboards.
+  //
+  // @param usrp: instance of usrp.source_c
+  // @param which: which side: 0 or 1 corresponding to RX_A or RX_B respectively
+  // @param subdev: which analog i/o channel: 0 or 1
+  // @type subdev: int
+}
+
+db_lf_rx::~db_lf_rx()
+{
+}
+
+double
+db_lf_rx::freq_min() 
+{
+  return 0.0;
+}
+
+double
+db_lf_rx::freq_max() 
+{
+  return 32e6;
+}
+
+
diff --git a/usrp/host/lib/legacy/db_basic.h b/usrp/host/lib/legacy/db_basic.h
new file mode 100644 (file)
index 0000000..4dd92b9
--- /dev/null
@@ -0,0 +1,99 @@
+/* -*- c++ -*- */
+//
+// Copyright 2008 Free Software Foundation, Inc.
+// 
+// This file is part of GNU Radio
+// 
+// GNU Radio is free software; you can redistribute it and/or modify
+// it under the terms of the GNU General Public License as published by
+// the Free Software Foundation; either asversion 3, or (at your option)
+// any later version.
+// 
+// GNU Radio is distributed in the hope that it will be useful,
+// but WITHOUT ANY WARRANTY; without even the implied warranty of
+// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+// GNU General Public License for more details.
+// 
+// You should have received a copy of the GNU General Public License
+// along with GNU Radio; see the file COPYING.  If not, write to
+// the Free Software Foundation, Inc., 51 Franklin Street,
+// Boston, MA 02110-1301, USA.
+
+#ifndef DB_BASIC_H
+#define DB_BASIC_H
+
+#include <db_base.h>
+
+
+/******************************************************************************/
+
+
+class db_basic_tx : public db_base
+{
+public:
+  db_basic_tx(usrp_basic_sptr usrp, int which);
+  ~db_basic_tx();
+  
+  float gain_min();
+  float gain_max();
+  float gain_db_per_step();
+  double freq_min();
+  double freq_max();
+  struct freq_result_t set_freq(double target_freq);
+  bool  set_gain(float gain);
+  bool  is_quadrature();
+};
+
+
+/******************************************************************************/
+
+
+class db_basic_rx : public db_base
+{
+ public:
+  db_basic_rx(usrp_basic_sptr usrp, int which, int subdev);
+  ~db_basic_rx();
+  
+  float gain_min();
+  float gain_max();
+  float gain_db_per_step();
+  double freq_min();
+  double freq_max();
+  struct freq_result_t set_freq(double target_freq);
+  bool set_gain(float gain);
+  bool is_quadrature();
+
+private:
+  int d_subdev;
+};
+
+
+/******************************************************************************/
+
+
+class db_lf_rx : public db_basic_rx
+{
+ public:
+  db_lf_rx(usrp_basic_sptr usrp, int which, int subdev);
+  ~db_lf_rx();
+  
+  double freq_min();
+  double freq_max();
+};
+
+
+/******************************************************************************/
+
+
+class db_lf_tx : public db_basic_tx
+{ 
+ public:
+  db_lf_tx(usrp_basic_sptr usrp, int which);
+  ~db_lf_tx();
+  
+  double freq_min();
+  double freq_max();
+};
+
+
+#endif
diff --git a/usrp/host/lib/legacy/db_boards.cc b/usrp/host/lib/legacy/db_boards.cc
new file mode 100644 (file)
index 0000000..b537698
--- /dev/null
@@ -0,0 +1,218 @@
+/* -*- c++ -*- */
+//
+// Copyright 2008 Free Software Foundation, Inc.
+// 
+// This file is part of GNU Radio
+// 
+// GNU Radio is free software; you can redistribute it and/or modify
+// it under the terms of the GNU General Public License as published by
+// the Free Software Foundation; either asversion 3, or (at your option)
+// any later version.
+// 
+// GNU Radio is distributed in the hope that it will be useful,
+// but WITHOUT ANY WARRANTY; without even the implied warranty of
+// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+// GNU General Public License for more details.
+// 
+// You should have received a copy of the GNU General Public License
+// along with GNU Radio; see the file COPYING.  If not, write to
+// the Free Software Foundation, Inc., 51 Franklin Street,
+// Boston, MA 02110-1301, USA.
+//
+
+#include <db_boards.h>
+#include <usrp_dbid.h>
+#include <db_basic.h>
+#include <db_tv_rx.h>
+#include <db_dbs_rx.h>
+#include <db_flexrf.h>
+#include <db_flexrf_mimo.h>
+#include <db_xcvr2450.h>
+#include <db_wbx.h>
+#include <db_dtt754.h>
+#include <db_dtt768.h>
+#include <cstdio>
+
+std::vector<db_base_sptr>
+instantiate_dbs(int dbid, usrp_basic_sptr usrp, int which_side)
+{
+  std::vector<db_base_sptr> db;
+
+  switch(dbid) {
+
+  case(USRP_DBID_BASIC_TX):
+    db.push_back(db_base_sptr(new db_basic_tx(usrp, which_side)));
+    break;
+
+  case(USRP_DBID_BASIC_RX):
+    db.push_back(db_base_sptr(new db_basic_rx(usrp, which_side, 0)));
+    db.push_back(db_base_sptr(new db_basic_rx(usrp, which_side, 1)));
+    db.push_back(db_base_sptr(new db_basic_rx(usrp, which_side, 2)));
+    break;
+
+  case(USRP_DBID_LF_TX):
+    db.push_back(db_base_sptr(new db_lf_tx(usrp, which_side)));
+    break;
+
+  case(USRP_DBID_LF_RX):
+    db.push_back(db_base_sptr(new db_lf_rx(usrp, which_side, 0)));
+    db.push_back(db_base_sptr(new db_lf_rx(usrp, which_side, 1)));
+    db.push_back(db_base_sptr(new db_lf_rx(usrp, which_side, 2)));
+    break;
+    
+  case(USRP_DBID_DBS_RX):
+    db.push_back(db_base_sptr(new db_dbs_rx(usrp, which_side)));
+    break;
+
+  case(USRP_DBID_TV_RX):
+    db.push_back(db_base_sptr(new db_tv_rx(usrp, which_side, 43.75e6, 5.75e6)));
+    break;
+  case(USRP_DBID_TV_RX_REV_2):
+    db.push_back(db_base_sptr(new db_tv_rx(usrp, which_side, 44e6, 20e6)));
+    break;
+  case(USRP_DBID_TV_RX_REV_3):
+    db.push_back(db_base_sptr(new db_tv_rx(usrp, which_side, 44e6, 20e6)));
+    break;
+
+  case(USRP_DBID_FLEX_2400_TX):
+    db.push_back(db_base_sptr(new db_flexrf_2400_tx(usrp, which_side)));
+    break;
+  case(USRP_DBID_FLEX_2400_RX):
+    db.push_back(db_base_sptr(new db_flexrf_2400_rx(usrp, which_side)));
+    break;
+  case(USRP_DBID_FLEX_1200_TX):
+    db.push_back(db_base_sptr(new db_flexrf_1200_tx(usrp, which_side)));
+    break;
+  case(USRP_DBID_FLEX_1200_RX):
+    db.push_back(db_base_sptr(new db_flexrf_1200_rx(usrp, which_side)));
+    break;
+  case(USRP_DBID_FLEX_1800_TX):
+    db.push_back(db_base_sptr(new db_flexrf_1800_tx(usrp, which_side)));
+    break;
+  case(USRP_DBID_FLEX_1800_RX):
+    db.push_back(db_base_sptr(new db_flexrf_1800_rx(usrp, which_side)));
+    break;
+  case(USRP_DBID_FLEX_900_TX):
+    db.push_back(db_base_sptr(new db_flexrf_900_tx(usrp, which_side)));
+    break;
+  case(USRP_DBID_FLEX_900_RX):
+    db.push_back(db_base_sptr(new db_flexrf_900_rx(usrp, which_side)));
+    break;
+  case(USRP_DBID_FLEX_400_TX):
+    db.push_back(db_base_sptr(new db_flexrf_400_tx(usrp, which_side)));
+    break;
+  case(USRP_DBID_FLEX_400_RX):
+    db.push_back(db_base_sptr(new db_flexrf_400_rx(usrp, which_side)));
+    break;
+  case(USRP_DBID_FLEX_2400_TX_MIMO_A):
+    db.push_back(db_base_sptr(new db_flexrf_2400_tx_mimo_a(usrp, which_side)));
+    break;
+  case(USRP_DBID_FLEX_2400_RX_MIMO_A):
+    db.push_back(db_base_sptr(new db_flexrf_2400_rx_mimo_a(usrp, which_side)));
+    break;
+  case(USRP_DBID_FLEX_1800_TX_MIMO_A):
+    db.push_back(db_base_sptr(new db_flexrf_1800_tx_mimo_a(usrp, which_side)));
+    break;
+  case(USRP_DBID_FLEX_1800_RX_MIMO_A):
+    db.push_back(db_base_sptr(new db_flexrf_1800_rx_mimo_a(usrp, which_side)));
+    break;
+  case(USRP_DBID_FLEX_1200_TX_MIMO_A):
+    db.push_back(db_base_sptr(new db_flexrf_1200_tx_mimo_a(usrp, which_side)));
+    break;
+  case(USRP_DBID_FLEX_1200_RX_MIMO_A):
+    db.push_back(db_base_sptr(new db_flexrf_1200_rx_mimo_a(usrp, which_side)));
+    break;
+  case(USRP_DBID_FLEX_900_TX_MIMO_A):
+    db.push_back(db_base_sptr(new db_flexrf_900_tx_mimo_a(usrp, which_side)));
+    break;
+  case(USRP_DBID_FLEX_900_RX_MIMO_A):
+    db.push_back(db_base_sptr(new db_flexrf_900_rx_mimo_a(usrp, which_side)));
+    break;
+  case(USRP_DBID_FLEX_400_TX_MIMO_A):
+    db.push_back(db_base_sptr(new db_flexrf_400_tx_mimo_a(usrp, which_side)));
+    break;
+  case(USRP_DBID_FLEX_400_RX_MIMO_A):
+    db.push_back(db_base_sptr(new db_flexrf_400_rx_mimo_a(usrp, which_side)));
+    break;
+  case(USRP_DBID_FLEX_2400_TX_MIMO_B):
+    db.push_back(db_base_sptr(new db_flexrf_2400_tx_mimo_b(usrp, which_side)));
+    break;
+  case(USRP_DBID_FLEX_2400_RX_MIMO_B):
+    db.push_back(db_base_sptr(new db_flexrf_2400_rx_mimo_b(usrp, which_side)));
+    break;
+  case(USRP_DBID_FLEX_1800_TX_MIMO_B):
+    db.push_back(db_base_sptr(new db_flexrf_1800_tx_mimo_b(usrp, which_side)));
+    break;
+  case(USRP_DBID_FLEX_1800_RX_MIMO_B):
+    db.push_back(db_base_sptr(new db_flexrf_1800_rx_mimo_b(usrp, which_side)));
+    break;
+  case(USRP_DBID_FLEX_1200_TX_MIMO_B):
+    db.push_back(db_base_sptr(new db_flexrf_1200_tx_mimo_b(usrp, which_side)));
+    break;
+  case(USRP_DBID_FLEX_1200_RX_MIMO_B):
+    db.push_back(db_base_sptr(new db_flexrf_1200_rx_mimo_b(usrp, which_side)));
+    break;
+  case(USRP_DBID_FLEX_900_TX_MIMO_B):
+    db.push_back(db_base_sptr(new db_flexrf_900_tx_mimo_b(usrp, which_side)));
+    break;
+  case(USRP_DBID_FLEX_900_RX_MIMO_B):
+    db.push_back(db_base_sptr(new db_flexrf_900_rx_mimo_b(usrp, which_side)));
+    break;
+  case(USRP_DBID_FLEX_400_TX_MIMO_B):
+    db.push_back(db_base_sptr(new db_flexrf_400_tx_mimo_b(usrp, which_side)));
+    break;
+  case(USRP_DBID_FLEX_400_RX_MIMO_B):
+    db.push_back(db_base_sptr(new db_flexrf_400_rx_mimo_b(usrp, which_side)));
+    break;
+
+  case(USRP_DBID_XCVR2450_TX):
+    db.push_back(db_base_sptr(new db_xcvr2450_tx(usrp, which_side)));
+    break;
+  case(USRP_DBID_XCVR2450_RX):
+    db.push_back(db_base_sptr(new db_xcvr2450_rx(usrp, which_side)));
+    break;
+  
+#if 0  // FIXME wbx doesn't compile
+  case(USRP_DBID_WBX_LO_TX):
+    db.push_back(db_base_sptr(new db_wbx_lo_tx(usrp, which_side)));
+    break;
+  case(USRP_DBID_WBX_LO_RX):
+    db.push_back(db_base_sptr(new db_wbx_lo_rx(usrp, which_side)));
+    break;
+#endif
+
+  case(USRP_DBID_DTT754):
+    db.push_back(db_base_sptr(new db_dtt754(usrp, which_side)));
+    break;
+  case(USRP_DBID_DTT768):
+    db.push_back(db_base_sptr(new db_dtt768(usrp, which_side)));
+    break;
+
+  case(-1):
+    if (boost::dynamic_pointer_cast<usrp_basic_tx>(usrp)){
+      db.push_back(db_base_sptr(new db_basic_tx(usrp, which_side)));
+    }
+    else {
+      db.push_back(db_base_sptr(new db_basic_rx(usrp, which_side, 0)));
+      db.push_back(db_base_sptr(new db_basic_rx(usrp, which_side, 1)));
+    }
+    break;
+  
+  case(-2):
+  default:
+    if (boost::dynamic_pointer_cast<usrp_basic_tx>(usrp)){
+      fprintf(stderr, "\n\aWarning: Treating daughterboard with invalid EEPROM contents as if it were a \"Basic Tx.\"\n");
+      fprintf(stderr, "Warning: This is almost certainly wrong...  Use appropriate burn-*-eeprom utility.\n\n");
+      db.push_back(db_base_sptr(new db_basic_tx(usrp, which_side)));
+    }
+    else {
+      fprintf(stderr, "\n\aWarning: Treating daughterboard with invalid EEPROM contents as if it were a \"Basic Rx.\"\n");
+      fprintf(stderr, "Warning: This is almost certainly wrong...  Use appropriate burn-*-eeprom utility.\n\n");
+      db.push_back(db_base_sptr(new db_basic_rx(usrp, which_side, 0)));
+      db.push_back(db_base_sptr(new db_basic_rx(usrp, which_side, 1)));
+    }
+    break;
+  }
+
+  return db;
+}
diff --git a/usrp/host/lib/legacy/db_boards.h b/usrp/host/lib/legacy/db_boards.h
new file mode 100644 (file)
index 0000000..037c460
--- /dev/null
@@ -0,0 +1,33 @@
+/* -*- c++ -*- */
+//
+// Copyright 2008 Free Software Foundation, Inc.
+// 
+// This file is part of GNU Radio
+// 
+// GNU Radio is free software; you can redistribute it and/or modify
+// it under the terms of the GNU General Public License as published by
+// the Free Software Foundation; either asversion 3, or (at your option)
+// any later version.
+// 
+// GNU Radio is distributed in the hope that it will be useful,
+// but WITHOUT ANY WARRANTY; without even the implied warranty of
+// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+// GNU General Public License for more details.
+// 
+// You should have received a copy of the GNU General Public License
+// along with GNU Radio; see the file COPYING.  If not, write to
+// the Free Software Foundation, Inc., 51 Franklin Street,
+// Boston, MA 02110-1301, USA.
+//
+
+#ifndef DB_BOARDS_H
+#define DB_BOARDS_H
+
+#include <db_base.h>
+#include <usrp_basic.h>
+
+std::vector<db_base_sptr> instantiate_dbs(int dbid, usrp_basic_sptr usrp, int which_side);
+
+#endif 
+
+
diff --git a/usrp/host/lib/legacy/db_dbs_rx.cc b/usrp/host/lib/legacy/db_dbs_rx.cc
new file mode 100644 (file)
index 0000000..6094f91
--- /dev/null
@@ -0,0 +1,497 @@
+//
+// Copyright 2008 Free Software Foundation, Inc.
+// 
+// This file is part of GNU Radio
+// 
+// GNU Radio is free software; you can redistribute it and/or modify
+// it under the terms of the GNU General Public License as published by
+// the Free Software Foundation; either asversion 3, or (at your option)
+// any later version.
+// 
+// GNU Radio is distributed in the hope that it will be useful,
+// but WITHOUT ANY WARRANTY; without even the implied warranty of
+// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+// GNU General Public License for more details.
+// 
+// You should have received a copy of the GNU General Public License
+// along with GNU Radio; see the file COPYING.  If not, write to
+// the Free Software Foundation, Inc., 51 Franklin Street,
+// Boston, MA 02110-1301, USA.
+
+#include <db_dbs_rx.h>
+#include <db_base_impl.h>
+#include <cmath>
+#include <cstdio>
+
+
+/*****************************************************************************/
+
+
+db_dbs_rx::db_dbs_rx(usrp_basic_sptr _usrp, int which)
+  : db_base(_usrp, which)
+{
+  // Control DBS receiver based USRP daughterboard.
+  // 
+  // @param usrp: instance of usrp.source_c
+  // @param which: which side: 0, 1 corresponding to RX_A or RX_B respectively
+
+  usrp()->_write_oe(d_which, 0x0001, 0x0001);
+  if(which == 0) {
+    d_i2c_addr = 0x67;
+  }
+  else {
+    d_i2c_addr = 0x65;
+  }
+
+  d_n = 950;
+  d_div2 = 0;
+  d_osc = 5;
+  d_cp = 3;
+  d_r = 4;
+  d_r_int = 1;
+  d_fdac = 127;
+  d_m = 2;
+  d_dl = 0;
+  d_ade = 0;
+  d_adl = 0;
+  d_gc1 = 0;
+  d_gc2 = 31;
+  d_diag = 0;
+  
+  _enable_refclk(true);
+  
+  set_gain((gain_min() + gain_max()) / 2.0);       // initialize gain
+
+  bypass_adc_buffers(true);
+}
+
+db_dbs_rx::~db_dbs_rx()
+{
+  shutdown();
+}
+
+void
+db_dbs_rx::shutdown()
+{
+  if (!d_is_shutdown){
+    d_is_shutdown = true;
+    // do whatever there is to do to shutdown orderly
+    _enable_refclk(false);
+  }
+}
+
+void
+db_dbs_rx::_write_reg (int regno, int v)
+{
+  //regno is in [0,5], v is value to write to register"""
+  assert (0 <= regno && regno <= 5);
+  std::vector<int> args(2);
+  args[0] = regno;
+  args[1] = v;
+  usrp()->write_i2c (d_i2c_addr, int_seq_to_str (args));
+}
+
+void
+db_dbs_rx::_write_regs (int starting_regno, const std::vector<int> &vals)
+{
+  // starting_regno is in [0,5],
+  // vals is a seq of integers to write to consecutive registers"""
+
+  //FIXME
+  std::vector<int> args;
+  args.push_back(starting_regno);
+  args.insert(args.end(), vals.begin(), vals.end());
+  usrp()->write_i2c (d_i2c_addr, int_seq_to_str (args));
+}
+        
+std::vector<int>
+db_dbs_rx::_read_status ()
+{
+  //If successful, return list of two ints: [status_info, filter_DAC]"""
+  std::string s = usrp()->read_i2c (d_i2c_addr, 2);
+  if(s.size() != 2) {
+    std::vector<int> ret(0);
+    return ret;
+  }
+  return str_to_int_seq (s);
+}
+
+void
+db_dbs_rx::_send_reg(int regno)
+{
+  assert(0 <= regno && regno <= 5);
+  if(regno == 0)
+    _write_reg(0,(d_div2<<7) + (d_n>>8));
+  if(regno == 1)
+    _write_reg(1,d_n & 255);
+  if(regno == 2)
+    _write_reg(2,d_osc + (d_cp<<3) + (d_r_int<<5));
+  if(regno == 3)
+    _write_reg(3,d_fdac);
+  if(regno == 4)
+    _write_reg(4,d_m + (d_dl<<5) + (d_ade<<6) + (d_adl<<7));
+  if(regno == 5)
+    _write_reg(5,d_gc2 + (d_diag<<5));
+}
+
+// BW setting
+void
+db_dbs_rx::_set_m(int m)
+{
+  assert(m>0 && m<32);
+  d_m = m;
+  _send_reg(4);
+}
+  
+void
+db_dbs_rx::_set_fdac(int fdac)
+{
+  assert(fdac>=0 && fdac<128);
+  d_fdac = fdac;
+  _send_reg(3);
+}
+
+bool
+db_dbs_rx::set_bw (float bw)
+{
+  if (bw < 1e6 || bw > 33e6) {
+    fprintf(stderr, "db_dbs_rx::set_bw: bw (=%f) must be between 1e6 and 33e6 inclusive\n", bw);
+    return false;
+  }
+
+  // struct bw_t ret = {0, 0, 0};
+  int m_max, m_min, m_test, fdac_test;
+  if(bw >= 4e6)
+    m_max = int(std::min(31, (int)floor(_refclk_freq()/1e6)));
+  else if(bw >= 2e6)      // Outside of Specs!
+    m_max = int(std::min(31, (int)floor(_refclk_freq()/.5e6)));
+  else      // Way outside of Specs!
+    m_max = int(std::min(31, (int)floor(_refclk_freq()/.25e6)));
+  
+  m_min = int(ceil(_refclk_freq()/2.5e6));
+  m_test = m_max;
+  while(m_test >= m_min) {
+    fdac_test = static_cast<int>(round(((bw * m_test / _refclk_freq())-4)/.145));
+    if(fdac_test > 127)
+      m_test = m_test - 1;
+    else
+      break;
+  }
+
+  if(m_test>=m_min && fdac_test>=0) {
+    _set_m(m_test);
+    _set_fdac(fdac_test);
+
+    //ret.m = d_m;
+    //ret.fdac = d_fdac;
+    //ret.div = _refclk_freq()/d_m*(4+0.145*d_fdac);
+  }
+  else {
+    fprintf(stderr, "db_dbs_rx::set_bw: failed\n");
+    return false;
+  }
+
+  return true;
+}
+
+// Gain setting
+void
+db_dbs_rx::_set_dl(int dl)
+{
+  assert(dl == 0 || dl == 1);
+  d_dl = dl;
+  _send_reg(4);
+}
+
+void
+db_dbs_rx::_set_gc2(int gc2)
+{
+  assert(gc2<32 && gc2>=0);
+  d_gc2 = gc2;
+  _send_reg(5);
+}
+
+void
+db_dbs_rx::_set_gc1(int gc1)
+{
+  assert(gc1>=0 && gc1<4096);
+  d_gc1 = gc1;
+  usrp()->write_aux_dac(d_which, 0, gc1);
+}
+
+void
+db_dbs_rx::_set_pga(int pga_gain)
+{
+  assert(pga_gain>=0 && pga_gain<=20);
+  if(d_which == 0) {
+    usrp()->set_pga (0, pga_gain);
+    usrp()->set_pga (1, pga_gain);
+  }
+  else {
+    usrp()->set_pga (2, pga_gain);
+    usrp()->set_pga (3, pga_gain);
+  }
+}
+
+float
+db_dbs_rx::gain_min()
+{
+  return 0;
+}
+
+float
+db_dbs_rx::gain_max()
+{
+  return 104;
+}
+
+float
+db_dbs_rx::gain_db_per_step()
+{
+  return 1;
+}
+
+bool 
+db_dbs_rx::set_gain(float gain)
+{
+  // Set the gain.
+  // 
+  // @param gain:  gain in decibels
+  // @returns True/False
+
+  if(!(gain>=0 && gain<105)) {
+    throw std::runtime_error("gain out of range\n");
+  }
+
+  int gc1=0, gc2=0, dl=0, pga=0;
+
+  if(gain < 56) {
+    gc1 = int((-gain*1.85/56.0 + 2.6)*4096.0/3.3);
+    gain = 0;
+  }
+  else {
+    gc1 = 0;
+    gain -= 56;
+  }
+   
+  if(gain < 24) {
+    gc2 = static_cast<int>(round(31.0 * (1-gain/24.0)));
+    gain = 0;
+  }
+  else {
+    gc2 = 0;
+    gain -=24;
+  }
+  
+  if(gain >= 4.58) {
+    dl = 1;
+    gain -= 4.58;
+  }
+
+  pga = gain;
+  _set_gc1(gc1);
+  _set_gc2(gc2);
+  _set_dl(dl);
+  _set_pga(pga);
+
+  return true;
+}
+
+// Frequency setting
+void
+db_dbs_rx::_set_osc(int osc)
+{
+  assert(osc>=0 && osc<8);
+  d_osc = osc;
+  _send_reg(2);
+}
+
+void
+db_dbs_rx::_set_cp(int cp)
+{
+  assert(cp>=0 && cp<4);
+  d_cp = cp;
+  _send_reg(2);
+}
+
+void
+db_dbs_rx::_set_n(int n)
+{
+  assert(n>256 && n<32768);
+  d_n = n;
+  _send_reg(0);
+  _send_reg(1);
+}
+
+void
+db_dbs_rx::_set_div2(int div2)
+{
+  assert(div2 == 0 || div2 == 1);
+  d_div2 = div2;
+  _send_reg(0);
+}
+
+void
+db_dbs_rx::_set_r(int r)
+{
+  assert(r>=0 && r<128);
+  d_r = r;
+  d_r_int = static_cast<int>(round(log10(r)/log10(2)) - 1);
+  _send_reg(2);
+}
+
+// FIXME  How do we handle ADE and ADL properly?
+void
+db_dbs_rx::_set_ade(int ade)
+{
+  assert(ade == 0 || ade == 1);
+  d_ade = ade;
+  _send_reg(4);
+}
+
+double
+db_dbs_rx::freq_min()
+{
+  return 500e6;
+}
+
+double
+db_dbs_rx::freq_max()
+{
+  return 2.6e9;
+}
+
+struct freq_result_t
+db_dbs_rx::set_freq(double freq)
+{
+  // Set the frequency.
+  // 
+  // @param freq:  target RF frequency in Hz
+  // @type freq:   double
+  // 
+  // @returns (ok, actual_baseband_freq) where:
+  //   ok is True or False and indicates success or failure,
+  //   actual_baseband_freq is RF frequency that corresponds to DC in the IF.
+  
+  freq_result_t args = {false, 0};
+  
+  if(!(freq>=freq_min() && freq<=freq_max())) {
+    return args;
+  }
+  
+  double vcofreq;
+  if(freq<1150e6) {
+    _set_div2(0);
+    vcofreq = 4 * freq;
+  }
+  else {
+    _set_div2(1);
+    vcofreq = 2 * freq;
+  }
+  
+  _set_ade(1);
+  int rmin = std::max(2, (int)(_refclk_freq()/2e6));
+  int rmax = std::min(128, (int)(_refclk_freq()/500e3));
+  int r = 2;
+  int n = 0;
+  int best_r = 2;
+  int best_n = 0;
+  int best_delta = 10e6;
+  int delta;
+  
+  while(r <= rmax) {
+    n = static_cast<int>(round(freq/(_refclk_freq()/r)));
+    if(r<rmin || n<256) {
+      r = r * 2;
+      continue;
+    }
+    delta = (int)fabs(n*_refclk_freq()/r - freq);
+    if(delta < 75e3) {
+      best_r = r;
+      best_n = n;
+      break;
+    }
+    if(delta < best_delta*0.9) {
+      best_r = r;
+      best_n = n;
+      best_delta = delta;
+    }
+    r = r * 2;
+  }
+  _set_r(best_r);
+
+  _set_n(static_cast<int>(round(best_n)));
+  int vco;
+  if(vcofreq < 2433e6)
+    vco = 0;
+  else if(vcofreq < 2711e6)
+    vco=1;
+  else if(vcofreq < 3025e6)
+    vco=2;
+  else if(vcofreq < 3341e6)
+    vco=3;
+  else if(vcofreq < 3727e6)
+    vco=4;
+  else if(vcofreq < 4143e6)
+    vco=5;
+  else if(vcofreq < 4493e6)
+    vco=6;
+  else
+    vco=7;
+  
+  _set_osc(vco);
+  
+  // Set CP current
+  int adc_val = 0;
+  std::vector<int> bytes(2);
+  while(adc_val == 0 || adc_val == 7) {
+    bytes = _read_status();
+    adc_val = bytes[0] >> 2;
+    if(adc_val == 0) {
+      if(vco <= 0) {
+       return args;
+      }
+      else {
+       vco = vco - 1;
+      }
+    }
+    else if(adc_val == 7) {
+      if(vco >= 7) {
+       return args;
+      }
+      else {
+       vco = vco + 1;
+      }
+    }
+    _set_osc(vco);
+  }
+  
+  if(adc_val == 1 || adc_val == 2) {
+    _set_cp(1);
+  }
+  else if(adc_val == 3 || adc_val == 4) {
+    _set_cp(2);
+  }
+  else {
+    _set_cp(3);
+  }
+  
+  args.ok = true;
+  args.baseband_freq = d_n * _refclk_freq() / d_r;
+  return args;
+}
+
+int
+db_dbs_rx::_refclk_divisor()
+{
+  //Return value to stick in REFCLK_DIVISOR register
+  return 16;
+}
+
+bool 
+db_dbs_rx::is_quadrature()
+{
+  // Return True if this board requires both I & Q analog channels.  
+  return true;
+}
diff --git a/usrp/host/lib/legacy/db_dbs_rx.h b/usrp/host/lib/legacy/db_dbs_rx.h
new file mode 100644 (file)
index 0000000..723771f
--- /dev/null
@@ -0,0 +1,83 @@
+/* -*- c++ -*- */
+//
+// Copyright 2008 Free Software Foundation, Inc.
+// 
+// This file is part of GNU Radio
+// 
+// GNU Radio is free software; you can redistribute it and/or modify
+// it under the terms of the GNU General Public License as published by
+// the Free Software Foundation; either asversion 3, or (at your option)
+// any later version.
+// 
+// GNU Radio is distributed in the hope that it will be useful,
+// but WITHOUT ANY WARRANTY; without even the implied warranty of
+// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+// GNU General Public License for more details.
+// 
+// You should have received a copy of the GNU General Public License
+// along with GNU Radio; see the file COPYING.  If not, write to
+// the Free Software Foundation, Inc., 51 Franklin Street,
+// Boston, MA 02110-1301, USA.
+
+#ifndef DB_DBS_RX_H
+#define DB_DBS_RX_H
+
+#include <db_base.h>
+#include <vector>
+
+#if 0
+struct bw_t {
+  int m;
+  int fdac;
+  float div;
+};
+#endif
+
+class db_dbs_rx : public db_base
+{
+private:
+  int d_osc, d_cp, d_n, d_div2, d_r, d_r_int;
+  int d_fdac, d_m, d_dl, d_ade, d_adl, d_gc1, d_gc2, d_diag;
+  int d_i2c_addr;
+  
+  // Internal gain functions
+  void _write_reg(int regno, int v);
+  void _write_regs(int starting_regno, const std::vector<int> &vals);
+  std::vector<int> _read_status();
+  void _send_reg(int regno);
+  void _set_m(int m);
+  void _set_fdac(int fdac);
+  void _set_dl(int dl);
+  void _set_gc2(int gc2);
+  void _set_gc1(int gc1);
+  void _set_pga(int pga_gain);
+
+  // Internal frequency function
+  void _set_osc(int osc);
+  void _set_cp(int cp);
+  void _set_n(int n);
+  void _set_div2(int div2);
+  void _set_r(int r);
+  void _set_ade(int ade);
+
+  int _refclk_divisor();
+
+protected:
+  void shutdown();
+
+public:
+  db_dbs_rx(usrp_basic_sptr usrp, int which);
+  ~db_dbs_rx();
+
+  float gain_min();
+  float gain_max();
+  float gain_db_per_step();
+  double freq_min();
+  double freq_max();
+  struct freq_result_t set_freq(double freq);
+  bool  set_gain(float gain);
+  bool  is_quadrature();
+  bool  set_bw(float bw);
+};
+
+#endif
diff --git a/usrp/host/lib/legacy/db_dtt754.cc b/usrp/host/lib/legacy/db_dtt754.cc
new file mode 100644 (file)
index 0000000..4a6a1a2
--- /dev/null
@@ -0,0 +1,323 @@
+/* -*- c++ -*- */
+//
+// Copyright 2008 Free Software Foundation, Inc.
+// 
+// This file is part of GNU Radio
+// 
+// GNU Radio is free software; you can redistribute it and/or modify
+// it under the terms of the GNU General Public License as published by
+// the Free Software Foundation; either asversion 3, or (at your option)
+// any later version.
+// 
+// GNU Radio is distributed in the hope that it will be useful,
+// but WITHOUT ANY WARRANTY; without even the implied warranty of
+// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+// GNU General Public License for more details.
+// 
+// You should have received a copy of the GNU General Public License
+// along with GNU Radio; see the file COPYING.  If not, write to
+// the Free Software Foundation, Inc., 51 Franklin Street,
+// Boston, MA 02110-1301, USA.
+
+#include <db_dtt754.h>
+#include <db_base_impl.h>
+
+int
+control_byte_1()
+{
+  int RS = 0;  // 0 = 166.66kHz reference
+  int ATP = 7; // Disable internal AGC
+  return (0x80 | ATP<<3 | RS);
+}
+
+int
+control_byte_2()
+{
+  int STBY = 0;  // powered on
+  int XTO = 1;   // turn off xtal out, which we don't have
+  int ATC = 0;   // not clear exactly, possibly speeds up or slows down AGC, which we are not using
+  
+  int c = 0xc2 | ATC<<5 | STBY<<4 | XTO;
+  return c;
+}
+
+int
+bandswitch_byte(float freq, float bw)
+{
+  int P5, CP, BS;
+
+  if(bw>7.5e6) {
+    P5 = 1;
+  }
+  else {
+    P5 = 0;
+  }
+
+  if(freq < 121e6) {
+    CP = 0;
+    BS = 1;
+  }
+  else if(freq < 141e6) {
+    CP = 1;
+    BS = 1;
+  }
+  else if(freq < 166e6) {
+    CP = 2;
+    BS = 1;
+  }
+  else if(freq < 182e6) {
+    CP = 3;
+    BS = 1;
+  }
+  else if(freq < 286e6) {
+    CP = 0;
+    BS = 2;
+  }
+  else if(freq < 386e6) {
+    CP = 1;
+    BS = 2;
+  }
+  else if(freq < 446e6) {
+    CP = 2;
+    BS = 2;
+  }
+  else if(freq < 466e6) {
+    CP = 3;
+    BS = 2;
+  }
+  else if(freq < 506e6) {
+    CP = 0;
+    BS = 8;
+  }
+  else if(freq < 761e6) {
+    CP = 1;
+    BS = 8;
+  }
+  else if(freq < 846e6) {
+    CP = 2;
+    BS = 8;
+  }
+  else { // limit is ~905 MHz
+    CP = 3;
+    BS = 8;
+  }
+  return (CP<<6 | P5 << 4 | BS);
+}
+
+db_dtt754::db_dtt754(usrp_basic_sptr _usrp, int which)
+  : db_base(_usrp, which)
+{
+  /*
+   * Control custom DTT75403-based daughterboard.
+   * 
+   * @param usrp: instance of usrp.source_c
+   * @param which: which side: 0 or 1 corresponding to RX_A or RX_B respectively
+   * @type which: int
+   */
+
+  // FIXME: DTT754 and DTT768 can probably inherit from a DTT class
+  
+  if(d_which == 0) {
+    d_i2c_addr = 0x60;
+  }
+  else {
+    d_i2c_addr = 0x62;
+  }
+
+  d_bw = 7e6;
+  d_IF = 36e6;
+        
+  d_f_ref = 166.6666e3;
+  d_inverted = false;
+
+  set_gain((gain_min() + gain_max()) / 2.0);
+
+  bypass_adc_buffers(false);
+}
+
+db_dtt754::~db_dtt754()
+{
+}
+  
+float
+db_dtt754::gain_min()
+{
+  return 0;
+}
+
+float
+db_dtt754::gain_max()
+{
+  return 115;
+}
+
+float
+db_dtt754::gain_db_per_step()
+{
+  return 1;
+}
+
+bool
+db_dtt754::set_gain(float gain)
+{
+  assert(gain>=0 && gain<=115);
+
+  float rfgain, ifgain, pgagain;
+  if(gain > 60) {
+    rfgain = 60;
+    gain = gain - 60;
+  }
+  else {
+    rfgain = gain;
+    gain = 0;
+  }
+  
+  if(gain > 35) {
+    ifgain = 35;
+    gain = gain - 35;
+  }
+  else {
+    ifgain = gain;
+    gain = 0;
+  }
+  pgagain = gain;
+  
+  _set_rfagc(rfgain);
+  _set_ifagc(ifgain);
+  _set_pga(pgagain);
+
+  return true; // can't fail with the assert in place
+}
+
+double
+db_dtt754::freq_min()
+{
+  return 44e6;
+}
+
+double
+db_dtt754::freq_max()
+{
+  return 900e6;
+}
+
+struct freq_result_t
+db_dtt754::set_freq(double target_freq)
+{
+  /*
+   * @returns (ok, actual_baseband_freq) where:
+   * ok is True or False and indicates success or failure,
+   * actual_baseband_freq is the RF frequency that corresponds to DC in the IF.
+   */
+  
+  freq_result_t ret = {false, 0.0};
+
+  if(target_freq < freq_min() || target_freq > freq_max()) {
+    return ret;
+  }
+        
+  double target_lo_freq = target_freq + d_IF;  // High side mixing
+
+  int divisor = (int)(0.5+(target_lo_freq / d_f_ref));
+  double actual_lo_freq = d_f_ref*divisor;
+  
+  if((divisor & ~0x7fff) != 0) {               // must be 15-bits or less
+    return ret;
+  }
+  
+  // build i2c command string
+  std::vector<int> buf(5);
+  buf[0] = (divisor >> 8) & 0xff;          // DB1
+  buf[1] = divisor & 0xff;                 // DB2
+  buf[2] = control_byte_1();
+  buf[3] = bandswitch_byte(actual_lo_freq, d_bw);
+  buf[4] = control_byte_2();
+
+  bool ok = usrp()->write_i2c(d_i2c_addr, int_seq_to_str (buf));
+
+  d_freq = actual_lo_freq - d_IF;
+        
+  ret.ok = ok;
+  ret.baseband_freq = actual_lo_freq;
+
+  return ret;
+
+}
+  
+bool
+db_dtt754::is_quadrature()
+{
+  /*
+   * Return True if this board requires both I & Q analog channels.
+   * 
+   * This bit of info is useful when setting up the USRP Rx mux register.
+   */
+     
+  return false;
+}
+
+bool
+db_dtt754::spectrum_inverted()
+{
+  /*
+   * The 43.75 MHz version is inverted
+   */
+  
+  return d_inverted;
+}
+
+bool
+db_dtt754::set_bw(float bw)
+{
+  /*
+   * Choose the SAW filter bandwidth, either 7MHz or 8MHz)
+   */
+
+  d_bw = bw;
+  set_freq(d_freq);
+
+  return true; // FIXME: propagate set_freq result
+}
+
+void
+db_dtt754::_set_rfagc(float gain)
+{
+  assert(gain <= 60 && gain >= 0);
+  // FIXME this has a 0.5V step between gain = 60 and gain = 59.
+  // Why are there two cases instead of a single linear case?
+  float voltage;
+  if(gain == 60) {
+    voltage = 4;
+  }
+  else {
+    voltage = gain/60.0 * 2.25 + 1.25;
+  }
+  
+  int dacword = (int)(4096*voltage/1.22/3.3);    // 1.22 = opamp gain
+    
+  assert(dacword>=0 && dacword<4096);
+  usrp()->write_aux_dac(d_which, 1, dacword);
+}
+
+void
+db_dtt754::_set_ifagc(float gain)
+{
+  assert(gain <= 35 && gain >= 0);
+  float voltage = gain/35.0 * 2.1 + 1.4;
+  int dacword = (int)(4096*voltage/1.22/3.3);    // 1.22 = opamp gain
+
+  assert(dacword>=0 && dacword<4096);
+  usrp()->write_aux_dac(d_which, 0, dacword);
+}
+
+void
+db_dtt754::_set_pga(float pga_gain)
+{
+  assert(pga_gain >=0 && pga_gain <=20);
+  if(d_which == 0) {
+    usrp()->set_pga (0, pga_gain);
+  }
+  else {
+    usrp()->set_pga (2, pga_gain);
+  }
+}
diff --git a/usrp/host/lib/legacy/db_dtt754.h b/usrp/host/lib/legacy/db_dtt754.h
new file mode 100644 (file)
index 0000000..0c104ac
--- /dev/null
@@ -0,0 +1,57 @@
+/* -*- c++ -*- */
+//
+// Copyright 2008 Free Software Foundation, Inc.
+// 
+// This file is part of GNU Radio
+// 
+// GNU Radio is free software; you can redistribute it and/or modify
+// it under the terms of the GNU General Public License as published by
+// the Free Software Foundation; either asversion 3, or (at your option)
+// any later version.
+// 
+// GNU Radio is distributed in the hope that it will be useful,
+// but WITHOUT ANY WARRANTY; without even the implied warranty of
+// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+// GNU General Public License for more details.
+// 
+// You should have received a copy of the GNU General Public License
+// along with GNU Radio; see the file COPYING.  If not, write to
+// the Free Software Foundation, Inc., 51 Franklin Street,
+// Boston, MA 02110-1301, USA.
+
+#ifndef DB_DTT754_H
+#define DB_DTT754_H
+
+#include <db_base.h>
+#include <boost/shared_ptr.hpp>
+
+class db_dtt754 : public db_base
+{
+public:
+  db_dtt754(usrp_basic_sptr usrp, int which);
+  ~db_dtt754();
+  
+  float gain_min();
+  float gain_max();
+  float gain_db_per_step();
+  bool  set_gain(float gain);
+
+  double freq_min();
+  double freq_max();
+  struct freq_result_t set_freq(double target_freq);
+  
+  bool is_quadrature();
+  bool spectrum_inverted();
+  bool set_bw(float bw);
+
+private:
+  void _set_rfagc(float gain);
+  void _set_ifagc(float gain);
+  void _set_pga(float pga_gain);
+
+  int d_i2c_addr;
+  float d_bw, d_freq, d_IF, d_f_ref;
+  bool d_inverted;
+};
+
+#endif
diff --git a/usrp/host/lib/legacy/db_dtt768.cc b/usrp/host/lib/legacy/db_dtt768.cc
new file mode 100644 (file)
index 0000000..cae8b73
--- /dev/null
@@ -0,0 +1,296 @@
+/* -*- c++ -*- */
+//
+// Copyright 2008 Free Software Foundation, Inc.
+// 
+// This file is part of GNU Radio
+// 
+// GNU Radio is free software; you can redistribute it and/or modify
+// it under the terms of the GNU General Public License as published by
+// the Free Software Foundation; either asversion 3, or (at your option)
+// any later version.
+// 
+// GNU Radio is distributed in the hope that it will be useful,
+// but WITHOUT ANY WARRANTY; without even the implied warranty of
+// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+// GNU General Public License for more details.
+// 
+// You should have received a copy of the GNU General Public License
+// along with GNU Radio; see the file COPYING.  If not, write to
+// the Free Software Foundation, Inc., 51 Franklin Street,
+// Boston, MA 02110-1301, USA.
+
+#include <db_dtt768.h>
+#include <db_base_impl.h>
+
+int
+control_byte_4()
+{
+  int C = 0;   // Charge Pump Current, no info on how to choose
+  int R = 4;   // 125 kHz fref
+  
+  // int ATP = 7; // Disable internal AGC
+  return (0x80 | C<<5 | R);
+}
+
+int
+control_byte_5(float freq, int agcmode = 1)
+{
+  if(agcmode) {
+    if(freq < 150e6) {
+      return 0x3B;
+    }
+    else if(freq < 420e6) {
+      return 0x7E;
+    }
+    else {
+      return 0xB7;
+    }
+  }
+  else {
+    if(freq < 150e6) {
+      return 0x39;
+    }
+    else if(freq < 420e6) {
+      return 0x7C;
+    }
+    else {
+      return 0xB5;
+    }
+  }
+}
+        
+int
+control_byte_6()
+{
+  int ATC = 0;   // AGC time constant = 100ms, 1 = 3S
+  int IFE = 1;   // IF AGC amplifier enable
+  int AT = 0;    // AGC control, ???
+  
+  return (ATC << 5 | IFE << 4 | AT);
+}
+
+int
+control_byte_7()
+{
+  int SAS = 1;  // SAW Digital mode
+  int AGD = 1;  // AGC disable
+  int ADS = 0;  // AGC detector into ADC converter
+  int T = 0;    // Test mode, undocumented
+  return (SAS << 7 | AGD << 5 | ADS << 4 | T);
+}
+
+db_dtt768::db_dtt768(usrp_basic_sptr _usrp, int which)
+  : db_base(_usrp, which)
+{
+  /*
+   * Control custom DTT76803-based daughterboard.
+   * 
+   * @param usrp: instance of usrp.source_c
+   * @param which: which side: 0 or 1 corresponding to RX_A or RX_B respectively
+   * @type which: int
+   */
+  
+  if(d_which == 0) {
+    d_i2c_addr = 0x60;
+  }
+  else {
+    d_i2c_addr = 0x62;
+  }
+
+  d_IF = 44e6;
+        
+  d_f_ref = 125e3;
+  d_inverted = false;
+
+  set_gain((gain_min() + gain_max()) / 2.0);
+
+  bypass_adc_buffers(false);
+}
+
+db_dtt768::~db_dtt768()
+{
+}
+  
+float
+db_dtt768::gain_min()
+{
+  return 0;
+}
+
+float
+db_dtt768::gain_max()
+{
+  return 115;
+}
+
+float
+db_dtt768::gain_db_per_step()
+{
+  return 1;
+}
+
+bool
+db_dtt768::set_gain(float gain)
+{
+  assert(gain>=0 && gain<=115);
+
+  float rfgain, ifgain, pgagain;
+  if(gain > 60) {
+    rfgain = 60;
+    gain = gain - 60;
+  }
+  else {
+    rfgain = gain;
+    gain = 0;
+  }
+  
+  if(gain > 35) {
+    ifgain = 35;
+    gain = gain - 35;
+  }
+  else {
+    ifgain = gain;
+    gain = 0;
+  }
+  pgagain = gain;
+  
+  _set_rfagc(rfgain);
+  _set_ifagc(ifgain);
+  _set_pga(pgagain);
+
+  return true;
+}
+
+double
+db_dtt768::freq_min()
+{
+  return 44e6;
+}
+
+double
+db_dtt768::freq_max()
+{
+  return 900e6;
+}
+
+struct freq_result_t
+db_dtt768::set_freq(double target_freq)
+{
+  /*
+   * @returns (ok, actual_baseband_freq) where:
+   * ok is True or False and indicates success or failure,
+   * actual_baseband_freq is the RF frequency that corresponds to DC in the IF.
+   */
+  
+  freq_result_t ret = {false, 0.0};
+
+  if(target_freq < freq_min() || target_freq > freq_max()) {
+    return ret;
+  }
+        
+  double target_lo_freq = target_freq + d_IF;  // High side mixing
+
+  int divisor = (int)(0.5+(target_lo_freq / d_f_ref));
+  double actual_lo_freq = d_f_ref*divisor;
+  
+  if((divisor & ~0x7fff) != 0) {               // must be 15-bits or less
+    return ret;
+  }
+  
+  // build i2c command string
+  std::vector<int> buf(6);
+  buf[0] = (divisor >> 8) & 0xff;          // DB1
+  buf[1] = divisor & 0xff;                 // DB2
+  buf[2] = control_byte_4();
+  buf[3] = control_byte_5(target_freq);
+  buf[4] = control_byte_6();
+  buf[5] = control_byte_7();
+
+  bool ok = usrp()->write_i2c(d_i2c_addr, int_seq_to_str (buf));
+
+  d_freq = actual_lo_freq - d_IF;
+  
+  ret.ok = ok;
+  ret.baseband_freq = actual_lo_freq;
+
+  return ret;
+
+}
+  
+bool
+db_dtt768::is_quadrature()
+{
+  /*
+   * Return True if this board requires both I & Q analog channels.
+   * 
+   * This bit of info is useful when setting up the USRP Rx mux register.
+   */
+     
+  return false;
+}
+
+bool
+db_dtt768::spectrum_inverted()
+{
+  /*
+   * The 43.75 MHz version is inverted
+   */
+  
+  return d_inverted;
+}
+
+bool
+db_dtt768::set_bw(float bw)
+{
+  /*
+   * Choose the SAW filter bandwidth, either 7MHz or 8MHz)
+   */
+
+  d_bw = bw;
+  set_freq(d_freq);
+
+  return true; // FIXME: propagate set_freq result
+}
+
+void
+db_dtt768::_set_rfagc(float gain)
+{
+  assert(gain <= 60 && gain >= 0);
+  // FIXME this has a 0.5V step between gain = 60 and gain = 59.
+  // Why are there two cases instead of a single linear case?
+  float voltage;
+  if(gain == 60) {
+    voltage = 4;
+  }
+  else {
+    voltage = gain/60.0 * 2.25 + 1.25;
+  }
+  
+  int dacword = (int)(4096*voltage/1.22/3.3);    // 1.22 = opamp gain
+    
+  assert(dacword>=0 && dacword<4096);
+  usrp()->write_aux_dac(d_which, 1, dacword);
+}
+
+void
+db_dtt768::_set_ifagc(float gain)
+{
+  assert(gain <= 35 && gain >= 0);
+  float voltage = gain/35.0 * 2.1 + 1.4;
+  int dacword = (int)(4096*voltage/1.22/3.3);    // 1.22 = opamp gain
+
+  assert(dacword>=0 && dacword<4096);
+  usrp()->write_aux_dac(d_which, 0, dacword);
+}
+
+void
+db_dtt768::_set_pga(float pga_gain)
+{
+  assert(pga_gain >=0 && pga_gain <=20);
+  if(d_which == 0) {
+    usrp()->set_pga (0, pga_gain);
+  }
+  else {
+    usrp()->set_pga (2, pga_gain);
+  }
+}
diff --git a/usrp/host/lib/legacy/db_dtt768.h b/usrp/host/lib/legacy/db_dtt768.h
new file mode 100644 (file)
index 0000000..dd5a59a
--- /dev/null
@@ -0,0 +1,57 @@
+/* -*- c++ -*- */
+//
+// Copyright 2008 Free Software Foundation, Inc.
+// 
+// This file is part of GNU Radio
+// 
+// GNU Radio is free software; you can redistribute it and/or modify
+// it under the terms of the GNU General Public License as published by
+// the Free Software Foundation; either asversion 3, or (at your option)
+// any later version.
+// 
+// GNU Radio is distributed in the hope that it will be useful,
+// but WITHOUT ANY WARRANTY; without even the implied warranty of
+// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+// GNU General Public License for more details.
+// 
+// You should have received a copy of the GNU General Public License
+// along with GNU Radio; see the file COPYING.  If not, write to
+// the Free Software Foundation, Inc., 51 Franklin Street,
+// Boston, MA 02110-1301, USA.
+
+#ifndef DB_DTT768_H
+#define DB_DTT768_H
+
+#include <db_base.h>
+#include <boost/shared_ptr.hpp>
+
+class db_dtt768 : public db_base
+{
+public:
+  db_dtt768(usrp_basic_sptr usrp, int which);
+  ~db_dtt768();
+  
+  float gain_min();
+  float gain_max();
+  float gain_db_per_step();
+  bool  set_gain(float gain);
+
+  double freq_min();
+  double freq_max();
+  struct freq_result_t set_freq(double target_freq);
+  
+  bool is_quadrature();
+  bool spectrum_inverted();
+  bool set_bw(float bw);
+
+private:
+  void _set_rfagc(float gain);
+  void _set_ifagc(float gain);
+  void _set_pga(float pga_gain);
+
+  int d_i2c_addr;
+  float d_bw, d_freq, d_IF, d_f_ref;
+  bool d_inverted;
+};
+
+#endif
diff --git a/usrp/host/lib/legacy/db_flexrf.cc b/usrp/host/lib/legacy/db_flexrf.cc
new file mode 100644 (file)
index 0000000..662d909
--- /dev/null
@@ -0,0 +1,1148 @@
+//
+// Copyright 2008 Free Software Foundation, Inc.
+// 
+// This file is part of GNU Radio
+// 
+// GNU Radio is free software; you can redistribute it and/or modify
+// it under the terms of the GNU General Public License as published by
+// the Free Software Foundation; either asversion 3, or (at your option)
+// any later version.
+// 
+// GNU Radio is distributed in the hope that it will be useful,
+// but WITHOUT ANY WARRANTY; without even the implied warranty of
+// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+// GNU General Public License for more details.
+// 
+// You should have received a copy of the GNU General Public License
+// along with GNU Radio; see the file COPYING.  If not, write to
+// the Free Software Foundation, Inc., 51 Franklin Street,
+// Boston, MA 02110-1301, USA.
+
+#include <db_flexrf.h>
+#include <db_base_impl.h>
+
+// d'board i/o pin defs
+// Tx and Rx have shared defs, but different i/o regs
+#define AUX_RXAGC (1 << 8)
+#define POWER_UP  (1 << 7)         // enables power supply
+#define RX_TXN    (1 << 6)         // Tx only: T/R antenna switch for TX/RX port
+#define RX2_RX1N  (1 << 6)         // Rx only: antenna switch between RX2 and TX/RX port
+#define ENABLE    (1 << 5)         // enables mixer
+#define AUX_SEN   (1 << 4)
+#define AUX_SCLK  (1 << 3)
+#define PLL_LOCK_DETECT (1 << 2)
+#define AUX_SDO   (1 << 1)
+#define CLOCK_OUT (1 << 0)
+
+flexrf_base::flexrf_base(usrp_basic_sptr _usrp, int which, int _power_on)
+  : db_base(_usrp, which), d_power_on(_power_on)
+{
+  /*
+    @param usrp: instance of usrp.source_c
+    @param which: which side: 0 or 1 corresponding to side A or B respectively
+    @type which: int
+  */
+
+  d_first = true;
+  d_spi_format = SPI_FMT_MSB | SPI_FMT_HDR_0;
+
+  usrp()->_write_oe(d_which, 0, 0xffff);   // turn off all outputs
+  _enable_refclk(false);                // disable refclk
+
+  set_auto_tr(false);
+}
+
+flexrf_base::~flexrf_base()
+{
+  delete d_common;
+}
+
+void
+flexrf_base::_write_all(int R, int control, int N)
+{
+  /*
+    Write R counter latch, control latch and N counter latch to VCO.
+    
+    Adds 10ms delay between writing control and N if this is first call.
+    This is the required power-up sequence.
+    
+    @param R: 24-bit R counter latch
+    @type R: int
+    @param control: 24-bit control latch
+    @type control: int
+    @param N: 24-bit N counter latch
+    @type N: int
+  */
+  timespec t;
+  t.tv_sec = 0;
+  t.tv_nsec = 10000000;
+
+  _write_R(R);
+  _write_control(control);
+  if(d_first) {
+    //time.sleep(0.010);
+    nanosleep(&t, NULL);
+    d_first = false;
+  }
+  _write_N(N);
+}
+
+void
+flexrf_base::_write_control(int control)
+{
+  _write_it((control & ~0x3) | 0);
+}
+
+void
+flexrf_base::_write_R(int R)
+{
+  _write_it((R & ~0x3) | 1);
+}
+
+void
+flexrf_base::_write_N(int N)
+{
+  _write_it((N & ~0x3) | 2);
+}
+
+void
+flexrf_base::_write_it(int v)
+{
+  char s[3];
+  s[0] = (char)((v >> 16) & 0xff);
+  s[1] = (char)((v >>  8) & 0xff);
+  s[2] = (char)(v & 0xff);
+  std::string str(s, 3);
+  usrp()->_write_spi(0, d_spi_enable, d_spi_format, str);
+}
+        
+bool
+flexrf_base::_lock_detect()
+{
+  /*
+    @returns: the value of the VCO/PLL lock detect bit.
+    @rtype: 0 or 1
+  */
+  if(usrp()->read_io(d_which) & PLL_LOCK_DETECT) {
+    return true;
+  }
+  else {      // Give it a second chance
+    // FIXME: make portable sleep
+    timespec t;
+    t.tv_sec = 0;
+    t.tv_nsec = 100000000;
+    nanosleep(&t, NULL);
+    
+    if(usrp()->read_io(d_which) & PLL_LOCK_DETECT) {
+      return true;
+    }
+    else {
+      return false;
+    }
+  }
+}
+
+bool
+flexrf_base::_compute_regs(double freq, int &retR, int &retcontrol,
+                          int &retN, double &retfreq)
+{
+  /*
+    Determine values of R, control, and N registers, along with actual freq.
+    
+    @param freq: target frequency in Hz
+    @type freq: float
+    @returns: (R, control, N, actual_freq)
+    @rtype: tuple(int, int, int, float)
+    
+    Override this in derived classes.
+  */
+  
+  //raise NotImplementedError;
+  throw std::runtime_error("_compute_regs called from flexrf_base\n");
+}
+
+int
+flexrf_base::_compute_control_reg()
+{
+  return d_common->_compute_control_reg();
+}
+
+int
+flexrf_base::_refclk_divisor()
+{
+  return d_common->_refclk_divisor();
+}
+
+double
+flexrf_base::_refclk_freq()
+{
+  return 64e6/_refclk_divisor();
+}
+
+struct freq_result_t
+flexrf_base::set_freq(double freq)
+{
+  /*
+    @returns (ok, actual_baseband_freq) where:
+    ok is True or False and indicates success or failure,
+    actual_baseband_freq is the RF frequency that corresponds to DC in the IF.
+  */
+
+  struct freq_result_t args = {false, 0};
+
+  // Offsetting the LO helps get the Tx carrier leakage out of the way.
+  // This also ensures that on Rx, we're not getting hosed by the
+  // FPGA's DC removal loop's time constant.  We were seeing a
+  // problem when running with discontinuous transmission.
+  // Offsetting the LO made the problem go away.
+  freq += d_lo_offset;
+  
+  int R, control, N;
+  double actual_freq;
+  _compute_regs(freq, R, control, N, actual_freq);
+
+  if(R==0) {
+    return args;
+  }
+   
+  _write_all(R, control, N);
+  args.ok = _lock_detect();
+  args.baseband_freq = actual_freq;
+  return args;
+}
+
+bool
+flexrf_base::_set_pga(float pga_gain)
+{
+  if(d_which == 0) {
+    usrp()->set_pga(0, pga_gain);
+    usrp()->set_pga(1, pga_gain);
+  }
+  else {
+    usrp()->set_pga(2, pga_gain);
+    usrp()->set_pga(3, pga_gain);
+  }
+  return true;
+}
+
+bool
+flexrf_base::is_quadrature()
+{
+  /*
+    Return True if this board requires both I & Q analog channels.
+    
+    This bit of info is useful when setting up the USRP Rx mux register.
+  */
+  return true;
+}
+
+double
+flexrf_base::freq_min()
+{
+  return d_common->freq_min();
+}
+
+double
+flexrf_base::freq_max()
+{
+  return d_common->freq_max();
+}
+
+// ----------------------------------------------------------------
+
+flexrf_base_tx::flexrf_base_tx(usrp_basic_sptr _usrp, int which, int _power_on)
+  : flexrf_base(_usrp, which, _power_on)
+{
+  /*
+    @param usrp: instance of usrp.sink_c
+    @param which: 0 or 1 corresponding to side TX_A or TX_B respectively.
+  */
+  
+  if(which == 0) {
+    d_spi_enable = SPI_ENABLE_TX_A;
+  }
+  else {
+    d_spi_enable = SPI_ENABLE_TX_B;
+  }
+  
+  // power up the transmit side, but don't enable the mixer
+  usrp()->_write_oe(d_which,(POWER_UP|RX_TXN|ENABLE), 0xffff);
+  usrp()->write_io(d_which, (power_on()|RX_TXN), (POWER_UP|RX_TXN|ENABLE));
+  set_lo_offset(4e6);
+
+  set_gain((gain_min() + gain_max()) / 2.0);  // initialize gain
+}
+
+flexrf_base_tx::~flexrf_base_tx()
+{
+  shutdown();
+}
+
+
+void
+flexrf_base_tx::shutdown()
+{
+  // fprintf(stderr, "flexrf_base_tx::shutdown  d_is_shutdown = %d\n", d_is_shutdown);
+
+  if (!d_is_shutdown){
+    d_is_shutdown = true;
+    // do whatever there is to do to shutdown
+
+    // Power down and leave the T/R switch in the R position
+    usrp()->write_io(d_which, (power_off()|RX_TXN), (POWER_UP|RX_TXN|ENABLE));
+
+    // Power down VCO/PLL
+    d_PD = 3;
+  
+    _write_control(_compute_control_reg());
+    _enable_refclk(false);                       // turn off refclk
+    set_auto_tr(false);
+  }
+}
+
+bool
+flexrf_base_tx::set_auto_tr(bool on)
+{
+  bool ok = true;
+  if(on) {
+    ok &= set_atr_mask (RX_TXN | ENABLE);
+    ok &= set_atr_txval(0      | ENABLE);
+    ok &= set_atr_rxval(RX_TXN | 0);
+  }
+  else {
+    ok &= set_atr_mask (0);
+    ok &= set_atr_txval(0);
+    ok &= set_atr_rxval(0);
+  }
+  return ok;
+}
+
+bool
+flexrf_base_tx::set_enable(bool on)
+{
+  /*
+    Enable transmitter if on is true
+  */
+
+  int v;
+  int mask = RX_TXN | ENABLE;
+  if(on) {
+    v = ENABLE;
+  }
+  else {
+    v = RX_TXN;
+  }
+  return usrp()->write_io(d_which, v, mask);
+}
+
+float
+flexrf_base_tx::gain_min()
+{
+  return usrp()->pga_max();
+}
+
+float
+flexrf_base_tx::gain_max()
+{
+  return usrp()->pga_max();
+}
+
+float
+flexrf_base_tx::gain_db_per_step()
+{
+  return 1;
+}
+
+bool
+flexrf_base_tx::set_gain(float gain)
+{
+  /*
+    Set the gain.
+    
+    @param gain:  gain in decibels
+    @returns True/False
+  */
+  return _set_pga(usrp()->pga_max());
+}
+
+
+/**************************************************************************/
+
+
+flexrf_base_rx::flexrf_base_rx(usrp_basic_sptr _usrp, int which, int _power_on)
+  : flexrf_base(_usrp, which, _power_on)
+{
+  /*
+    @param usrp: instance of usrp.source_c
+    @param which: 0 or 1 corresponding to side RX_A or RX_B respectively.
+  */
+
+  if(which == 0) {
+    d_spi_enable = SPI_ENABLE_RX_A;
+  }
+  else {
+    d_spi_enable = SPI_ENABLE_RX_B;
+  }
+
+  usrp()->_write_oe(d_which, (POWER_UP|RX2_RX1N|ENABLE), 0xffff);
+  usrp()->write_io(d_which,  (power_on()|RX2_RX1N|ENABLE), 
+                  (POWER_UP|RX2_RX1N|ENABLE));
+  
+  // set up for RX on TX/RX port
+  select_rx_antenna("TX/RX");
+  
+  bypass_adc_buffers(true);
+
+  set_lo_offset(-4e6);
+}
+
+flexrf_base_rx::~flexrf_base_rx()
+{
+  shutdown();
+}
+
+void
+flexrf_base_rx::shutdown()
+{
+  // fprintf(stderr, "flexrf_base_rx::shutdown  d_is_shutdown = %d\n", d_is_shutdown);
+
+  if (!d_is_shutdown){
+    d_is_shutdown = true;
+    // do whatever there is to do to shutdown
+
+    // Power down
+    usrp()->common_write_io(C_RX, d_which, power_off(), (POWER_UP|ENABLE));
+
+    // Power down VCO/PLL
+    d_PD = 3;
+  
+
+    // fprintf(stderr, "flexrf_base_rx::shutdown  before _write_control\n");
+    _write_control(_compute_control_reg());
+
+    // fprintf(stderr, "flexrf_base_rx::shutdown  before _enable_refclk\n");
+    _enable_refclk(false);                       // turn off refclk
+
+    // fprintf(stderr, "flexrf_base_rx::shutdown  before set_auto_tr\n");
+    set_auto_tr(false);
+
+    // fprintf(stderr, "flexrf_base_rx::shutdown  after set_auto_tr\n");
+  }
+}
+
+bool
+flexrf_base_rx::set_auto_tr(bool on)
+{
+  bool ok = true;
+  if(on) {
+    ok &= set_atr_mask (ENABLE);
+    ok &= set_atr_txval(     0);
+    ok &= set_atr_rxval(ENABLE);
+  }
+  else {
+    ok &= set_atr_mask (0);
+    ok &= set_atr_txval(0);
+    ok &= set_atr_rxval(0);
+  }
+  return true;
+}
+
+bool
+flexrf_base_rx::select_rx_antenna(int which_antenna)
+{
+  /*
+    Specify which antenna port to use for reception.
+    @param which_antenna: either 'TX/RX' or 'RX2'
+  */
+
+  if(which_antenna == 0) {
+    usrp()->write_io(d_which, 0,RX2_RX1N);
+  }
+  else if(which_antenna == 1) {
+    usrp()->write_io(d_which, RX2_RX1N, RX2_RX1N);
+  }
+  else {
+    return false;
+    // throw std::invalid_argument("which_antenna must be either 'TX/RX' or 'RX2'\n");
+  }
+  return true;
+}
+
+bool
+flexrf_base_rx::select_rx_antenna(const std::string &which_antenna)
+{
+  /*
+    Specify which antenna port to use for reception.
+    @param which_antenna: either 'TX/RX' or 'RX2'
+  */
+
+  if(which_antenna == "TX/RX") {
+    usrp()->write_io(d_which, 0, RX2_RX1N);
+  }
+  else if(which_antenna == "RX2") {
+    usrp()->write_io(d_which, RX2_RX1N, RX2_RX1N);
+  }
+  else {
+    // throw std::invalid_argument("which_antenna must be either 'TX/RX' or 'RX2'\n");
+    return false;
+  }
+  return true;
+}
+
+bool
+flexrf_base_rx::set_gain(float gain)
+{
+  /*
+    Set the gain.
+    
+    @param gain:  gain in decibels
+    @returns True/False
+  */
+  
+  // clamp gain
+  gain = std::max(gain_min(), std::min(gain, gain_max()));
+
+  float pga_gain, agc_gain;
+  float V_maxgain, V_mingain, V_fullscale, dac_value;
+
+  float maxgain = gain_max() - usrp()->pga_max();
+  float mingain = gain_min();
+  if(gain > maxgain) {
+    pga_gain = gain-maxgain;
+    assert(pga_gain <= usrp()->pga_max());
+    agc_gain = maxgain;
+  }
+  else {
+    pga_gain = 0;
+    agc_gain = gain;
+  }
+  
+  V_maxgain = .2;
+  V_mingain = 1.2;
+  V_fullscale = 3.3;
+  dac_value = (agc_gain*(V_maxgain-V_mingain)/(maxgain-mingain) + V_mingain)*4096/V_fullscale;
+
+  assert(dac_value>=0 && dac_value<4096);
+
+  return (usrp()->write_aux_dac(d_which, 0, int(dac_value))
+         && _set_pga(int(pga_gain)));
+}
+
+// ----------------------------------------------------------------
+
+
+_AD4360_common::_AD4360_common()
+{
+  // R-Register Common Values
+  d_R_RSV = 0;  // bits 23,22
+  d_BSC   = 3;  // bits 21,20 Div by 8 to be safe
+  d_TEST  = 0;  // bit 19
+  d_LDP   = 1;  // bit 18
+  d_ABP   = 0;  // bit 17,16   3ns
+
+  // N-Register Common Values
+  d_N_RSV = 0;  // bit 7
+        
+  // Control Register Common Values
+  d_PD    = 0;  // bits 21,20   Normal operation
+  d_PL    = 0;  // bits 13,12   11mA
+  d_MTLD  = 1;  // bit 11       enabled
+  d_CPG   = 0;  // bit 10       CP setting 1
+  d_CP3S  = 0;  // bit 9        Normal
+  d_PDP   = 1;  // bit 8        Positive
+  d_MUXOUT = 1; // bits 7:5     Digital Lock Detect
+  d_CR    = 0;  // bit 4        Normal
+  d_PC    = 1;  // bits 3,2     Core power 10mA
+}
+
+_AD4360_common::~_AD4360_common()
+{
+}
+
+bool
+_AD4360_common::_compute_regs(double refclk_freq, double freq, int &retR, 
+                             int &retcontrol, int &retN, double &retfreq)
+{
+  /*
+    Determine values of R, control, and N registers, along with actual freq.
+    
+    @param freq: target frequency in Hz
+    @type freq: float
+    @returns: (R, control, N, actual_freq)
+    @rtype: tuple(int, int, int, float)
+  */
+  
+  //  Band-specific N-Register Values
+  //float phdet_freq = _refclk_freq()/d_R_DIV;
+  double phdet_freq = refclk_freq/d_R_DIV;
+  double desired_n = round(freq*d_freq_mult/phdet_freq);
+  double actual_freq = desired_n * phdet_freq;
+  int B = floor(desired_n/_prescaler());
+  int A = desired_n - _prescaler()*B;
+  d_B_DIV = int(B);    // bits 20:8
+  d_A_DIV = int(A);    // bit 6:2
+
+  //assert db_B_DIV >= db_A_DIV
+  if(d_B_DIV < d_A_DIV) {
+    retR = 0;
+    retcontrol = 0;
+    retN = 0;
+    retfreq = 0;
+    return false;
+  }
+
+  int R = (d_R_RSV<<22) | (d_BSC<<20) | (d_TEST<<19) | 
+    (d_LDP<<18) | (d_ABP<<16) | (d_R_DIV<<2);
+  
+  int control = _compute_control_reg();
+
+  int N = (d_DIVSEL<<23) | (d_DIV2<<22) | (d_CPGAIN<<21) | 
+    (d_B_DIV<<8) | (d_N_RSV<<7) | (d_A_DIV<<2);
+
+  retR = R;
+  retcontrol = control;
+  retN = N;
+  retfreq = actual_freq/d_freq_mult;
+  return true;
+}
+
+int
+_AD4360_common::_compute_control_reg()
+{
+  int control = (d_P<<22) | (d_PD<<20) | (d_CP2<<17) | (d_CP1<<14)
+    | (d_PL<<12) | (d_MTLD<<11) | (d_CPG<<10) | (d_CP3S<<9) | (d_PDP<<8)
+    | (d_MUXOUT<<5) | (d_CR<<4) | (d_PC<<2);
+  
+  return control;
+}
+
+int
+_AD4360_common::_refclk_divisor()
+{
+  /*
+    Return value to stick in REFCLK_DIVISOR register
+  */
+  return 1;
+}
+    
+int
+_AD4360_common::_prescaler()
+{
+  if(d_P == 0) {
+    return 8;
+  }
+  else if(d_P == 1) {
+    return 16;
+  }
+  else {
+    return 32;
+  }
+}
+
+//----------------------------------------------------------------------
+
+_2400_common::_2400_common()
+  : _AD4360_common()
+{
+  // Band-specific R-Register Values
+  d_R_DIV = 16;  // bits 15:2
+   
+  // Band-specific C-Register values
+  d_P = 1;        // bits 23,22   Div by 16/17
+  d_CP2 = 7;      // bits 19:17
+  d_CP1 = 7;      // bits 16:14
+
+  // Band specifc N-Register Values
+  d_DIVSEL = 0;   // bit 23
+  d_DIV2 = 0;     // bit 22
+  d_CPGAIN = 0;   // bit 21
+  d_freq_mult = 1;
+}
+
+double
+_2400_common::freq_min()
+{
+  return 2300e6;
+}
+
+double
+_2400_common::freq_max()
+{
+  return 2700e6;
+}
+
+//----------------------------------------------------------------------
+
+_1200_common::_1200_common()
+  : _AD4360_common()
+{
+  // Band-specific R-Register Values
+  d_R_DIV = 16;  // bits 15:2  DIV by 16 for a 1 MHz phase detector freq
+   
+  // Band-specific C-Register values
+  d_P = 1;        // bits 23,22   Div by 16/17
+  d_CP2 = 7;      // bits 19:17   1.25 mA
+  d_CP1 = 7;      // bits 16:14   1.25 mA
+  
+  // Band specifc N-Register Values
+  d_DIVSEL = 0;   // bit 23
+  d_DIV2 = 1;     // bit 22
+  d_CPGAIN = 0;   // bit 21
+  d_freq_mult = 2;
+}
+
+double 
+_1200_common::freq_min()
+{
+  return 1150e6;
+}
+
+double 
+_1200_common::freq_max()
+{
+  return 1350e6;
+}
+
+//-------------------------------------------------------------------------
+
+_1800_common::_1800_common()
+  : _AD4360_common()
+{
+  // Band-specific R-Register Values
+  d_R_DIV = 16;  // bits 15:2  DIV by 16 for a 1 MHz phase detector freq
+    
+  // Band-specific C-Register values
+  d_P = 1;        // bits 23,22   Div by 16/17
+  d_CP2 = 7;      // bits 19:17   1.25 mA
+  d_CP1 = 7;      // bits 16:14   1.25 mA
+  
+  // Band specifc N-Register Values
+  d_DIVSEL = 0;   // bit 23
+  d_DIV2 = 0;     // bit 22
+  d_freq_mult = 1;
+  d_CPGAIN = 0;   // bit 21
+}
+
+double 
+_1800_common::freq_min()
+{
+  return 1600e6;
+}
+
+double 
+_1800_common::freq_max()
+{
+  return 2000e6;
+}
+
+//-------------------------------------------------------------------------
+
+_900_common::_900_common()
+  : _AD4360_common()
+{
+  // Band-specific R-Register Values
+  d_R_DIV = 16;  // bits 15:2  DIV by 16 for a 1 MHz phase detector freq
+   
+  // Band-specific C-Register values
+  d_P = 1;        // bits 23,22   Div by 16/17
+  d_CP2 = 7;      // bits 19:17   1.25 mA
+  d_CP1 = 7;      // bits 16:14   1.25 mA
+  
+  // Band specifc N-Register Values
+  d_DIVSEL = 0;   // bit 23
+  d_DIV2 = 1;     // bit 22
+  d_freq_mult = 2;
+  d_CPGAIN = 0;   // bit 21
+}
+
+double
+_900_common::freq_min()
+{
+  return 800e6;
+}
+
+double
+_900_common::freq_max()
+{
+  return 1000e6;
+}
+
+//-------------------------------------------------------------------------
+
+_400_common::_400_common()
+  : _AD4360_common()
+{
+  // Band-specific R-Register Values
+  d_R_DIV = 16;   // bits 15:2 
+   
+  // Band-specific C-Register values
+  d_P = 0;        // bits 23,22   Div by 8/9
+  d_CP2 = 7;      // bits 19:17   1.25 mA
+  d_CP1 = 7;      // bits 16:14   1.25 mA
+  
+  // Band specifc N-Register Values  These are different for TX/RX
+  d_DIVSEL = 0;   // bit 23
+  d_freq_mult = 2;
+  
+  d_CPGAIN = 0;   // bit 21
+}
+
+double 
+_400_common::freq_min()
+{
+  return 400e6;
+}  
+
+double 
+_400_common::freq_max()
+{
+  return 500e6;
+}  
+
+_400_tx::_400_tx()
+  : _400_common()
+{
+  d_DIV2 = 1;     // bit 22
+}
+
+_400_rx::_400_rx()
+  : _400_common()
+{
+  d_DIV2 = 0;    // bit 22   // RX side has built-in DIV2 in AD8348
+}
+
+//------------------------------------------------------------    
+
+db_flexrf_2400_tx::db_flexrf_2400_tx(usrp_basic_sptr usrp, int which)
+  : flexrf_base_tx(usrp, which)
+{
+  d_common = new _2400_common();
+}
+
+db_flexrf_2400_tx::~db_flexrf_2400_tx()
+{
+}
+
+bool
+db_flexrf_2400_tx::_compute_regs(double freq, int &retR, int &retcontrol,
+                                int &retN, double &retfreq)
+{
+  return d_common->_compute_regs(_refclk_freq(), freq, retR,
+                                retcontrol, retN, retfreq);
+}
+
+
+
+db_flexrf_2400_rx::db_flexrf_2400_rx(usrp_basic_sptr usrp, int which)
+  : flexrf_base_rx(usrp, which)
+{
+  d_common = new _2400_common();
+  set_gain((gain_min() + gain_max()) / 2.0);  // initialize gain
+}
+
+db_flexrf_2400_rx::~db_flexrf_2400_rx()
+{
+}
+
+float
+db_flexrf_2400_rx::gain_min()
+{
+  return usrp()->pga_min();
+}
+
+float
+db_flexrf_2400_rx::gain_max()
+{
+  return usrp()->pga_max()+70;
+}
+
+float
+db_flexrf_2400_rx::gain_db_per_step()
+{
+  return 0.05;
+}
+
+
+bool
+db_flexrf_2400_rx::i_and_q_swapped()
+{
+  return true;
+}
+
+bool
+db_flexrf_2400_rx::_compute_regs(double freq, int &retR, int &retcontrol,
+                                int &retN, double &retfreq)
+{
+  return d_common->_compute_regs(_refclk_freq(), freq, retR,
+                                retcontrol, retN, retfreq);
+}
+
+//------------------------------------------------------------    
+
+
+db_flexrf_1200_tx::db_flexrf_1200_tx(usrp_basic_sptr usrp, int which)
+  : flexrf_base_tx(usrp, which)
+{
+  d_common = new _1200_common();
+}
+
+db_flexrf_1200_tx::~db_flexrf_1200_tx()
+{
+}
+
+bool
+db_flexrf_1200_tx::_compute_regs(double freq, int &retR, int &retcontrol,
+                                int &retN, double &retfreq)
+{
+  return d_common->_compute_regs(_refclk_freq(), freq, retR,
+                                retcontrol, retN, retfreq);
+}
+
+
+
+
+db_flexrf_1200_rx::db_flexrf_1200_rx(usrp_basic_sptr usrp, int which)
+  : flexrf_base_rx(usrp, which)
+{
+  d_common = new _1200_common();
+  set_gain((gain_min() + gain_max()) / 2.0);  // initialize gain
+}
+
+db_flexrf_1200_rx::~db_flexrf_1200_rx()
+{
+}
+
+float
+db_flexrf_1200_rx::gain_min()
+{
+  return usrp()->pga_min();
+}
+
+float
+db_flexrf_1200_rx::gain_max()
+{
+  return usrp()->pga_max()+70;
+}
+
+float
+db_flexrf_1200_rx::gain_db_per_step()
+{
+  return 0.05;
+}
+
+bool
+db_flexrf_1200_rx::i_and_q_swapped()
+{
+  return true;
+}
+
+bool
+db_flexrf_1200_rx::_compute_regs(double freq, int &retR, int &retcontrol,
+                                int &retN, double &retfreq)
+{
+  return d_common->_compute_regs(_refclk_freq(), freq, retR,
+                                retcontrol, retN, retfreq);
+}
+
+
+//------------------------------------------------------------    
+
+
+db_flexrf_1800_tx::db_flexrf_1800_tx(usrp_basic_sptr usrp, int which)
+  : flexrf_base_tx(usrp, which)
+{
+  d_common = new _1800_common();
+}
+
+db_flexrf_1800_tx::~db_flexrf_1800_tx()
+{
+}
+
+bool
+db_flexrf_1800_tx::_compute_regs(double freq, int &retR, int &retcontrol,
+                                int &retN, double &retfreq)
+{
+  return d_common->_compute_regs(_refclk_freq(), freq, retR,
+                                retcontrol, retN, retfreq);
+}
+
+
+
+db_flexrf_1800_rx::db_flexrf_1800_rx(usrp_basic_sptr usrp, int which)
+  : flexrf_base_rx(usrp, which)
+{
+  d_common = new _1800_common();
+  set_gain((gain_min() + gain_max()) / 2.0);  // initialize gain
+}
+
+db_flexrf_1800_rx::~db_flexrf_1800_rx()
+{
+}
+
+
+float
+db_flexrf_1800_rx::gain_min()
+{
+  return usrp()->pga_min();
+}
+
+float
+db_flexrf_1800_rx::gain_max()
+{
+  return usrp()->pga_max()+70;
+}
+
+float
+db_flexrf_1800_rx::gain_db_per_step()
+{
+  return 0.05;
+}
+
+bool
+db_flexrf_1800_rx::i_and_q_swapped()
+{
+  return true;
+}
+
+bool
+db_flexrf_1800_rx::_compute_regs(double freq, int &retR, int &retcontrol,
+                                int &retN, double &retfreq)
+{
+  return d_common->_compute_regs(_refclk_freq(), freq, retR,
+                                retcontrol, retN, retfreq);
+}
+
+
+//------------------------------------------------------------    
+
+
+db_flexrf_900_tx::db_flexrf_900_tx(usrp_basic_sptr usrp, int which)
+  : flexrf_base_tx(usrp, which)
+{
+  d_common = new _900_common();
+}
+
+db_flexrf_900_tx::~db_flexrf_900_tx()
+{
+}
+
+bool
+db_flexrf_900_tx::_compute_regs(double freq, int &retR, int &retcontrol,
+                               int &retN, double &retfreq)
+{
+  return d_common->_compute_regs(_refclk_freq(), freq, retR,
+                                retcontrol, retN, retfreq);
+}
+
+
+db_flexrf_900_rx::db_flexrf_900_rx(usrp_basic_sptr usrp, int which)
+  : flexrf_base_rx(usrp, which)
+{
+  d_common = new _900_common();
+  set_gain((gain_min() + gain_max()) / 2.0);  // initialize gain
+}
+
+db_flexrf_900_rx::~db_flexrf_900_rx()
+{
+}
+
+float
+db_flexrf_900_rx::gain_min()
+{
+  return usrp()->pga_min();
+}
+
+float
+db_flexrf_900_rx::gain_max()
+{
+  return usrp()->pga_max()+70;
+}
+
+float
+db_flexrf_900_rx::gain_db_per_step()
+{
+  return 0.05;
+}
+
+bool
+db_flexrf_900_rx::i_and_q_swapped()
+{
+  return true;
+}
+
+bool
+db_flexrf_900_rx::_compute_regs(double freq, int &retR, int &retcontrol,
+                               int &retN, double &retfreq)
+{
+  return d_common->_compute_regs(_refclk_freq(), freq, retR,
+                                retcontrol, retN, retfreq);
+}
+
+//------------------------------------------------------------    
+
+
+db_flexrf_400_tx::db_flexrf_400_tx(usrp_basic_sptr usrp, int which)
+  : flexrf_base_tx(usrp, which, POWER_UP)
+{
+  d_common = new _400_tx();
+}
+
+db_flexrf_400_tx::~db_flexrf_400_tx()
+{
+}
+
+bool
+db_flexrf_400_tx::_compute_regs(double freq, int &retR, int &retcontrol,
+                               int &retN, double &retfreq)
+{
+  return d_common->_compute_regs(_refclk_freq(), freq, retR,
+                                retcontrol, retN, retfreq);
+}
+
+
+
+db_flexrf_400_rx::db_flexrf_400_rx(usrp_basic_sptr usrp, int which)
+  : flexrf_base_rx(usrp, which, POWER_UP)
+{
+  d_common = new _400_rx();
+  set_gain((gain_min() + gain_max()) / 2.0);  // initialize gain
+}
+
+db_flexrf_400_rx::~db_flexrf_400_rx()
+{
+}
+
+float
+db_flexrf_400_rx::gain_min()
+{
+  return usrp()->pga_min();
+}
+
+float
+db_flexrf_400_rx::gain_max()
+{
+  return usrp()->pga_max()+45;
+}
+
+float
+
+db_flexrf_400_rx::gain_db_per_step()
+{
+  return 0.035;
+}
+
+
+bool
+db_flexrf_400_rx::i_and_q_swapped()
+{
+  return true;
+}
+
+bool
+db_flexrf_400_rx::_compute_regs(double freq, int &retR, int &retcontrol,
+                               int &retN, double &retfreq)
+{
+  return d_common->_compute_regs(_refclk_freq(), freq, retR,
+                                retcontrol, retN, retfreq);
+}
+
diff --git a/usrp/host/lib/legacy/db_flexrf.h b/usrp/host/lib/legacy/db_flexrf.h
new file mode 100644 (file)
index 0000000..b9ccfc3
--- /dev/null
@@ -0,0 +1,355 @@
+/* -*- c++ -*- */
+//
+// Copyright 2008 Free Software Foundation, Inc.
+// 
+// This file is part of GNU Radio
+// 
+// GNU Radio is free software; you can redistribute it and/or modify
+// it under the terms of the GNU General Public License as published by
+// the Free Software Foundation; either asversion 3, or (at your option)
+// any later version.
+// 
+// GNU Radio is distributed in the hope that it will be useful,
+// but WITHOUT ANY WARRANTY; without even the implied warranty of
+// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+// GNU General Public License for more details.
+// 
+// You should have received a copy of the GNU General Public License
+// along with GNU Radio; see the file COPYING.  If not, write to
+// the Free Software Foundation, Inc., 51 Franklin Street,
+// Boston, MA 02110-1301, USA.
+
+#ifndef DB_FLEXRF_H
+#define DB_FLEXRF_H
+
+#include <db_base.h>
+#include <cmath>
+
+//debug_using_gui = true                // Must be set to True or False
+#define debug_using_gui false           // Must be set to True or False
+
+class _AD4360_common;
+
+class flexrf_base : public db_base
+{
+public:
+  flexrf_base(usrp_basic_sptr usrp, int which, int _power_on=0);
+  ~flexrf_base();
+
+  struct freq_result_t set_freq(double freq);
+
+  bool  is_quadrature();
+  double freq_min();
+  double freq_max();
+
+protected:
+  void _write_all(int R, int control, int N);
+  void _write_control(int control);
+  void _write_R(int R);
+  void _write_N(int N);
+  void _write_it(int v);
+  bool _lock_detect();
+
+  virtual bool _compute_regs(double freq, int &retR, int &retcontrol, 
+                            int &retN, double &retfreq);
+  int  _compute_control_reg();
+  int _refclk_divisor();
+  double _refclk_freq();
+
+  bool _set_pga(float pga_gain);
+
+  int power_on() { return d_power_on; }
+  int power_off() { return 0; }
+
+  bool d_first;
+  int  d_spi_format;
+  int  d_spi_enable;
+  int  d_power_on;
+  int  d_PD;
+
+  _AD4360_common *d_common;
+};
+
+// ----------------------------------------------------------------
+
+class flexrf_base_tx : public flexrf_base
+{
+protected:
+  void shutdown();
+
+public:
+  flexrf_base_tx(usrp_basic_sptr usrp, int which, int _power_on=0);
+  ~flexrf_base_tx();
+
+  // All RFX tx d'boards have fixed gain
+  float gain_min();
+  float gain_max();
+  float gain_db_per_step();
+
+  bool set_auto_tr(bool on);
+  bool set_enable(bool on);
+  bool set_gain(float gain);
+};
+
+class flexrf_base_rx : public flexrf_base
+{
+protected:
+  void shutdown();
+
+public:
+  flexrf_base_rx(usrp_basic_sptr usrp, int which, int _power_on=0);
+  ~flexrf_base_rx();
+    
+  bool set_auto_tr(bool on);
+  bool select_rx_antenna(int which_antenna);
+  bool select_rx_antenna(const std::string &which_antenna);
+  bool set_gain(float gain);
+
+};
+
+// ----------------------------------------------------------------
+
+
+class _AD4360_common
+{
+public:
+  _AD4360_common();
+  virtual ~_AD4360_common();
+
+  virtual double freq_min() = 0;
+  virtual double freq_max() = 0;
+
+  bool _compute_regs(double refclk_freq, double freq, int &retR, 
+                    int &retcontrol, int &retN, double &retfreq);
+  int _compute_control_reg();
+  virtual int _refclk_divisor();
+  int _prescaler();
+
+  void R_DIV(int div) { d_R_DIV = div; }
+
+protected:
+  int d_R_RSV, d_BSC, d_TEST, d_LDP, d_ABP, d_N_RSV, d_PL, d_MTLD;
+  int d_CPG, d_CP3S, d_PDP, d_MUXOUT, d_CR, d_PC;
+
+  // FIXME: d_PD might cause conflict from flexrf_base
+  int d_A_DIV, d_B_DIV, d_R_DIV, d_P, d_PD, d_CP2, d_CP1, d_DIVSEL;
+  int d_DIV2, d_CPGAIN, d_freq_mult;
+
+};
+
+//----------------------------------------------------------------------
+
+class _2400_common : public _AD4360_common
+{
+ public:
+  _2400_common();
+  ~_2400_common() {}
+  double freq_min();
+  double freq_max();
+};
+
+//----------------------------------------------------------------------
+
+class _1200_common : public _AD4360_common
+{
+public:
+  _1200_common();
+  ~_1200_common() {}
+
+  double freq_min();
+  double freq_max();
+};
+
+//-------------------------------------------------------------------------
+
+class _1800_common : public _AD4360_common
+{
+ public:
+  _1800_common();
+  ~_1800_common() {}
+
+  double freq_min();
+  double freq_max();
+};
+
+//-------------------------------------------------------------------------
+
+class _900_common : public _AD4360_common
+{
+public:
+  _900_common();
+  ~_900_common() {}
+  
+  double freq_min();
+  double freq_max();
+};
+
+//-------------------------------------------------------------------------
+
+class _400_common : public _AD4360_common
+{
+public:
+  _400_common();
+  ~_400_common() {}
+
+  double freq_min();
+  double freq_max();
+};
+
+class _400_tx : public _400_common
+{
+public:
+  _400_tx();
+  ~_400_tx() {}
+};
+
+class _400_rx : public _400_common
+{
+public:
+  _400_rx();
+  ~_400_rx() {}
+};
+
+//------------------------------------------------------------    
+
+class db_flexrf_2400_tx : public flexrf_base_tx
+{
+ public:
+  db_flexrf_2400_tx(usrp_basic_sptr usrp, int which);
+  ~db_flexrf_2400_tx();
+
+  // Wrapper calls to d_common functions
+  bool _compute_regs(double freq, int &retR, int &retcontrol,
+                    int &retN, double &retfreq);
+};
+
+class db_flexrf_2400_rx : public flexrf_base_rx
+{
+public:
+  db_flexrf_2400_rx(usrp_basic_sptr usrp, int which);
+  ~db_flexrf_2400_rx();
+  
+  float gain_min();
+  float gain_max();
+  float gain_db_per_step();
+  bool i_and_q_swapped();
+
+  bool _compute_regs(double freq, int &retR, int &retcontrol,
+                    int &retN, double &retfreq);
+};
+
+//------------------------------------------------------------    
+
+class db_flexrf_1200_tx : public flexrf_base_tx
+{
+public:
+  db_flexrf_1200_tx(usrp_basic_sptr usrp, int which);
+  ~db_flexrf_1200_tx();
+
+  // Wrapper calls to d_common functions
+  bool _compute_regs(double freq, int &retR, int &retcontrol,
+                    int &retN, double &retfreq);
+};
+
+class db_flexrf_1200_rx : public flexrf_base_rx
+{
+public:
+  db_flexrf_1200_rx(usrp_basic_sptr usrp, int which);
+  ~db_flexrf_1200_rx();
+  
+  float gain_min();
+  float gain_max();
+  float gain_db_per_step();
+  bool i_and_q_swapped();
+
+  bool _compute_regs(double freq, int &retR, int &retcontrol,
+                    int &retN, double &retfreq);
+};
+
+//------------------------------------------------------------    
+
+class db_flexrf_1800_tx : public flexrf_base_tx
+{
+ public:
+  db_flexrf_1800_tx(usrp_basic_sptr usrp, int which);
+  ~db_flexrf_1800_tx();
+
+  // Wrapper calls to d_common functions
+  bool _compute_regs(double freq, int &retR, int &retcontrol,
+                    int &retN, double &retfreq);
+};
+
+class db_flexrf_1800_rx : public flexrf_base_rx
+{
+public:
+  db_flexrf_1800_rx(usrp_basic_sptr usrp, int which);
+  ~db_flexrf_1800_rx();
+  
+  float gain_min();
+  float gain_max();
+  float gain_db_per_step();
+  bool i_and_q_swapped();
+
+  bool _compute_regs(double freq, int &retR, int &retcontrol,
+                    int &retN, double &retfreq);
+};
+
+//------------------------------------------------------------    
+
+class db_flexrf_900_tx : public flexrf_base_tx
+{
+ public:
+  db_flexrf_900_tx(usrp_basic_sptr usrp, int which);
+  ~db_flexrf_900_tx();
+
+  // Wrapper calls to d_common functions
+  bool _compute_regs(double freq, int &retR, int &retcontrol,
+                    int &retN, double &retfreq);
+};
+
+class db_flexrf_900_rx : public flexrf_base_rx
+{
+public:
+  db_flexrf_900_rx(usrp_basic_sptr usrp, int which);
+  ~db_flexrf_900_rx();
+  
+  float gain_min();
+  float gain_max();
+  float gain_db_per_step();
+  bool i_and_q_swapped();
+
+  bool _compute_regs(double freq, int &retR, int &retcontrol,
+                    int &retN, double &retfreq);
+};
+
+
+//------------------------------------------------------------    
+
+class db_flexrf_400_tx : public flexrf_base_tx
+{
+ public:
+  db_flexrf_400_tx(usrp_basic_sptr usrp, int which);
+  ~db_flexrf_400_tx();
+
+  // Wrapper calls to d_common functions
+  bool _compute_regs(double freq, int &retR, int &retcontrol,
+                    int &retN, double &retfreq);
+};
+
+class db_flexrf_400_rx : public flexrf_base_rx
+{
+public:
+  db_flexrf_400_rx(usrp_basic_sptr usrp, int which);
+  ~db_flexrf_400_rx();
+
+  float gain_min();
+  float gain_max();
+  float gain_db_per_step();
+  bool i_and_q_swapped();
+
+  bool _compute_regs(double freq, int &retR, int &retcontrol,
+                    int &retN, double &retfreq);
+};
+
+#endif
diff --git a/usrp/host/lib/legacy/db_flexrf_mimo.cc b/usrp/host/lib/legacy/db_flexrf_mimo.cc
new file mode 100644 (file)
index 0000000..fd996bf
--- /dev/null
@@ -0,0 +1,276 @@
+/*
+ * Copyright 2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */ 
+
+#include <db_flexrf_mimo.h>
+#include <fpga_regs_standard.h>
+#include <fpga_regs_common.h>
+#include <usrp_prims.h>
+#include <usrp_spi_defs.h>
+
+
+db_flexrf_2400_tx_mimo_a::db_flexrf_2400_tx_mimo_a(usrp_basic_sptr usrp, int which)
+  : db_flexrf_2400_tx(usrp, which)
+{
+  _enable_refclk(true);
+  d_common->R_DIV(1);
+}
+
+int 
+db_flexrf_2400_tx_mimo_a::_refclk_divisor()
+{
+  return 16;
+}
+
+db_flexrf_2400_rx_mimo_a::db_flexrf_2400_rx_mimo_a(usrp_basic_sptr usrp, int which)
+  : db_flexrf_2400_rx(usrp, which)
+{
+  _enable_refclk(true);
+  d_common->R_DIV(1);
+}
+
+int 
+db_flexrf_2400_rx_mimo_a::_refclk_divisor()
+{
+  return 16;
+}
+      
+db_flexrf_2400_tx_mimo_b::db_flexrf_2400_tx_mimo_b(usrp_basic_sptr usrp, int which)
+  : db_flexrf_2400_tx(usrp, which)
+{
+  d_common->R_DIV(16);
+}
+
+int 
+db_flexrf_2400_tx_mimo_b::_refclk_divisor()
+{
+  return 1;
+}
+
+db_flexrf_2400_rx_mimo_b::db_flexrf_2400_rx_mimo_b(usrp_basic_sptr usrp, int which)
+  : db_flexrf_2400_rx(usrp, which)
+{
+  d_common->R_DIV(16);
+}
+
+int 
+db_flexrf_2400_rx_mimo_b::_refclk_divisor()
+{
+  return 1;
+}
+
+db_flexrf_1800_tx_mimo_a::db_flexrf_1800_tx_mimo_a(usrp_basic_sptr usrp, int which)
+  : db_flexrf_1800_tx(usrp, which)
+{
+  _enable_refclk(true);
+  d_common->R_DIV(1);
+}
+
+int 
+db_flexrf_1800_tx_mimo_a::_refclk_divisor()
+{
+  return 16;
+}
+
+db_flexrf_1800_rx_mimo_a::db_flexrf_1800_rx_mimo_a(usrp_basic_sptr usrp, int which)
+  : db_flexrf_1800_rx(usrp, which)
+{
+  _enable_refclk(true);
+  d_common->R_DIV(1);
+}
+
+int 
+db_flexrf_1800_rx_mimo_a::_refclk_divisor()
+{
+  return 16;
+}
+    
+db_flexrf_1800_tx_mimo_b::db_flexrf_1800_tx_mimo_b(usrp_basic_sptr usrp, int which)
+  : db_flexrf_1800_tx(usrp, which)
+{
+  d_common->R_DIV(16);
+}
+
+int 
+db_flexrf_1800_tx_mimo_b::_refclk_divisor()
+{
+  return 1;
+}
+
+db_flexrf_1800_rx_mimo_b::db_flexrf_1800_rx_mimo_b(usrp_basic_sptr usrp, int which)
+  : db_flexrf_1800_rx(usrp, which)
+{
+  d_common->R_DIV(16);
+}
+
+int 
+db_flexrf_1800_rx_mimo_b::_refclk_divisor()
+{
+  return 1;
+}
+
+db_flexrf_1200_tx_mimo_a::db_flexrf_1200_tx_mimo_a(usrp_basic_sptr usrp, int which)
+  : db_flexrf_1200_tx(usrp, which)
+{
+  _enable_refclk(true);
+  d_common->R_DIV(1);
+}
+
+int 
+db_flexrf_1200_tx_mimo_a::_refclk_divisor()
+{
+  return 16;
+}
+
+db_flexrf_1200_rx_mimo_a::db_flexrf_1200_rx_mimo_a(usrp_basic_sptr usrp, int which)
+  : db_flexrf_1200_rx(usrp, which)
+{
+  _enable_refclk(true);
+  d_common->R_DIV(1);
+}
+
+int 
+db_flexrf_1200_rx_mimo_a::_refclk_divisor()
+{
+  return 16;
+}
+    
+db_flexrf_1200_tx_mimo_b::db_flexrf_1200_tx_mimo_b(usrp_basic_sptr usrp, int which)
+  : db_flexrf_1200_tx(usrp, which)
+{
+  d_common->R_DIV(16);
+}
+
+int 
+db_flexrf_1200_tx_mimo_b::_refclk_divisor()
+{
+  return 1;
+}
+
+db_flexrf_1200_rx_mimo_b::db_flexrf_1200_rx_mimo_b(usrp_basic_sptr usrp, int which)
+  : db_flexrf_1200_rx(usrp, which)
+{
+  d_common->R_DIV(16);
+}
+
+int 
+db_flexrf_1200_rx_mimo_b::_refclk_divisor()
+{
+  return 1;
+}
+
+db_flexrf_900_tx_mimo_a::db_flexrf_900_tx_mimo_a(usrp_basic_sptr usrp, int which)
+  : db_flexrf_900_tx(usrp, which)
+{
+  _enable_refclk(true);
+  d_common->R_DIV(1);
+}
+
+int 
+db_flexrf_900_tx_mimo_a::_refclk_divisor()
+{
+  return 16;
+}
+
+db_flexrf_900_rx_mimo_a::db_flexrf_900_rx_mimo_a(usrp_basic_sptr usrp, int which)
+  : db_flexrf_900_rx(usrp, which)
+{
+  _enable_refclk(true);
+  d_common->R_DIV(1);
+}
+
+int 
+db_flexrf_900_rx_mimo_a::_refclk_divisor()
+{
+  return 16;
+}
+    
+db_flexrf_900_tx_mimo_b::db_flexrf_900_tx_mimo_b(usrp_basic_sptr usrp, int which)
+  : db_flexrf_900_tx(usrp, which)
+{
+  d_common->R_DIV(16);
+}
+
+int 
+db_flexrf_900_tx_mimo_b::_refclk_divisor()
+{
+  return 1;
+}
+
+db_flexrf_900_rx_mimo_b::db_flexrf_900_rx_mimo_b(usrp_basic_sptr usrp, int which)
+  : db_flexrf_900_rx(usrp, which)
+{
+  d_common->R_DIV(16);
+}
+
+int db_flexrf_900_rx_mimo_b::_refclk_divisor()
+{
+  return 1;
+}
+
+db_flexrf_400_tx_mimo_a::db_flexrf_400_tx_mimo_a(usrp_basic_sptr usrp, int which)
+  : db_flexrf_400_tx(usrp, which)
+{
+  _enable_refclk(true);
+  d_common->R_DIV(1);
+}
+
+int 
+db_flexrf_400_tx_mimo_a::_refclk_divisor()
+{
+  return 16;
+}
+    
+db_flexrf_400_rx_mimo_a::db_flexrf_400_rx_mimo_a(usrp_basic_sptr usrp, int which)
+  : db_flexrf_400_rx(usrp, which)
+{
+  _enable_refclk(true);
+  d_common->R_DIV(1);
+}
+
+int 
+db_flexrf_400_rx_mimo_a::_refclk_divisor()
+{
+  return 16;
+}
+    
+db_flexrf_400_tx_mimo_b::db_flexrf_400_tx_mimo_b(usrp_basic_sptr usrp, int which)
+  : db_flexrf_400_tx(usrp, which)
+{
+  d_common->R_DIV(16);
+}
+
+int 
+db_flexrf_400_tx_mimo_b::_refclk_divisor()
+{
+  return 1;
+}
+    
+db_flexrf_400_rx_mimo_b::db_flexrf_400_rx_mimo_b(usrp_basic_sptr usrp, int which)
+  : db_flexrf_400_rx(usrp, which)
+{
+  d_common->R_DIV(16);
+}
+
+int 
+db_flexrf_400_rx_mimo_b::_refclk_divisor()
+{
+  return 1;
+}
diff --git a/usrp/host/lib/legacy/db_flexrf_mimo.h b/usrp/host/lib/legacy/db_flexrf_mimo.h
new file mode 100644 (file)
index 0000000..aeff532
--- /dev/null
@@ -0,0 +1,163 @@
+/*
+ * Copyright 2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */ 
+
+#include <db_flexrf.h>
+
+class db_flexrf_2400_tx_mimo_a : public db_flexrf_2400_tx
+{
+ public:
+  db_flexrf_2400_tx_mimo_a(usrp_basic_sptr usrp, int which);
+  int _refclk_divisor();
+};
+
+class db_flexrf_2400_rx_mimo_a : public db_flexrf_2400_rx
+{
+ public:
+  db_flexrf_2400_rx_mimo_a(usrp_basic_sptr usrp, int which);
+  int _refclk_divisor();
+};
+      
+class db_flexrf_2400_tx_mimo_b : public db_flexrf_2400_tx
+{
+ public:
+  db_flexrf_2400_tx_mimo_b(usrp_basic_sptr usrp, int which);
+  int _refclk_divisor();
+};
+
+class db_flexrf_2400_rx_mimo_b : public db_flexrf_2400_rx
+{
+ public:
+  db_flexrf_2400_rx_mimo_b(usrp_basic_sptr usrp, int which);
+  int _refclk_divisor();
+};
+
+
+class db_flexrf_1800_tx_mimo_a : public db_flexrf_1800_tx
+{
+ public:
+  db_flexrf_1800_tx_mimo_a(usrp_basic_sptr usrp, int which);
+  int _refclk_divisor();
+};
+
+class db_flexrf_1800_rx_mimo_a : public db_flexrf_1800_rx
+{
+ public:
+  db_flexrf_1800_rx_mimo_a(usrp_basic_sptr usrp, int which);
+  int _refclk_divisor();
+};
+
+class db_flexrf_1800_tx_mimo_b : public db_flexrf_1800_tx
+{
+ public:
+  db_flexrf_1800_tx_mimo_b(usrp_basic_sptr usrp, int which);
+  int _refclk_divisor();
+};
+
+class db_flexrf_1800_rx_mimo_b : public db_flexrf_1800_rx
+{
+ public:
+  db_flexrf_1800_rx_mimo_b(usrp_basic_sptr usrp, int which);
+  int _refclk_divisor();
+};
+
+class db_flexrf_1200_tx_mimo_a : public db_flexrf_1200_tx
+{
+ public:
+  db_flexrf_1200_tx_mimo_a(usrp_basic_sptr usrp, int which);
+  int _refclk_divisor();
+};
+
+class db_flexrf_1200_rx_mimo_a : public db_flexrf_1200_rx
+{
+ public:
+  db_flexrf_1200_rx_mimo_a(usrp_basic_sptr usrp, int which);
+  int _refclk_divisor();
+};
+
+class db_flexrf_1200_tx_mimo_b : public db_flexrf_1200_tx
+{
+ public:
+  db_flexrf_1200_tx_mimo_b(usrp_basic_sptr usrp, int which);
+  int _refclk_divisor();
+};
+
+class db_flexrf_1200_rx_mimo_b : public db_flexrf_1200_rx
+{
+ public:
+  db_flexrf_1200_rx_mimo_b(usrp_basic_sptr usrp, int which);
+  int _refclk_divisor();
+};
+
+class db_flexrf_900_tx_mimo_a : public db_flexrf_900_tx
+{
+ public:
+  db_flexrf_900_tx_mimo_a(usrp_basic_sptr usrp, int which);
+  int _refclk_divisor();
+};
+
+class db_flexrf_900_rx_mimo_a : public db_flexrf_900_rx
+{
+ public:
+  db_flexrf_900_rx_mimo_a(usrp_basic_sptr usrp, int which);
+  int _refclk_divisor();
+};
+
+class db_flexrf_900_tx_mimo_b : public db_flexrf_900_tx
+{
+ public:
+  db_flexrf_900_tx_mimo_b(usrp_basic_sptr usrp, int which);
+  int _refclk_divisor();
+};
+
+class db_flexrf_900_rx_mimo_b : public db_flexrf_900_rx
+{
+ public:
+  db_flexrf_900_rx_mimo_b(usrp_basic_sptr usrp, int which);
+  int _refclk_divisor();
+};
+
+class db_flexrf_400_tx_mimo_a : public db_flexrf_400_tx
+{
+ public:
+  db_flexrf_400_tx_mimo_a(usrp_basic_sptr usrp, int which);
+  int _refclk_divisor();
+};
+
+class db_flexrf_400_rx_mimo_a : public db_flexrf_400_rx
+{
+ public:
+  db_flexrf_400_rx_mimo_a(usrp_basic_sptr usrp, int which);
+  int _refclk_divisor();
+};
+
+class db_flexrf_400_tx_mimo_b : public db_flexrf_400_tx
+{
+ public:
+  db_flexrf_400_tx_mimo_b(usrp_basic_sptr usrp, int which);
+  int _refclk_divisor();
+};
+
+class db_flexrf_400_rx_mimo_b : public db_flexrf_400_rx
+{
+ public:
+  db_flexrf_400_rx_mimo_b(usrp_basic_sptr usrp, int which);
+  int _refclk_divisor();
+};
diff --git a/usrp/host/lib/legacy/db_tv_rx.cc b/usrp/host/lib/legacy/db_tv_rx.cc
new file mode 100644 (file)
index 0000000..803ebf8
--- /dev/null
@@ -0,0 +1,274 @@
+//
+// Copyright 2008 Free Software Foundation, Inc.
+// 
+// This file is part of GNU Radio
+// 
+// GNU Radio is free software; you can redistribute it and/or modify
+// it under the terms of the GNU General Public License as published by
+// the Free Software Foundation; either asversion 3, or (at your option)
+// any later version.
+// 
+// GNU Radio is distributed in the hope that it will be useful,
+// but WITHOUT ANY WARRANTY; without even the implied warranty of
+// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+// GNU General Public License for more details.
+// 
+// You should have received a copy of the GNU General Public License
+// along with GNU Radio; see the file COPYING.  If not, write to
+// the Free Software Foundation, Inc., 51 Franklin Street,
+// Boston, MA 02110-1301, USA.
+
+#include <db_tv_rx.h>
+#include <db_base_impl.h>
+
+/*****************************************************************************/
+
+int
+control_byte_1(bool fast_tuning_p, int reference_divisor)
+{
+  int c = 0x88;
+  if(fast_tuning_p) {
+    c |= 0x40;
+  }
+
+  if(reference_divisor == 512) {
+    c |= 0x3 << 1;
+  }
+  else if(reference_divisor == 640) {
+    c |= 0x0 << 1;
+  }
+  else if(reference_divisor == 1024) {
+    c |= 0x1 << 1;
+  }
+  else {
+    assert(0);
+  }
+
+  return c;
+}
+
+int
+control_byte_2(double target_freq, bool shutdown_tx_PGA)
+{
+  int c;
+  if(target_freq < 158e6) {        // VHF low
+    c = 0xa0;
+  }
+  else if(target_freq < 464e6) {   // VHF high
+    c = 0x90;
+  }
+  else {                           // UHF
+    c = 0x30;
+  }
+
+  if(shutdown_tx_PGA) {
+    c |= 0x08;
+  }
+
+  return c;
+}
+
+
+/*****************************************************************************/
+
+
+db_tv_rx::db_tv_rx(usrp_basic_sptr usrp, int which,
+                  double first_IF, double second_IF)
+  : db_base(usrp, which)
+{
+  // Handler for Tv Rx daughterboards.
+  // 
+  // @param usrp: instance of usrp.source_c
+  // @param which: which side: 0, 1 corresponding to RX_A or RX_B respectively
+
+  if(which == 0) {
+    d_i2c_addr = 0x60;
+  }
+  else {
+    d_i2c_addr = 0x61;
+  }
+
+  d_first_IF = first_IF;
+  d_second_IF = second_IF;
+  d_reference_divisor = 640;
+  d_fast_tuning = false;
+  d_inverted = false;                     // FIXME get rid of this
+  
+  set_gain((gain_min() + gain_max()) / 2.0);       // initialize gain
+
+  bypass_adc_buffers(false);
+}
+
+db_tv_rx::~db_tv_rx()
+{
+}
+
+// Gain setting
+void
+db_tv_rx::_set_rfagc(float gain)
+{
+  float voltage;
+
+  assert(gain <= 60 && gain >= 0);
+  // FIXME this has a 0.5V step between gain = 60 and gain = 59.
+  // Why are there two cases instead of a single linear case?
+  if(gain == 60) {
+    voltage = 4;
+  }
+  else {
+    voltage = gain/60.0 * 2.25 + 1.25;
+  }
+  int dacword = int(4096*voltage/1.22/3.3);    // 1.22 = opamp gain
+
+  assert(dacword>=0 && dacword<4096);
+  usrp()->write_aux_dac(d_which, 1, dacword);
+}
+
+void
+db_tv_rx::_set_ifagc(float gain)
+{
+  float voltage;
+
+  assert(gain <= 35 && gain >= 0);
+  voltage = gain/35.0 * 2.1 + 1.4;
+  int dacword = int(4096*voltage/1.22/3.3);    // 1.22 = opamp gain
+  
+  assert(dacword>=0 && dacword<4096);
+  usrp()->write_aux_dac(d_which, 0, dacword);
+}
+
+void
+db_tv_rx::_set_pga(float pga_gain)
+{
+  assert(pga_gain >=0 && pga_gain <=20);
+  if(d_which == 0) {
+    usrp()->set_pga(0, pga_gain);
+  }
+  else {
+    usrp()->set_pga (2, pga_gain);
+  }
+}           
+
+double
+db_tv_rx::freq_min()
+{
+  return 50e6;
+}
+
+double
+db_tv_rx::freq_max()
+{
+  return 860e6;
+}
+
+struct freq_result_t
+db_tv_rx::set_freq(double target_freq)
+{
+  // Set the frequency.
+  // 
+  // @param freq:  target RF frequency in Hz
+  // @type freq:   double
+  // 
+  // @returns (ok, actual_baseband_freq) where:
+  //   ok is True or False and indicates success or failure,
+  //   actual_baseband_freq is RF frequency that corresponds to DC in the IF.
+  
+  freq_result_t args = {false, 0};
+
+  double fmin = freq_min();
+  double fmax = freq_max();
+  if((target_freq < fmin) || (target_freq > fmax)) {
+    return args;
+  }
+  
+  double target_lo_freq = target_freq + d_first_IF;    // High side mixing
+  double f_ref = 4.0e6 / (double)(d_reference_divisor); // frequency steps
+
+  int divisor = int((target_lo_freq + (f_ref * 4)) / (f_ref * 8));  
+  double actual_lo_freq = (f_ref * 8 * divisor);
+  double actual_freq = actual_lo_freq - d_first_IF;
+
+  if((divisor & ~0x7fff) != 0) {               // must be 15-bits or less
+    return args;
+  }
+
+  // build i2c command string
+  std::vector<int> buf(4);
+  buf[0] = (divisor >> 8) & 0xff;         // DB1
+  buf[1] = divisor & 0xff;                // DB2
+  buf[2] = control_byte_1(d_fast_tuning, d_reference_divisor);
+  buf[3] = control_byte_2(actual_freq, true);
+
+  args.ok = usrp()->write_i2c(d_i2c_addr, int_seq_to_str (buf));
+  args.baseband_freq = actual_freq - d_second_IF;
+  return args;
+}
+
+float
+db_tv_rx::gain_min()
+{
+  return 0;
+}
+
+float
+db_tv_rx::gain_max()
+{
+  return 115;
+}
+
+float
+db_tv_rx::gain_db_per_step()
+{
+  return 1;
+}
+
+bool 
+db_tv_rx::set_gain(float gain)
+{
+  // Set the gain.
+  // 
+  // @param gain:  gain in decibels
+  // @returns True/False
+
+  float rfgain, ifgain, pgagain;
+
+  assert(gain>=0 && gain<=115);
+  if(gain>60) {
+    rfgain = 60;
+    gain = gain - 60;
+  }
+  else {
+    rfgain = gain;
+    gain = 0;
+  }
+   
+  if(gain > 35) {
+    ifgain = 35;
+    gain = gain - 35;
+  }
+  else {
+    ifgain = gain;
+    gain = 0;
+  }
+
+  pgagain = gain;
+  _set_rfagc(rfgain);
+  _set_ifagc(ifgain);
+  _set_pga(pgagain);
+
+  return true;
+}
+
+bool 
+db_tv_rx::is_quadrature()
+{
+  // Return True if this board requires both I & Q analog channels.  
+  return false;
+}
+
+bool
+db_tv_rx::spectrum_inverted() 
+{
+  // The 43.75 MHz version is inverted
+  return d_inverted;
+}
diff --git a/usrp/host/lib/legacy/db_tv_rx.h b/usrp/host/lib/legacy/db_tv_rx.h
new file mode 100644 (file)
index 0000000..ed91626
--- /dev/null
@@ -0,0 +1,56 @@
+/* -*- c++ -*- */
+//
+// Copyright 2008 Free Software Foundation, Inc.
+// 
+// This file is part of GNU Radio
+// 
+// GNU Radio is free software; you can redistribute it and/or modify
+// it under the terms of the GNU General Public License as published by
+// the Free Software Foundation; either asversion 3, or (at your option)
+// any later version.
+// 
+// GNU Radio is distributed in the hope that it will be useful,
+// but WITHOUT ANY WARRANTY; without even the implied warranty of
+// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+// GNU General Public License for more details.
+// 
+// You should have received a copy of the GNU General Public License
+// along with GNU Radio; see the file COPYING.  If not, write to
+// the Free Software Foundation, Inc., 51 Franklin Street,
+// Boston, MA 02110-1301, USA.
+
+#ifndef DB_TV_RX_H
+#define DB_TV_RX_H
+
+#include <db_base.h>
+
+class db_tv_rx : public db_base
+{
+private:
+  void _set_rfagc(float gain);
+  void _set_ifagc(float gain);
+  void _set_pga(float pga_gain);
+
+  int d_i2c_addr;
+  double d_first_IF, d_second_IF;
+  int d_reference_divisor;
+  bool d_fast_tuning;
+  bool d_inverted;
+
+public:
+  db_tv_rx(usrp_basic_sptr usrp, int which, 
+          double first_IF, double second_IF);
+  ~db_tv_rx();
+
+  float gain_min();
+  float gain_max();
+  float gain_db_per_step();
+  double freq_min();
+  double freq_max();
+  struct freq_result_t set_freq(double target_freq);
+  bool  set_gain(float gain);
+  bool  is_quadrature();
+  bool  spectrum_inverted();
+};
+
+#endif
diff --git a/usrp/host/lib/legacy/db_util.cc b/usrp/host/lib/legacy/db_util.cc
new file mode 100644 (file)
index 0000000..4b46383
--- /dev/null
@@ -0,0 +1,54 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+#include <db_util.h>
+#include <sstream>
+
+std::string
+int_seq_to_str(std::vector<int> &seq)
+{
+  //convert a sequence of integers into a string
+
+  std::stringstream str; 
+  std::vector<int>::iterator i;
+  for(i = seq.begin(); i != seq.end(); i++) {
+    str << char((unsigned int)*i);
+  }
+  return str.str();
+}
+
+std::vector<int> 
+str_to_int_seq(std::string str)
+{
+  //convert a string to a list of integers
+  std::vector<int> seq;
+  std::vector<int>::iterator sitr;
+  std::string::iterator i;
+  for(i=str.begin(); i != str.end(); i++) {
+    int a = (int)(*i);
+    seq.push_back(a);
+  }
+  return seq;
+}
+
diff --git a/usrp/host/lib/legacy/db_util.h b/usrp/host/lib/legacy/db_util.h
new file mode 100644 (file)
index 0000000..e07abb6
--- /dev/null
@@ -0,0 +1,31 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+
+#ifndef INCLUDED_DB_UTIL_H
+#define INCLUDED_DB_UTIL_H
+
+#include <string>
+#include <vector>
+
+std::string int_seq_to_str(std::vector<int> &seq);
+std::vector<int> str_to_int_seq(std::string str);
+
+#endif /* INCLUDED_DB_UTIL_H */
diff --git a/usrp/host/lib/legacy/db_wbx.h b/usrp/host/lib/legacy/db_wbx.h
new file mode 100644 (file)
index 0000000..3202d36
--- /dev/null
@@ -0,0 +1,221 @@
+/* -*- c++ -*- */
+//
+// Copyright 2008 Free Software Foundation, Inc.
+// 
+// This file is part of GNU Radio
+// 
+// GNU Radio is free software; you can redistribute it and/or modify
+// it under the terms of the GNU General Public License as published by
+// the Free Software Foundation; either asversion 3, or (at your option)
+// any later version.
+// 
+// GNU Radio is distributed in the hope that it will be useful,
+// but WITHOUT ANY WARRANTY; without even the implied warranty of
+// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+// GNU General Public License for more details.
+// 
+// You should have received a copy of the GNU General Public License
+// along with GNU Radio; see the file COPYING.  If not, write to
+// the Free Software Foundation, Inc., 51 Franklin Street,
+// Boston, MA 02110-1301, USA.
+
+#ifndef DB_WBX_H
+#define DB_WBX_H
+
+#include <db_base.h>
+#include <boost/shared_ptr.hpp>
+
+
+/*
+  A few comments about the WBX boards:
+  They are half-duplex.  I.e., transmit and receive are mutually exclusive.
+  There is a single LO for both the Tx and Rx sides.
+  The the shared control signals are hung off of the Rx side.
+  The shared io controls are duplexed onto the Rx side pins.
+  The wbx_high d'board always needs to be in 'auto_tr_mode'
+*/
+
+
+class wbx_base : public db_base
+{
+protected:
+  void shutdown();
+
+  /*
+   * Abstract base class for all wbx boards.
+   * 
+   * Derive board specific subclasses from db_wbx_base_{tx,rx}
+   */
+
+public:
+  wbx_base(usrp_basic_sptr usrp, int which);
+  ~wbx_base();
+  
+  struct freq_result_t set_freq(double freq);
+  float gain_min();
+  float gain_max();
+  float gain_db_per_step();
+  bool set_gain(float gain);  
+  bool is_quadrature();
+
+
+protected:
+  virtual bool _lock_detect();
+
+  // FIXME: After testing, replace these with usrp_basic::common_write_io/oe
+  bool _tx_write_oe(int value, int mask);
+  bool _rx_write_oe(int value, int mask);
+  bool _tx_write_io(int value, int mask);
+  bool _rx_write_io(int value, int mask);
+  virtual bool _rx_read_io();
+  bool _tx_read_io();
+  bool  _compute_regs(double freq);
+  virtual double _refclk_freq();
+  int _refclk_divisor();
+
+  bool _set_pga(float pga_gain);
+
+  bool d_first;
+  int d_spi_format;
+  int d_spi_enable;
+  double d_lo_offset;
+};
+
+
+/****************************************************************************/
+
+
+class wbx_base_tx : public wbx_base
+{
+public:
+  wbx_base_tx(usrp_basic_sptr usrp, int which);
+  ~wbx_base_tx();
+
+  bool set_auto_tr(bool on);
+  bool set_enable(bool on);
+};
+
+
+/****************************************************************************/
+
+
+class wbx_base_rx : public wbx_base
+{
+public:
+  wbx_base_rx(usrp_basic_sptr usrp, int which);
+  ~wbx_base_rx();
+  
+  bool set_auto_tr(bool on);
+  bool select_rx_antenna(int which_antenna);
+  bool select_rx_antenna(const std::string &which_antenna);
+  bool set_gain(float gain);
+  bool i_and_q_swapped();
+};
+
+
+/****************************************************************************/
+
+
+class _ADF410X_common
+{
+public:
+  _ADF410X_common();
+  virtual ~_ADF410X_common();
+  
+  bool _compute_regs(double freq, int &retR, int &retcontrol,
+                    int &retN, double &retfreq);
+  void _write_all(int R, int N, int control);
+  void _write_R(int R);
+  void _write_N(int N);
+  void _write_func(int func);
+  void _write_init(int init);
+  int  _prescaler();
+  virtual void _write_it(int v);
+  virtual double _refclk_freq();
+  virtual bool _rx_write_io(int value, int mask);
+  virtual bool _lock_detect();
+
+protected:
+  virtual usrp_basic* usrp();
+
+  int d_R_RSV, d_LDP, d_TEST, d_ABP;
+  int d_N_RSV, d_CP_GAIN;
+  int d_P, d_PD2, d_CP2, d_CP1, d_TC, d_FL;
+  int d_CP3S, d_PDP, d_MUXOUT, d_PD1, d_CR;
+  int d_R_DIV, d_A_DIV, d_B_DIV;
+  int d_freq_mult;
+
+  int d_spi_format;
+  int d_spi_enable;
+};
+
+
+/****************************************************************************/
+
+
+class _lo_common : public _ADF410X_common
+{
+public:
+  _lo_common();
+  ~_lo_common();
+
+  double freq_min();
+  double freq_max();
+  
+  void set_divider(int main_or_aux, int divisor);
+  void set_divider(const std::string &main_or_aux, int divisor);
+
+  struct freq_result_t set_freq(double freq);
+
+protected:
+  int d_R_DIV, d_P, d_CP2, d_CP1;
+  int d_DIVSEL, d_DIV2, d_CPGAIN;
+  int d_div, d_aux_div, d_main_div;
+};
+        
+
+/****************************************************************************/
+
+
+class db_wbx_lo_tx : public _lo_common, public wbx_base_tx
+{
+public:
+  db_wbx_lo_tx(usrp_basic_sptr usrp, int which);
+  ~db_wbx_lo_tx();
+
+  float gain_min();
+  float gain_max();
+  float gain_db_per_step();
+  bool  set_gain(float gain);
+
+  double _refclk_freq();
+  bool _rx_write_io(int value, int mask);
+  bool _lock_detect();
+
+protected:
+  usrp_basic* usrp();
+};
+        
+
+/****************************************************************************/
+
+
+class db_wbx_lo_rx : public _lo_common, public  wbx_base_rx
+{
+public:
+  db_wbx_lo_rx(usrp_basic_sptr usrp, int which);
+  ~db_wbx_lo_rx();
+
+  float gain_min();
+  float gain_max();
+  float gain_db_per_step();
+
+  double _refclk_freq();
+  bool _rx_write_io(int value, int mask);
+  bool _lock_detect();
+
+protected:
+  usrp_basic* usrp();
+};
+
+#endif
diff --git a/usrp/host/lib/legacy/db_xcvr2450.cc b/usrp/host/lib/legacy/db_xcvr2450.cc
new file mode 100644 (file)
index 0000000..9ce3168
--- /dev/null
@@ -0,0 +1,791 @@
+//
+// Copyright 2008,2009 Free Software Foundation, Inc.
+// 
+// This file is part of GNU Radio
+// 
+// GNU Radio is free software; you can redistribute it and/or modify
+// it under the terms of the GNU General Public License as published by
+// the Free Software Foundation; either asversion 3, or (at your option)
+// any later version.
+// 
+// GNU Radio is distributed in the hope that it will be useful,
+// but WITHOUT ANY WARRANTY; without even the implied warranty of
+// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+// GNU General Public License for more details.
+// 
+// You should have received a copy of the GNU General Public License
+// along with GNU Radio; see the file COPYING.  If not, write to
+// the Free Software Foundation, Inc., 51 Franklin Street,
+// Boston, MA 02110-1301, USA.
+
+#include <db_xcvr2450.h>
+#include <db_base_impl.h>
+#include <cmath>
+#include <boost/thread.hpp>
+#include <boost/weak_ptr.hpp>
+#include <cstdio>
+
+#if 0
+#define LO_OFFSET 4.25e6
+#else
+#define LO_OFFSET 0
+#define NO_LO_OFFSET
+#endif
+
+
+/* ------------------------------------------------------------------------
+ *  A few comments about the XCVR2450:
+ *
+ * It is half-duplex.  I.e., transmit and receive are mutually exclusive.
+ * There is a single LO for both the Tx and Rx sides.
+ * For our purposes the board is always either receiving or transmitting.
+ *
+ * Each board is uniquely identified by the *USRP hardware* instance and side
+ * This dictionary holds a weak reference to existing board controller so it
+ * can be created or retrieved as needed.
+ */
+
+
+
+// TX IO Pins
+#define HB_PA_OFF      (1 << 15)    // 5GHz PA, 1 = off, 0 = on
+#define LB_PA_OFF      (1 << 14)    // 2.4GHz PA, 1 = off, 0 = on
+#define ANTSEL_TX1_RX2 (1 << 13)    // 1 = Ant 1 to TX, Ant 2 to RX
+#define ANTSEL_TX2_RX1 (1 << 12)    // 1 = Ant 2 to TX, Ant 1 to RX
+#define TX_EN          (1 << 11)    // 1 = TX on, 0 = TX off
+#define AD9515DIV      (1 << 4)     // 1 = Div  by 3, 0 = Div by 2
+
+#define TX_OE_MASK HB_PA_OFF|LB_PA_OFF|ANTSEL_TX1_RX2|ANTSEL_TX2_RX1|TX_EN|AD9515DIV
+#define TX_SAFE_IO HB_PA_OFF|LB_PA_OFF|ANTSEL_TX1_RX2|AD9515DIV
+
+// RX IO Pins
+#define LOCKDET (1 << 15)           // This is an INPUT!!!
+#define EN      (1 << 14)
+#define RX_EN   (1 << 13)           // 1 = RX on, 0 = RX off
+#define RX_HP   (1 << 12)
+#define RX_OE_MASK EN|RX_EN|RX_HP
+#define RX_SAFE_IO EN
+
+struct xcvr2450_key {
+  std::string serial_no;
+  int which;
+
+  bool operator==(const xcvr2450_key &x){
+    return x.serial_no ==serial_no && x.which == which;
+  }
+};
+
+class xcvr2450
+{
+private:
+  usrp_basic *d_raw_usrp;
+  int d_which;
+
+  bool d_is_shutdown;
+  int d_spi_format, d_spi_enable;
+  
+  int d_mimo, d_int_div, d_frac_div, d_highband, d_five_gig;
+  int d_cp_current, d_ref_div, d_rssi_hbw;
+  int d_txlpf_bw, d_rxlpf_bw, d_rxlpf_fine, d_rxvga_ser;
+  int d_rssi_range, d_rssi_mode, d_rssi_mux;
+  int d_rx_hp_pin, d_rx_hpf, d_rx_ant;
+  int d_tx_ant, d_txvga_ser, d_tx_driver_lin;
+  int d_tx_vga_lin, d_tx_upconv_lin, d_tx_bb_gain;
+  int d_pabias_delay, d_pabias, rx_rf_gain, rx_bb_gain, d_txgain;
+  int d_rx_rf_gain, d_rx_bb_gain;
+
+  int d_reg_standby, d_reg_int_divider, d_reg_frac_divider, d_reg_bandselpll;
+  int d_reg_cal, dsend_reg, d_reg_lpf, d_reg_rxrssi_ctrl, d_reg_txlin_gain;
+  int d_reg_pabias, d_reg_rxgain, d_reg_txgain;
+
+  int d_ad9515_div;
+
+  void _set_rfagc(float gain);
+  void _set_ifagc(float gain);
+  void _set_pga(float pga_gain);
+
+public:
+  usrp_basic *usrp(){
+    return d_raw_usrp;
+  }
+
+  xcvr2450(usrp_basic_sptr usrp, int which);
+  ~xcvr2450();
+  void shutdown();
+
+  void set_reg_standby();
+  
+  // Integer-Divider Ratio (3)
+  void set_reg_int_divider();
+  
+  // Fractional-Divider Ratio (4)
+  void set_reg_frac_divider();
+  
+  // Band Select and PLL (5)
+  void set_reg_bandselpll();
+  
+  // Calibration (6)
+  void set_reg_cal();
+
+  // Lowpass Filter (7)
+  void set_reg_lpf();
+  
+  // Rx Control/RSSI (8)
+  void set_reg_rxrssi_ctrl();
+  
+  // Tx Linearity/Baseband Gain (9)
+  void set_reg_txlin_gain();
+  
+  // PA Bias DAC (10)
+  void set_reg_pabias();
+  
+  // Rx Gain (11)
+  void set_reg_rxgain();
+  
+  // Tx Gain (12)
+  void set_reg_txgain();
+  
+  // Send register write to SPI
+  void send_reg(int v);
+
+  void set_gpio();
+  bool lock_detect();
+  bool set_rx_gain(float gain);
+  bool set_tx_gain(float gain);
+
+  struct freq_result_t set_freq(double target_freq);
+};
+
+
+/*****************************************************************************/
+
+
+xcvr2450::xcvr2450(usrp_basic_sptr _usrp, int which)
+  : d_raw_usrp(_usrp.get()), d_which(which), d_is_shutdown(false)
+{
+  // Handler for Tv Rx daughterboards.
+  // 
+  // @param usrp: instance of usrp.source_c
+  // @param which: which side: 0, 1 corresponding to RX_A or RX_B respectively
+
+  // Use MSB with no header
+  d_spi_format = SPI_FMT_MSB | SPI_FMT_HDR_0;
+
+  if(which == 0) {
+    d_spi_enable = SPI_ENABLE_RX_A;
+  }
+  else {
+    d_spi_enable = SPI_ENABLE_RX_B;
+  }
+
+  // Sane defaults
+  d_mimo = 1;          // 0 = OFF, 1 = ON
+  d_int_div = 192;     // 128 = min, 255 = max
+  d_frac_div = 0;      // 0 = min, 65535 = max
+  d_highband = 0;      // 0 = freq <= 5.4e9, 1 = freq > 5.4e9
+  d_five_gig = 0;      // 0 = freq <= 3.e9, 1 = freq > 3e9
+  d_cp_current = 1;    // 0 = 2mA, 1 = 4mA
+  d_ref_div = 1;       // 1 to 7
+  d_rssi_hbw = 0;      // 0 = 2 MHz, 1 = 6 MHz
+  d_txlpf_bw = 1;      // 1 = 12 MHz, 2 = 18 MHz, 3 = 24 MHz
+  d_rxlpf_bw = 1;      // 0 = 7.5 MHz, 1 = 9.5 MHz, 2 = 14 MHz, 3 = 18 MHz
+  d_rxlpf_fine = 2;    // 0 = 90%, 1 = 95%, 2 = 100%, 3 = 105%, 4 = 110%
+  d_rxvga_ser = 1;     // 0 = RXVGA controlled by B7:1, 1=controlled serially
+  d_rssi_range = 1;    // 0 = low range (datasheet typo), 1=high range (0.5V - 2.0V) 
+  d_rssi_mode = 1;     // 0 = enable follows RXHP, 1 = enabled
+  d_rssi_mux = 0;      // 0 = RSSI, 1 = TEMP
+  d_rx_hp_pin = 0;     // 0 = Fc set by rx_hpf, 1 = 600 KHz
+  d_rx_hpf = 0;        // 0 = 100Hz, 1 = 30KHz
+  d_rx_ant = 0;        // 0 = Ant. #1, 1 = Ant. #2
+  d_tx_ant = 0;        // 0 = Ant. #1, 1 = Ant. #2
+  d_txvga_ser = 1;     // 0 = TXVGA controlled by B6:1, 1=controlled serially
+  d_tx_driver_lin = 2; // 0=50% (worst linearity), 1=63%, 2=78%, 3=100% (best lin)
+  d_tx_vga_lin = 2;    // 0=50% (worst linearity), 1=63%, 2=78%, 3=100% (best lin)
+  d_tx_upconv_lin = 2; // 0=50% (worst linearity), 1=63%, 2=78%, 3=100% (best lin)
+  d_tx_bb_gain = 3;    // 0=maxgain-5dB, 1=max-3dB, 2=max-1.5dB, 3=max
+  d_pabias_delay = 15; // 0 = 0, 15 = 7uS
+  d_pabias = 0;        // 0 = 0 uA, 63 = 315uA
+  d_rx_rf_gain = 0;    // 0 = 0dB, 1 = 0dB, 2 = 15dB, 3 = 30dB
+  d_rx_bb_gain = 16;   // 0 = min, 31 = max (0 - 62 dB)
+
+  d_txgain = 63;       // 0 = min, 63 = max
+
+  // Initialize GPIO and ATR  
+  usrp()->common_write_io(C_TX, d_which, TX_SAFE_IO, TX_OE_MASK);
+  usrp()->_common_write_oe(C_TX, d_which, TX_OE_MASK, 0xffff);
+  usrp()->common_write_atr_txval(C_TX, d_which, TX_SAFE_IO);
+  usrp()->common_write_atr_rxval(C_TX, d_which, TX_SAFE_IO);
+  usrp()->common_write_atr_mask(C_TX, d_which, TX_OE_MASK);
+
+  usrp()->common_write_io(C_RX, d_which, RX_SAFE_IO, RX_OE_MASK);
+  usrp()->_common_write_oe(C_RX, d_which, RX_OE_MASK, 0xffff);
+  usrp()->common_write_atr_txval(C_RX, d_which, RX_SAFE_IO);
+  usrp()->common_write_atr_rxval(C_RX, d_which, RX_SAFE_IO);
+  usrp()->common_write_atr_mask(C_RX, d_which, RX_OE_MASK);
+
+  // Initialize chipset
+  // TODO: perform reset sequence to ensure power up defaults
+  set_reg_standby();
+  set_reg_bandselpll();
+  set_reg_cal();
+  set_reg_lpf();
+  set_reg_rxrssi_ctrl();
+  set_reg_txlin_gain();
+  set_reg_pabias();
+  set_reg_rxgain();
+  set_reg_txgain();
+  //FIXME: set_freq(2.45e9);
+}
+
+xcvr2450::~xcvr2450()
+{
+  //printf("xcvr2450::destructor\n");
+  shutdown();
+}
+
+void
+xcvr2450::shutdown()
+{
+  if (!d_is_shutdown){
+    d_is_shutdown = true;
+    usrp()->common_write_atr_txval(C_TX, d_which, TX_SAFE_IO);
+    usrp()->common_write_atr_rxval(C_TX, d_which, TX_SAFE_IO);
+    usrp()->common_write_atr_txval(C_RX, d_which, RX_SAFE_IO);
+    usrp()->common_write_atr_rxval(C_RX, d_which, RX_SAFE_IO);
+  }
+}
+
+
+void
+xcvr2450::set_reg_standby()
+{
+  d_reg_standby = ((d_mimo<<17) | 
+                  (1<<16)      | 
+                  (1<<6)       | 
+                  (1<<5)       | 
+                  (1<<4)       | 2);
+  send_reg(d_reg_standby);
+}
+
+void
+xcvr2450::set_reg_int_divider()
+{
+  d_reg_int_divider = (((d_frac_div & 0x03)<<16) | 
+                      (d_int_div<<4)            | 3);
+  send_reg(d_reg_int_divider);
+}
+
+void
+xcvr2450::set_reg_frac_divider()
+{
+  d_reg_frac_divider = ((d_frac_div & 0xfffc)<<2) | 4;
+  send_reg(d_reg_frac_divider);
+}
+        
+void
+xcvr2450::set_reg_bandselpll()
+{
+  d_reg_bandselpll = ((d_mimo<<17)      |
+                     (1<<16)           |
+                     (1<<15)           |
+                     (0<<11)           |
+                     (d_highband<<10)  |
+                     (d_cp_current<<9) |
+                     (d_ref_div<<5)    |
+                     (d_five_gig<<4)   | 5);
+  send_reg(d_reg_bandselpll);
+  d_reg_bandselpll = ((d_mimo<<17)      |
+                     (1<<16)           |
+                     (1<<15)           |
+                     (1<<11)           |
+                     (d_highband<<10)  |
+                     (d_cp_current<<9) |
+                     (d_ref_div<<5)    |
+                     (d_five_gig<<4)   | 5);
+  send_reg(d_reg_bandselpll);
+}
+     
+void
+xcvr2450::set_reg_cal()
+{
+  // FIXME do calibration
+  d_reg_cal = (1<<14)|6;
+  send_reg(d_reg_cal);
+}
+
+void
+xcvr2450::set_reg_lpf()
+{
+  d_reg_lpf = (
+            (d_rssi_hbw<<15)  |
+            (d_txlpf_bw<<10)  |
+            (d_rxlpf_bw<<9)   |
+            (d_rxlpf_fine<<4) | 7);
+  send_reg(d_reg_lpf);
+}
+
+void
+xcvr2450::set_reg_rxrssi_ctrl()
+{
+  d_reg_rxrssi_ctrl = ((d_rxvga_ser<<16)  |
+                      (d_rssi_range<<15) |
+                      (d_rssi_mode<<14)  |
+                      (d_rssi_mux<<12)   |
+                      (1<<9)             |
+                      (d_rx_hpf<<6)      |
+                      (1<<4)             | 8);
+  send_reg(d_reg_rxrssi_ctrl);
+}
+
+void
+xcvr2450::set_reg_txlin_gain()
+{
+  d_reg_txlin_gain = ((d_txvga_ser<<14)     |
+                     (d_tx_driver_lin<<12) |
+                     (d_tx_vga_lin<<10)    |
+                     (d_tx_upconv_lin<<6)  |
+                     (d_tx_bb_gain<<4)     | 9);
+  send_reg(d_reg_txlin_gain);
+}
+
+void
+xcvr2450::set_reg_pabias()
+{
+  d_reg_pabias = (
+                 (d_pabias_delay<<10) |
+                 (d_pabias<<4)        | 10);
+  send_reg(d_reg_pabias);
+}
+
+void
+xcvr2450::set_reg_rxgain()
+{
+  d_reg_rxgain = (
+                 (d_rx_rf_gain<<9) |
+                 (d_rx_bb_gain<<4) | 11);
+  send_reg(d_reg_rxgain);
+}
+
+void
+xcvr2450::set_reg_txgain()
+{
+  d_reg_txgain = (d_txgain<<4) | 12;
+  send_reg(d_reg_txgain);
+}
+
+void
+xcvr2450::send_reg(int v)
+{
+  // Send 24 bits, it keeps last 18 clocked in
+  char c[3];
+  c[0] = (char)((v >> 16) & 0xff);
+  c[1] = (char)((v >>  8) & 0xff);
+  c[2] = (char)((v & 0xff));
+  std::string s(c, 3);
+  
+  usrp()->_write_spi(0, d_spi_enable, d_spi_format, s);
+  //printf("xcvr2450: Setting reg %d to %X\n", (v&15), v);
+}
+
+// ----------------------------------------------------------------
+
+void
+xcvr2450::set_gpio()
+{
+  // We calculate four values:
+  //
+  // io_rx_while_rx: what to drive onto io_rx_* when receiving
+  // io_rx_while_tx: what to drive onto io_rx_* when transmitting
+  // io_tx_while_rx: what to drive onto io_tx_* when receiving
+  // io_tx_while_tx: what to drive onto io_tx_* when transmitting
+  //
+  // B1-B7 is ignored as gain is set serially for now.
+  
+  int rx_hp, tx_antsel, rx_antsel, tx_pa_sel;
+  if(d_rx_hp_pin)
+    rx_hp = RX_HP;
+  else
+    rx_hp = 0;
+  
+  if(d_tx_ant)
+    tx_antsel = ANTSEL_TX2_RX1;
+  else
+    tx_antsel = ANTSEL_TX1_RX2;
+
+  if(d_rx_ant)
+    rx_antsel = ANTSEL_TX2_RX1;
+  else
+    rx_antsel = ANTSEL_TX1_RX2;
+
+  if(d_five_gig)
+    tx_pa_sel = LB_PA_OFF;
+  else
+    tx_pa_sel = HB_PA_OFF;
+  // Reset GPIO and ATR
+  // FIXME: dont set io, oe, atr mask once basic code stops overriding our settings
+  usrp()->common_write_io(C_TX, d_which, TX_SAFE_IO, TX_OE_MASK);
+  usrp()->_common_write_oe(C_TX, d_which, TX_OE_MASK, 0xffff);
+  usrp()->common_write_atr_txval(C_TX, d_which, tx_pa_sel|tx_antsel|TX_EN|AD9515DIV);
+  usrp()->common_write_atr_rxval(C_TX, d_which, HB_PA_OFF|LB_PA_OFF|rx_antsel|AD9515DIV);
+  usrp()->common_write_atr_mask(C_TX, d_which, TX_OE_MASK);
+
+  usrp()->common_write_io(C_RX, d_which, RX_SAFE_IO, RX_OE_MASK);
+  usrp()->_common_write_oe(C_RX, d_which, RX_OE_MASK, 0xffff);
+  usrp()->common_write_atr_txval(C_RX, d_which, EN|rx_hp);
+  usrp()->common_write_atr_rxval(C_RX, d_which, EN|rx_hp|RX_EN);
+  usrp()->common_write_atr_mask(C_RX, d_which, RX_OE_MASK);
+
+  //printf("GPIO: RXRX=%04X RXTX=%04X TXRX=%04X TXTX=%04X\n",
+  //       io_rx_while_rx, io_rx_while_tx, io_tx_while_rx, io_tx_while_tx);
+}
+  
+
+struct freq_result_t
+xcvr2450::set_freq(double target_freq)
+{
+  struct freq_result_t args = {false, 0};
+
+  double scaler;
+
+  if(target_freq > 3e9) {
+    d_five_gig = 1;
+    d_ad9515_div = 3;
+    scaler = 4.0/5.0;
+  }
+  else {
+    d_five_gig = 0;
+    d_ad9515_div = 3;
+    scaler = 4.0/3.0;
+  }
+
+  if(target_freq > 5.408e9) {
+    d_highband = 1;
+  }
+  else {
+    d_highband = 0;
+  }
+
+  double vco_freq = target_freq*scaler;
+  double sys_clk = usrp()->fpga_master_clock_freq();  // Usually 64e6 
+  double ref_clk = sys_clk / d_ad9515_div;
+        
+  double phdet_freq = ref_clk/d_ref_div;
+  double div = vco_freq/phdet_freq;
+  d_int_div = int(floor(div));
+  d_frac_div = int((div-d_int_div)*65536.0);
+  // double actual_freq = phdet_freq*(d_int_div+(d_frac_div/65536.0))/scaler;
+  
+  //printf("RF=%f VCO=%f R=%d PHD=%f DIV=%3.5f I=%3d F=%5d ACT=%f\n",
+  //    target_freq, vco_freq, d_ref_div, phdet_freq,
+  //    div, d_int_div, d_frac_div, actual_freq);
+
+  set_gpio();
+  set_reg_int_divider();
+  set_reg_frac_divider();
+  set_reg_bandselpll();
+
+  args.ok = lock_detect();
+#ifdef NO_LO_OFFSET
+  args.baseband_freq = target_freq;
+#else
+  args.baseband_freq = actual_freq;
+#endif
+
+  if(!args.ok){
+    printf("Fail %f\n", target_freq);
+  }
+  return args;
+}
+
+bool
+xcvr2450::lock_detect()
+{
+  /*
+    @returns: the value of the VCO/PLL lock detect bit.
+    @rtype: 0 or 1
+  */
+  if(usrp()->common_read_io(C_RX, d_which) & LOCKDET) {
+    return true;
+  }
+  else {      // Give it a second chance
+    if(usrp()->common_read_io(C_RX, d_which) & LOCKDET)
+      return true;
+    else
+      return false;
+  }
+}
+
+bool
+xcvr2450::set_rx_gain(float gain)
+{
+  if(gain < 0.0) 
+    gain = 0.0;
+  if(gain > 92.0)
+    gain = 92.0;
+
+  // Split the gain between RF and baseband
+  // This is experimental, not prescribed
+  if(gain < 31.0) {
+    d_rx_rf_gain = 0;                     // 0 dB RF gain
+    rx_bb_gain = int(gain/2.0);
+  }
+  
+  if(gain >= 30.0 and gain < 60.5) {
+    d_rx_rf_gain = 2;                    // 15 dB RF gain
+    d_rx_bb_gain = int((gain-15.0)/2.0);
+  }
+  
+  if(gain >= 60.5) {
+    d_rx_rf_gain = 3;                     // 30.5 dB RF gain
+    d_rx_bb_gain = int((gain-30.5)/2.0);
+  }
+  
+  set_reg_rxgain();
+  
+  return true;
+}
+
+bool
+xcvr2450::set_tx_gain(float gain)
+{
+  if(gain < 0.0) {
+    gain = 0.0;
+  }
+  if(gain > 30.0) {
+    gain = 30.0;
+  }
+  
+  d_txgain = int((gain/30.0)*63);
+  set_reg_txgain();
+
+  return true;
+}
+
+
+/*****************************************************************************/
+
+
+struct xcvr2450_table_entry {
+  xcvr2450_key                         key;
+  boost::weak_ptr<xcvr2450>    value;
+
+  xcvr2450_table_entry(const xcvr2450_key &_key, boost::weak_ptr<xcvr2450> _value)
+    : key(_key), value(_value) {}
+};
+
+typedef std::vector<xcvr2450_table_entry> xcvr2450_table;
+
+static boost::mutex s_table_mutex;
+static xcvr2450_table s_table;
+
+static xcvr2450_sptr
+_get_or_make_xcvr2450(usrp_basic_sptr usrp, int which)
+{
+  xcvr2450_key key = {usrp->serial_number(), which};
+
+  boost::mutex::scoped_lock    guard(s_table_mutex);
+
+  for (xcvr2450_table::iterator p = s_table.begin(); p != s_table.end();){
+    if (p->value.expired())    // weak pointer is now dead
+      p = s_table.erase(p);    // erase it
+    else {
+      if (key == p->key){      // found it
+       return xcvr2450_sptr(p->value);
+      }
+      else                     
+       ++p;                    // keep looking
+    }
+  }
+
+  // We don't have the xcvr2450 we're looking for
+
+  // create a new one and stick it in the table.
+  xcvr2450_sptr r(new xcvr2450(usrp, which));
+  xcvr2450_table_entry t(key, r);
+  s_table.push_back(t);
+
+  return r;
+}
+
+
+/*****************************************************************************/
+
+
+db_xcvr2450_base::db_xcvr2450_base(usrp_basic_sptr usrp, int which)
+  : db_base(usrp, which)
+{
+  /*
+   * Abstract base class for all xcvr2450 boards.
+   * 
+   * Derive board specific subclasses from db_xcvr2450_base_{tx,rx}
+   *
+   * @param usrp: instance of usrp.source_c
+   * @param which: which side: 0 or 1 corresponding to side A or B respectively
+   * @type which: int
+   */
+  
+  d_xcvr = _get_or_make_xcvr2450(usrp, which);
+}
+
+db_xcvr2450_base::~db_xcvr2450_base()
+{
+}
+
+void
+db_xcvr2450_base::shutdown_common()
+{
+  // If the usrp_basic in the xcvr2450 is the same as the usrp_basic
+  // in the daughterboard, shutdown the xcvr now (when only one of Tx
+  // and Rx is open, this is always true).
+
+  if (d_xcvr->usrp() == usrp()){
+    //std::cerr << "db_xcvr2450_base::shutdown_common: same -> shutting down\n";
+    d_xcvr->shutdown();
+  }
+  else {
+    //std::cerr << "db_xcvr2450_base::shutdown_common: different -> ignoring\n";
+  }
+}
+
+struct freq_result_t
+db_xcvr2450_base::set_freq(double target_freq)
+{
+  /*
+   * @returns (ok, actual_baseband_freq) where:
+   * ok is True or False and indicates success or failure,
+   * actual_baseband_freq is the RF frequency that corresponds to DC in the IF.
+   */
+  return d_xcvr->set_freq(target_freq+d_lo_offset);
+}
+
+bool
+db_xcvr2450_base::is_quadrature()
+{
+  /*
+   * Return True if this board requires both I & Q analog channels.
+   *
+   * This bit of info is useful when setting up the USRP Rx mux register.
+   */
+   return true;
+}
+
+double
+db_xcvr2450_base::freq_min()
+{
+  return 2.4e9;
+}
+
+double
+db_xcvr2450_base::freq_max()
+{
+  return 6.0e9;
+}
+
+
+/******************************************************************************/
+
+
+db_xcvr2450_tx::db_xcvr2450_tx(usrp_basic_sptr usrp, int which)
+  : db_xcvr2450_base(usrp, which)
+{
+  set_lo_offset(LO_OFFSET);
+  //printf("db_xcvr2450_tx::db_xcvr2450_tx\n");
+}
+
+db_xcvr2450_tx::~db_xcvr2450_tx()
+{
+  shutdown();
+}
+
+void
+db_xcvr2450_tx::shutdown()
+{
+  if (!d_is_shutdown){
+    d_is_shutdown = true;
+    shutdown_common();
+  }
+}
+
+float
+db_xcvr2450_tx::gain_min()
+{
+  return 0;
+}
+
+float
+db_xcvr2450_tx::gain_max()
+{
+  return 30;
+}
+
+float
+db_xcvr2450_tx::gain_db_per_step()
+{
+  return (30.0/63.0);
+}
+
+bool
+db_xcvr2450_tx::set_gain(float gain)
+{
+  return d_xcvr->set_tx_gain(gain);
+}
+
+bool
+db_xcvr2450_tx::i_and_q_swapped()
+{
+  return true;
+}
+
+
+/******************************************************************************/
+
+
+db_xcvr2450_rx::db_xcvr2450_rx(usrp_basic_sptr usrp, int which)
+  : db_xcvr2450_base(usrp, which)
+{
+  /*
+   * @param usrp: instance of usrp.source_c
+   * @param which: 0 or 1 corresponding to side RX_A or RX_B respectively.
+   */
+  set_lo_offset(LO_OFFSET);
+  //printf("db_xcvr2450_rx:d_xcvr_2450_rx\n");
+}
+
+db_xcvr2450_rx::~db_xcvr2450_rx()
+{
+  shutdown();
+}
+
+void
+db_xcvr2450_rx::shutdown()
+{
+  if (!d_is_shutdown){
+    d_is_shutdown = true;
+    shutdown_common();
+  }
+}
+
+float
+db_xcvr2450_rx::gain_min()
+{
+  return 0.0;
+}
+
+float
+db_xcvr2450_rx::gain_max()
+{
+  return 92.0;
+}
+
+float
+db_xcvr2450_rx::gain_db_per_step()
+{
+  return 1;
+}
+
+bool
+db_xcvr2450_rx::set_gain(float gain)
+{
+  return d_xcvr->set_rx_gain(gain);
+}
diff --git a/usrp/host/lib/legacy/db_xcvr2450.h b/usrp/host/lib/legacy/db_xcvr2450.h
new file mode 100644 (file)
index 0000000..1f9dd7c
--- /dev/null
@@ -0,0 +1,92 @@
+/* -*- c++ -*- */
+//
+// Copyright 2008,2009 Free Software Foundation, Inc.
+// 
+// This file is part of GNU Radio
+// 
+// GNU Radio is free software; you can redistribute it and/or modify
+// it under the terms of the GNU General Public License as published by
+// the Free Software Foundation; either asversion 3, or (at your option)
+// any later version.
+// 
+// GNU Radio is distributed in the hope that it will be useful,
+// but WITHOUT ANY WARRANTY; without even the implied warranty of
+// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+// GNU General Public License for more details.
+// 
+// You should have received a copy of the GNU General Public License
+// along with GNU Radio; see the file COPYING.  If not, write to
+// the Free Software Foundation, Inc., 51 Franklin Street,
+// Boston, MA 02110-1301, USA.
+
+#ifndef DB_XCVR2450_H
+#define DB_XCVR2450_H
+
+#include <db_base.h>
+#include <boost/shared_ptr.hpp>
+
+class xcvr2450;
+typedef boost::shared_ptr<xcvr2450> xcvr2450_sptr;
+
+
+/******************************************************************************/
+
+
+class db_xcvr2450_base: public db_base
+{
+  /*
+   * Abstract base class for all xcvr2450 boards.
+   * 
+   * Derive board specific subclasses from db_xcvr2450_base_{tx,rx}
+   */
+public:
+  db_xcvr2450_base(usrp_basic_sptr usrp, int which);
+  ~db_xcvr2450_base();
+  struct freq_result_t set_freq(double target_freq);
+  bool is_quadrature();
+  double freq_min();
+  double freq_max();
+
+protected:
+  xcvr2450_sptr d_xcvr;
+  void shutdown_common();
+};
+
+
+/******************************************************************************/
+
+
+class db_xcvr2450_tx : public db_xcvr2450_base
+{
+protected:
+  void shutdown();
+
+public:
+  db_xcvr2450_tx(usrp_basic_sptr usrp, int which);
+  ~db_xcvr2450_tx();
+
+  float gain_min();
+  float gain_max();
+  float gain_db_per_step();
+  bool  set_gain(float gain);
+  bool  i_and_q_swapped();
+};
+
+class db_xcvr2450_rx : public db_xcvr2450_base
+{
+protected:
+  void shutdown();
+
+public:
+  db_xcvr2450_rx(usrp_basic_sptr usrp, int which);
+  ~db_xcvr2450_rx();
+
+  float gain_min();
+  float gain_max();
+  float gain_db_per_step();
+  bool  set_gain(float gain);
+};
+
+
+
+#endif
diff --git a/usrp/host/lib/legacy/dump_data.py b/usrp/host/lib/legacy/dump_data.py
new file mode 100755 (executable)
index 0000000..034586d
--- /dev/null
@@ -0,0 +1,40 @@
+#!/usr/bin/env python
+#
+# Copyright 2003 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+
+import sys
+import struct
+
+fin = sys.stdin
+
+count = 0
+
+while 1:
+    s = fin.read(2)
+    if not s or len(s) != 2:
+        break
+
+    v, = struct.unpack ('H', s)
+    iv = int(v) & 0xffff
+    print "%8d  %6d  0x%04x" % (count, iv, iv)
+    count += 1
+    
+
+
diff --git a/usrp/host/lib/legacy/fusb.cc b/usrp/host/lib/legacy/fusb.cc
new file mode 100644 (file)
index 0000000..6e4358f
--- /dev/null
@@ -0,0 +1,60 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2003 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <fusb.h>
+
+
+// ------------------------------------------------------------------------
+//                          device handle
+// ------------------------------------------------------------------------
+
+fusb_devhandle::fusb_devhandle (usb_dev_handle *udh)
+  : d_udh (udh)
+{
+  // that's it
+};
+
+fusb_devhandle::~fusb_devhandle ()
+{
+  // nop
+}
+
+// ------------------------------------------------------------------------
+//                          end point handle
+// ------------------------------------------------------------------------
+
+fusb_ephandle::fusb_ephandle (int endpoint, bool input_p,
+                             int block_size, int nblocks)
+  : d_endpoint (endpoint), d_input_p (input_p),
+    d_block_size (block_size), d_nblocks (nblocks), d_started (false)
+{
+  // that't it
+}
+
+fusb_ephandle::~fusb_ephandle ()
+{
+  // nop
+}
diff --git a/usrp/host/lib/legacy/fusb.h b/usrp/host/lib/legacy/fusb.h
new file mode 100644 (file)
index 0000000..769e51c
--- /dev/null
@@ -0,0 +1,138 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2003 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// Fast USB interface
+
+#ifndef _FUSB_H_
+#define _FUSB_H_
+
+
+struct  usb_dev_handle;
+class   fusb_ephandle;
+
+/*!
+ * \brief abstract usb device handle
+ */
+class fusb_devhandle {
+private:
+  // NOT IMPLEMENTED
+  fusb_devhandle (const fusb_devhandle &rhs);            // no copy constructor
+  fusb_devhandle &operator= (const fusb_devhandle &rhs);  // no assignment operator
+
+protected:
+  usb_dev_handle               *d_udh;
+
+public:
+  // CREATORS
+  fusb_devhandle (usb_dev_handle *udh);
+  virtual ~fusb_devhandle ();
+
+  // MANIPULATORS
+  
+  /*!
+   * \brief return an ephandle of the correct subtype
+   */
+  virtual fusb_ephandle *make_ephandle (int endpoint, bool input_p,
+                                       int block_size = 0, int nblocks = 0) = 0;
+  
+  // ACCESSORS
+  usb_dev_handle *get_usb_dev_handle () const { return d_udh; }
+};
+
+
+/*!
+ * \brief abstract usb end point handle
+ */
+class fusb_ephandle {
+private:
+  // NOT IMPLEMENTED
+  fusb_ephandle (const fusb_ephandle &rhs);            // no copy constructor
+  fusb_ephandle &operator= (const fusb_ephandle &rhs);  // no assignment operator
+
+protected:
+  int                          d_endpoint;
+  bool                         d_input_p;
+  int                          d_block_size;
+  int                          d_nblocks;
+  bool                         d_started;
+
+public:
+  fusb_ephandle (int endpoint, bool input_p,
+                int block_size = 0, int nblocks = 0);
+  virtual ~fusb_ephandle ();
+
+  virtual bool start () = 0;   //!< begin streaming i/o
+  virtual bool stop () = 0;    //!< stop streaming i/o
+
+  /*!
+   * \returns \p nbytes if write was successfully enqueued, else -1.
+   * Will block if no free buffers available.
+   */
+  virtual int write (const void *buffer, int nbytes) = 0;
+
+  /*!
+   * \returns number of bytes read or -1 if error.
+   * number of bytes read will be <= nbytes.
+   * Will block if no input available.
+   */
+  virtual int read (void *buffer, int nbytes) = 0;
+
+  /*
+   * block until all outstanding writes have completed
+   */
+  virtual void wait_for_completion () = 0;
+
+  /*!
+   * \brief returns current block size.
+   */
+  int block_size () { return d_block_size; };
+};
+
+
+/*!
+ * \brief factory for creating concrete instances of the appropriate subtype.
+ */
+class fusb_sysconfig {
+public:
+  /*!
+   * \brief returns fusb_devhandle or throws if trouble
+   */
+  static fusb_devhandle *make_devhandle (usb_dev_handle *udh);
+
+  /*!
+   * \brief Returns max block size in bytes (hard limit).
+   */
+  static int max_block_size ();
+
+  /*!
+   * \brief Returns default block size in bytes.
+   */
+  static int default_block_size ();
+
+  /*!
+   * \brief Returns the default buffer size in bytes.
+   */
+  static int default_buffer_size ();
+
+};
+
+#endif /* _FUSB_H_ */
diff --git a/usrp/host/lib/legacy/fusb_darwin.cc b/usrp/host/lib/legacy/fusb_darwin.cc
new file mode 100644 (file)
index 0000000..737387b
--- /dev/null
@@ -0,0 +1,572 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio.
+ *
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+// tell mld_threads to NOT use omni_threads,
+// but rather Darwin's pthreads
+#define _USE_OMNI_THREADS_
+#define DO_DEBUG 0
+
+#include <usb.h>
+#include "fusb.h"
+#include "fusb_darwin.h"
+#include "darwin_libusb.h"
+
+static const int USB_TIMEOUT = 100;    // in milliseconds
+static const UInt8 NUM_QUEUE_ITEMS = 20;
+
+fusb_devhandle_darwin::fusb_devhandle_darwin (usb_dev_handle* udh)
+  : fusb_devhandle (udh)
+{
+  // that's it
+}
+
+fusb_devhandle_darwin::~fusb_devhandle_darwin ()
+{
+  // nop
+}
+
+fusb_ephandle*
+fusb_devhandle_darwin::make_ephandle (int endpoint, bool input_p,
+                                     int block_size, int nblocks)
+{
+  return new fusb_ephandle_darwin (this, endpoint, input_p,
+                                  block_size, nblocks);
+}
+
+// ----------------------------------------------------------------
+
+fusb_ephandle_darwin::fusb_ephandle_darwin (fusb_devhandle_darwin* dh,
+                                           int endpoint, bool input_p,
+                                           int block_size, int nblocks)
+  : fusb_ephandle (endpoint, input_p, block_size, nblocks),
+    d_devhandle (dh), d_pipeRef (0), d_transferType (0),
+    d_interfaceRef (0),  d_interface (0), d_queue (0),
+    d_buffer (0), d_bufLenBytes (0)
+{
+  d_bufLenBytes = fusb_sysconfig::max_block_size();
+
+// create circular buffer
+  d_buffer = new circular_buffer<char> (NUM_QUEUE_ITEMS * d_bufLenBytes,
+                                       !d_input_p, d_input_p);
+
+// create the queue
+  d_queue = new circular_linked_list <s_buffer_ptr> (NUM_QUEUE_ITEMS);
+  d_queue->iterate_start ();
+  s_node_ptr l_node = d_queue->iterate_next ();
+  while (l_node) {
+    l_node->both (new s_both<s_buffer_ptr> (l_node, this));
+    s_buffer_ptr l_buf = new s_buffer (d_bufLenBytes);
+    l_node->object (l_buf);
+    l_node = d_queue->iterate_next ();
+    l_buf = NULL;
+  }
+
+  d_readRunning = new mld_mutex ();
+  d_runThreadRunning = new mld_mutex ();
+  d_runBlock = new mld_condition ();
+  d_readBlock = new mld_condition ();
+}
+
+fusb_ephandle_darwin::~fusb_ephandle_darwin ()
+{
+  stop ();
+
+  d_queue->iterate_start ();
+  s_node_ptr l_node = d_queue->iterate_next ();
+  while (l_node) {
+    s_both_ptr l_both = l_node->both ();
+    delete l_both;
+    l_both = NULL;
+    l_node->both (NULL);
+    s_buffer_ptr l_buf = l_node->object ();
+    delete l_buf;
+    l_buf = NULL;
+    l_node->object (NULL);
+    l_node = d_queue->iterate_next ();
+  }
+  delete d_queue;
+  d_queue = NULL;
+  delete d_buffer;
+  d_buffer = NULL;
+  delete d_readRunning;
+  d_readRunning = NULL;
+  delete d_runThreadRunning;
+  d_runThreadRunning = NULL;
+  delete d_runBlock;
+  d_runBlock = NULL;
+  delete d_readBlock;
+  d_readBlock = NULL;
+}
+
+bool
+fusb_ephandle_darwin::start ()
+{
+  UInt8  direction, number, interval;
+  UInt16 maxPacketSize;
+
+// reset circular buffer
+  d_buffer->reset ();
+
+// reset the queue
+  d_queue->num_used (0);
+  d_queue->iterate_start ();
+  s_node_ptr l_node = d_queue->iterate_next ();
+  while (l_node) {
+    l_node->both()->set (l_node, this);
+    l_node->object()->reset ();
+    l_node->set_available ();
+    l_node = d_queue->iterate_next ();
+  }
+
+  d_pipeRef = d_transferType = 0;
+
+  usb_dev_handle* dev = d_devhandle->get_usb_dev_handle ();
+  if (! dev)
+    USB_ERROR_STR (false, -ENXIO, "fusb_ephandle_darwin::start: "
+                  "null device");
+
+  darwin_dev_handle* device = (darwin_dev_handle*) dev->impl_info;
+  if (! device)
+    USB_ERROR_STR (false, -ENOENT, "fusb_ephandle_darwin::start: "
+                  "device not initialized");
+
+  if (usb_debug)
+    fprintf (stderr, "fusb_ephandle_darwin::start: "
+            "dev = %p, device = %p\n", dev, device);
+
+  d_interfaceRef = device->interface;
+  if (! d_interfaceRef)
+    USB_ERROR_STR (false, -EACCES, "fusb_ephandle_darwin::start: "
+                  "interface used without being claimed");
+  d_interface = *d_interfaceRef;
+
+// get read or write pipe info (depends on "d_input_p")
+
+  if (usb_debug > 3)
+    fprintf (stderr, "fusb_ephandle_darwin::start "
+            "d_endpoint = %d, d_input_p = %s\n",
+            d_endpoint, d_input_p ? "TRUE" : "FALSE");
+
+  int l_endpoint = (d_input_p ? USB_ENDPOINT_IN : USB_ENDPOINT_OUT);
+  int pipeRef = ep_to_pipeRef (device, d_endpoint | l_endpoint);
+  if (pipeRef < 0)
+    USB_ERROR_STR (false, -EINVAL, "fusb_ephandle_darwin::start "
+                  " invalid pipeRef.\n");
+
+  d_pipeRef = pipeRef;
+  d_interface->GetPipeProperties (d_interfaceRef,
+                                 d_pipeRef,
+                                 &direction,
+                                 &number,
+                                 &d_transferType,
+                                 &maxPacketSize,
+                                 &interval);
+  if (usb_debug == 3)
+    fprintf (stderr, "fusb_ephandle_darwin::start: %s: ep = 0x%02x, "
+            "pipeRef = %d, d_i = %p, d_iR = %p, if_dir = %d, if_# = %d, "
+            "if_int = %d, if_maxPS = %d\n", d_input_p ? "read" : "write",
+            d_endpoint, d_pipeRef, d_interface, d_interfaceRef, direction,
+            number, interval, maxPacketSize);
+
+  // set global start boolean
+  d_started = true;
+
+  // lock the runBlock mutex, before creating the run thread.
+  // this guarantees that we can control execution between these 2 threads
+  d_runBlock->mutex ()->lock ();
+
+  // create the run thread, which allows OSX to process I/O separately
+  d_runThread = new mld_thread (run_thread, this);
+
+  // wait until the run thread (and possibky read thread) are -really-
+  // going; this will unlock the mutex before waiting for a signal ()
+  d_runBlock->wait ();
+
+  if (usb_debug)
+    fprintf (stderr, "fusb_ephandle_darwin::start: %s started.\n",
+            d_input_p ? "read" : "write");
+
+  return (true);
+}
+
+void
+fusb_ephandle_darwin::run_thread (void* arg)
+{
+  fusb_ephandle_darwin* This = static_cast<fusb_ephandle_darwin*>(arg);
+
+  // lock the run thread running mutex; if ::stop() is called, it will
+  // first abort() the pipe then wait for the run thread to finish,
+  // via a lock() on this mutex
+  mld_mutex_ptr l_runThreadRunning = This->d_runThreadRunning;
+  l_runThreadRunning->lock ();
+
+  mld_mutex_ptr l_readRunning = This->d_readRunning;
+  mld_condition_ptr l_readBlock = This->d_readBlock;
+  mld_mutex_ptr l_readBlock_mutex = l_readBlock->mutex ();
+
+  bool l_input_p = This->d_input_p;
+
+  if (usb_debug)
+    fprintf (stderr, "fusb_ephandle_darwin::run_thread: "
+            "starting for %s.\n",
+            l_input_p ? "read" : "write");
+
+  usb_interface_t** l_interfaceRef = This->d_interfaceRef;
+  usb_interface_t* l_interface = This->d_interface;
+  CFRunLoopSourceRef l_cfSource;
+
+// create async run loop
+  l_interface->CreateInterfaceAsyncEventSource (l_interfaceRef, &l_cfSource);
+  CFRunLoopAddSource (CFRunLoopGetCurrent (), l_cfSource,
+                     kCFRunLoopDefaultMode);
+// get run loop reference, to allow other threads to stop
+  This->d_CFRunLoopRef = CFRunLoopGetCurrent ();
+
+  mld_thread_ptr l_rwThread = NULL;
+
+  if (l_input_p) {
+    // lock the readBlock mutex, before creating the read thread.
+    // this guarantees that we can control execution between these 2 threads
+    l_readBlock_mutex->lock ();
+    // create the read thread, which just issues all of the starting
+    // async read commands, then returns
+    l_rwThread = new mld_thread (read_thread, arg);
+    // wait until the the read thread is -really- going; this will
+    // unlock the read block mutex before waiting for a signal ()
+    l_readBlock->wait ();
+  }
+
+  // now signal the run condition to release and finish ::start().
+
+  // lock the runBlock mutex first; this will force waiting until the
+  // ->wait() command is issued in ::start()
+  mld_mutex_ptr l_run_block_mutex = This->d_runBlock->mutex ();
+  l_run_block_mutex->lock ();
+
+  // now that the lock is in place, signal the parent thread that
+  // things are running
+  This->d_runBlock->signal ();
+
+  // release the run_block mutex, just in case
+  l_run_block_mutex->unlock ();
+
+  // run the loop
+  CFRunLoopRun ();
+
+  if (l_input_p) {
+    // wait for read_thread () to finish, if needed
+    l_readRunning->lock ();
+    l_readRunning->unlock ();
+  }
+
+  // remove run loop stuff
+  CFRunLoopRemoveSource (CFRunLoopGetCurrent (),
+                        l_cfSource, kCFRunLoopDefaultMode);
+
+  if (usb_debug)
+    fprintf (stderr, "fusb_ephandle_darwin::run_thread: finished for %s.\n",
+            l_input_p ? "read" : "write");
+
+  // release the run thread running mutex
+  l_runThreadRunning->unlock ();
+}
+
+void
+fusb_ephandle_darwin::read_thread (void* arg)
+{
+  if (usb_debug)
+    fprintf (stderr, "fusb_ephandle_darwin::read_thread: starting.\n");
+
+  fusb_ephandle_darwin* This = static_cast<fusb_ephandle_darwin*>(arg);
+
+  // before doing anything else, lock the read running mutex.  this
+  // mutex does flow control between this thread and the run_thread
+  mld_mutex_ptr l_readRunning = This->d_readRunning;
+  l_readRunning->lock ();
+
+  // signal the read condition from run_thread() to continue
+
+  // lock the readBlock mutex first; this will force waiting until the
+  // ->wait() command is issued in ::run_thread()
+  mld_condition_ptr l_readBlock = This->d_readBlock;
+  mld_mutex_ptr l_read_block_mutex = l_readBlock->mutex ();
+  l_read_block_mutex->lock ();
+
+  // now that the lock is in place, signal the parent thread that
+  // things are running here
+  l_readBlock->signal ();
+
+  // release the run_block mutex, just in case
+  l_read_block_mutex->unlock ();
+
+  // queue up all of the available read requests
+  s_queue_ptr l_queue = This->d_queue;
+  l_queue->iterate_start ();
+  s_node_ptr l_node = l_queue->iterate_next ();
+  while (l_node) {
+    This->read_issue (l_node->both ());
+    l_node = l_queue->iterate_next ();
+  }
+
+  if (usb_debug)
+    fprintf (stderr, "fusb_ephandle_darwin::read_thread: finished.\n");
+
+  // release the read running mutex, to let the parent thread knows
+  // that this thread is finished
+  l_readRunning->unlock ();
+}
+
+void
+fusb_ephandle_darwin::read_issue (s_both_ptr l_both)
+{
+  if ((! l_both) || (! d_started)) {
+    if (usb_debug > 4)
+      fprintf (stderr, "fusb_ephandle_darwin::read_issue: Doing nothing; "
+              "l_both is %X; started is %s\n", (unsigned int) l_both,
+              d_started ? "TRUE" : "FALSE");
+    return;
+  }
+
+// set the node and buffer from the input "both"
+  s_node_ptr l_node = l_both->node ();
+  s_buffer_ptr l_buf = l_node->object ();
+  void* v_buffer = (void*) l_buf->buffer ();
+
+// read up to d_bufLenBytes
+  UInt32 bufLen = d_bufLenBytes;
+  l_buf->n_used (bufLen);
+
+// setup system call result
+  io_return_t result = kIOReturnSuccess;
+
+  if (d_transferType == kUSBInterrupt)
+/* This is an interrupt pipe. We can't specify a timeout. */
+    result = d_interface->ReadPipeAsync
+      (d_interfaceRef, d_pipeRef, v_buffer, bufLen,
+       (IOAsyncCallback1) read_completed, (void*) l_both);
+  else
+    result = d_interface->ReadPipeAsyncTO
+      (d_interfaceRef, d_pipeRef, v_buffer, bufLen, 0, USB_TIMEOUT,
+       (IOAsyncCallback1) read_completed, (void*) l_both);
+
+  if (result != kIOReturnSuccess)
+    USB_ERROR_STR_NO_RET (- darwin_to_errno (result),
+                         "fusb_ephandle_darwin::read_issue "
+                         "(ReadPipeAsync%s): %s",
+                         d_transferType == kUSBInterrupt ? "" : "TO",
+                         darwin_error_str (result));
+  else if (usb_debug > 4)
+    fprintf (stderr, "fusb_ephandle_darwin::read_issue: "
+            "Queued %X (%ld Bytes)\n", (unsigned int) l_both, bufLen);
+}
+
+void
+fusb_ephandle_darwin::read_completed (void* refCon,
+                                     io_return_t result,
+                                     void* io_size)
+{
+  UInt32 l_size = (UInt32) io_size;
+  s_both_ptr l_both = static_cast<s_both_ptr>(refCon);
+  fusb_ephandle_darwin* This = static_cast<fusb_ephandle_darwin*>(l_both->This ());
+  s_node_ptr l_node = l_both->node ();
+  circular_buffer<char>* l_buffer = This->d_buffer;
+  s_buffer_ptr l_buf = l_node->object ();
+  UInt32 l_i_size = l_buf->n_used ();
+
+  if (This->d_started && (l_i_size != l_size))
+    fprintf (stderr, "fusb_ephandle_darwin::read_completed: "
+            "Expected %ld bytes; read %ld.\n",
+            l_i_size, l_size);
+  else if (usb_debug > 4)
+    fprintf (stderr, "fusb_ephandle_darwin::read_completed: "
+            "Read %X (%ld bytes)\n",
+            (unsigned int) l_both, l_size);
+
+// add this read to the transfer buffer
+  if (l_buffer->enqueue (l_buf->buffer (), l_size) == -1) {
+    fputs ("iU", stderr);
+    fflush (stderr);
+  }
+
+// set buffer's # data to 0
+  l_buf->n_used (0);
+
+// issue another read for this "both"
+  This->read_issue (l_both);
+}
+
+int
+fusb_ephandle_darwin::read (void* buffer, int nbytes)
+{
+  UInt32 l_nbytes = (UInt32) nbytes;
+  d_buffer->dequeue ((char*) buffer, &l_nbytes);
+
+  if (usb_debug > 4)
+    fprintf (stderr, "fusb_ephandle_darwin::read: request for %d bytes, %ld bytes retrieved.\n", nbytes, l_nbytes);
+
+  return ((int) l_nbytes);
+}
+
+int
+fusb_ephandle_darwin::write (const void* buffer, int nbytes)
+{
+  UInt32 l_nbytes = (UInt32) nbytes;
+
+  if (! d_started) {
+    if (usb_debug)
+      fprintf (stderr, "fusb_ephandle_darwin::write: Not yet started.\n");
+
+    return (0);
+  }
+
+  while (l_nbytes != 0) {
+// find out how much data to copy; limited to "d_bufLenBytes" per node
+    UInt32 t_nbytes = (l_nbytes > d_bufLenBytes) ? d_bufLenBytes : l_nbytes;
+
+// get next available node to write into;
+// blocks internally if none available
+    s_node_ptr l_node = d_queue->find_next_available_node ();
+
+// copy the input into the node's buffer
+    s_buffer_ptr l_buf = l_node->object ();
+    l_buf->buffer ((char*) buffer, t_nbytes);
+    void* v_buffer = (void*) l_buf->buffer ();
+
+// setup callback parameter & system call return
+    s_both_ptr l_both = l_node->both ();
+    io_return_t result = kIOReturnSuccess;
+
+    if (d_transferType == kUSBInterrupt)
+/* This is an interrupt pipe ... can't specify a timeout. */
+      result = d_interface->WritePipeAsync
+       (d_interfaceRef, d_pipeRef, v_buffer, t_nbytes,
+        (IOAsyncCallback1) write_completed, (void*) l_both);
+    else
+      result = d_interface->WritePipeAsyncTO
+       (d_interfaceRef, d_pipeRef, v_buffer, t_nbytes, 0, USB_TIMEOUT,
+        (IOAsyncCallback1) write_completed, (void*) l_both);
+
+    if (result != kIOReturnSuccess)
+      USB_ERROR_STR (-1, - darwin_to_errno (result),
+                    "fusb_ephandle_darwin::write_thread "
+                    "(WritePipeAsync%s): %s",
+                    d_transferType == kUSBInterrupt ? "" : "TO",
+                    darwin_error_str (result));
+    else if (usb_debug > 4) {
+      fprintf (stderr, "fusb_ephandle_darwin::write_thread: "
+              "Queued %X (%ld Bytes)\n", (unsigned int) l_both, t_nbytes);
+    }
+    l_nbytes -= t_nbytes;
+  }
+
+  return (nbytes);
+}
+
+void
+fusb_ephandle_darwin::write_completed (void* refCon,
+                                      io_return_t result,
+                                      void* io_size)
+{
+  s_both_ptr l_both = static_cast<s_both_ptr>(refCon);
+  fusb_ephandle_darwin* This = static_cast<fusb_ephandle_darwin*>(l_both->This ());
+  UInt32 l_size = (UInt32) io_size;
+  s_node_ptr l_node = l_both->node ();
+  s_queue_ptr l_queue = This->d_queue;
+  s_buffer_ptr l_buf = l_node->object ();
+  UInt32 l_i_size = l_buf->n_used ();
+
+  if (This->d_started && (l_i_size != l_size))
+    fprintf (stderr, "fusb_ephandle_darwin::write_completed: "
+            "Expected %ld bytes written; wrote %ld.\n",
+            l_i_size, l_size);
+  else if (usb_debug > 4)
+    fprintf (stderr, "fusb_ephandle_darwin::write_completed: "
+            "Wrote %X (%ld Bytes)\n", (unsigned int) l_both, l_size);
+
+// set buffer's # data to 0
+  l_buf->n_used (0);
+// make the node available for reuse
+  l_queue->make_node_available (l_node);
+}
+
+void
+fusb_ephandle_darwin::abort ()
+{
+  if (usb_debug)
+    fprintf (stderr, "fusb_ephandle_darwin::abort: starting.\n");
+
+  io_return_t result = d_interface->AbortPipe (d_interfaceRef, d_pipeRef);
+
+  if (result != kIOReturnSuccess)
+    USB_ERROR_STR_NO_RET (- darwin_to_errno (result),
+                         "fusb_ephandle_darwin::abort "
+                         "(AbortPipe): %s", darwin_error_str (result));
+  if (usb_debug)
+    fprintf (stderr, "fusb_ephandle_darwin::abort: finished.\n");
+}
+
+bool
+fusb_ephandle_darwin::stop ()
+{
+  if (! d_started)
+    return (true);
+
+  if (usb_debug)
+    fprintf (stderr, "fusb_ephandle_darwin::stop: stopping %s.\n",
+            d_input_p ? "read" : "write");
+
+  d_started = false;
+
+// abort any pending IO transfers
+  abort ();
+
+// wait for write transfer to finish
+  wait_for_completion ();
+
+// tell IO buffer to abort any waiting conditions
+  d_buffer->abort ();
+
+// stop the run loop
+  CFRunLoopStop (d_CFRunLoopRef);
+
+// wait for the runThread to stop
+  d_runThreadRunning->lock ();
+  d_runThreadRunning->unlock ();
+
+  if (usb_debug)
+    fprintf (stderr, "fusb_ephandle_darwin::stop: %s stopped.\n",
+            d_input_p ? "read" : "write");
+
+  return (true);
+}
+
+void
+fusb_ephandle_darwin::wait_for_completion ()
+{
+  if (d_queue)
+    while (d_queue->in_use ())
+      usleep (1000);
+}
diff --git a/usrp/host/lib/legacy/fusb_darwin.h b/usrp/host/lib/legacy/fusb_darwin.h
new file mode 100644 (file)
index 0000000..bb717b5
--- /dev/null
@@ -0,0 +1,215 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio.
+ *
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifndef _FUSB_DARWIN_H_
+#define _FUSB_DARWIN_H_
+
+#include <usb.h>
+#include "fusb.h"
+#include <IOKit/IOCFBundle.h>
+#include <IOKit/IOCFPlugIn.h>
+#include <IOKit/usb/IOUSBLib.h>
+#include <IOKit/IOKitLib.h>
+#include "circular_linked_list.h"
+#include "circular_buffer.h"
+
+// for MacOS X 10.4.[0-3]
+#define usb_interface_t IOUSBInterfaceInterface220
+#define InterfaceInterfaceID kIOUSBInterfaceInterfaceID220
+#define InterfaceVersion 220
+
+// for MacOS X 10.3.[0-9] and 10.4.[0-3]
+#define usb_device_t    IOUSBDeviceInterface197
+#define DeviceInterfaceID kIOUSBDeviceInterfaceID197
+#define DeviceVersion 197
+
+extern "C" {
+typedef struct usb_dev_handle {
+  int fd;
+
+  struct usb_bus *bus;
+  struct usb_device *device;
+
+  int config;
+  int interface;
+  int altsetting;
+
+  /* Added by RMT so implementations can store other per-open-device data */
+  void *impl_info;
+} usb_dev_handle;
+
+/* Darwin/OS X impl does not use fd field, instead it uses this */
+typedef struct darwin_dev_handle {
+  usb_device_t** device;
+  usb_interface_t** interface;
+  int open;
+} darwin_dev_handle;
+
+typedef IOReturn io_return_t;
+typedef IOCFPlugInInterface *io_cf_plugin_ref_t;
+
+static int ep_to_pipeRef (darwin_dev_handle* device, int ep);
+extern int usb_debug;
+}
+
+class s_buffer
+{
+private:
+  char* d_buffer;
+  UInt32 d_n_used, d_n_alloc;
+
+public:
+  inline s_buffer (UInt32 n_alloc = 0) {
+    d_n_used = 0;
+    d_n_alloc = n_alloc;
+    if (n_alloc) {
+      d_buffer = (char*) new char [n_alloc];
+    } else {
+      d_buffer = 0;
+    }
+  };
+  inline ~s_buffer () {
+    if (d_n_alloc) {
+      delete [] d_buffer;
+    }
+  };
+  inline UInt32 n_used () { return (d_n_used); };
+  inline void n_used (UInt32 bufLen) {
+    d_n_used = (bufLen > d_n_alloc) ? d_n_alloc : bufLen; };
+  inline UInt32 n_alloc () { return (d_n_alloc); };
+  void buffer (char* l_buffer, UInt32 bufLen) {
+    if (bufLen > d_n_alloc) {
+      fprintf (stderr, "s_buffer::set: Copying only allocated bytes.\n");
+      bufLen = d_n_alloc;
+    }
+    if (!l_buffer) {
+      fprintf (stderr, "s_buffer::set: NULL buffer.\n");
+      return;
+    }
+    bcopy (l_buffer, d_buffer, bufLen);
+    d_n_used = bufLen;
+  };
+  inline char* buffer () { return (d_buffer); };
+  inline void reset () {
+    bzero (d_buffer, d_n_alloc);
+    d_n_used = 0;
+  };
+};
+
+typedef s_buffer* s_buffer_ptr;
+typedef s_node<s_buffer_ptr>* s_node_ptr;
+typedef circular_linked_list<s_buffer_ptr>* s_queue_ptr;
+typedef s_both<s_buffer_ptr>* s_both_ptr;
+
+/*!
+ * \brief darwin implementation of fusb_devhandle
+ *
+ * This is currently identical to the generic implementation
+ * and is intended as a starting point for whatever magic is
+ * required to make usb fly.
+ */
+class fusb_devhandle_darwin : public fusb_devhandle
+{
+public:
+  // CREATORS
+  fusb_devhandle_darwin (usb_dev_handle* udh);
+  virtual ~fusb_devhandle_darwin ();
+
+  // MANIPULATORS
+  virtual fusb_ephandle* make_ephandle (int endpoint, bool input_p,
+                                       int block_size = 0, int nblocks = 0);
+};
+
+/*!
+ * \brief darwin implementation of fusb_ephandle
+ *
+ * This is currently identical to the generic implementation
+ * and is intended as a starting point for whatever magic is
+ * required to make usb fly.
+ */
+class fusb_ephandle_darwin : public fusb_ephandle
+{
+private:
+  fusb_devhandle_darwin* d_devhandle;
+  mld_thread_ptr d_runThread;
+  mld_mutex_ptr d_runThreadRunning;
+
+  CFRunLoopRef d_CFRunLoopRef;
+
+  static void write_completed (void* ret_io_size,
+                              io_return_t result,
+                              void* io_size);
+  static void read_completed (void* ret_io_size,
+                             io_return_t result,
+                             void* io_size);
+  static void run_thread (void* arg);
+  static void read_thread (void* arg);
+
+  void read_issue (s_both_ptr l_both);
+
+public:
+  // variables, for now
+  UInt8 d_pipeRef, d_transferType;
+  usb_interface_t** d_interfaceRef;
+  usb_interface_t* d_interface;
+  s_queue_ptr d_queue;
+  circular_buffer<char>* d_buffer;
+  UInt32 d_bufLenBytes;
+  mld_mutex_ptr d_readRunning;
+  mld_condition_ptr d_runBlock, d_readBlock;
+
+// CREATORS
+
+  fusb_ephandle_darwin (fusb_devhandle_darwin *dh, int endpoint, bool input_p,
+                        int block_size = 0, int nblocks = 0);
+  virtual ~fusb_ephandle_darwin ();
+
+// MANIPULATORS
+
+  virtual bool start ();       //!< begin streaming i/o
+  virtual bool stop ();                //!< stop streaming i/o
+
+  /*!
+   * \returns \p nbytes if write was successfully enqueued, else -1.
+   * Will block if no free buffers available.
+   */
+  virtual int write (const void* buffer, int nbytes);
+
+  /*!
+   * \returns number of bytes read or -1 if error.
+   * number of bytes read will be <= nbytes.
+   * Will block if no input available.
+   */
+  virtual int read (void* buffer, int nbytes);
+
+  /*
+   * abort any pending IO transfers
+   */
+  void abort ();
+
+  /*
+   * block until all outstanding writes have completed
+   */
+  virtual void wait_for_completion ();
+};
+
+#endif /* _FUSB_DARWIN_H_ */
diff --git a/usrp/host/lib/legacy/fusb_generic.cc b/usrp/host/lib/legacy/fusb_generic.cc
new file mode 100644 (file)
index 0000000..0958716
--- /dev/null
@@ -0,0 +1,108 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2003 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <fusb_generic.h>
+#include <usb.h>
+
+
+static const int USB_TIMEOUT = 1000;   // in milliseconds
+
+
+fusb_devhandle_generic::fusb_devhandle_generic (usb_dev_handle *udh)
+  : fusb_devhandle (udh)
+{
+  // that's it
+}
+
+fusb_devhandle_generic::~fusb_devhandle_generic ()
+{
+  // nop
+}
+
+fusb_ephandle *
+fusb_devhandle_generic::make_ephandle (int endpoint, bool input_p,
+                                      int block_size, int nblocks)
+{
+  return new fusb_ephandle_generic (this, endpoint, input_p,
+                                   block_size, nblocks);
+}
+
+// ----------------------------------------------------------------
+
+fusb_ephandle_generic::fusb_ephandle_generic (fusb_devhandle_generic *dh,
+                                             int endpoint, bool input_p,
+                                             int block_size, int nblocks)
+  : fusb_ephandle (endpoint, input_p, block_size, nblocks),
+    d_devhandle (dh)
+{
+  // that's it
+}
+
+fusb_ephandle_generic::~fusb_ephandle_generic ()
+{
+  // nop
+}
+
+bool
+fusb_ephandle_generic::start ()
+{
+  d_started = true;
+  return true;
+}
+
+bool
+fusb_ephandle_generic::stop ()
+{
+  d_started = false;
+  return true;
+}
+
+int
+fusb_ephandle_generic::write (const void *buffer, int nbytes)
+{
+  if (!d_started)      // doesn't matter here, but keeps semantics constant
+    return -1;
+  
+  if (d_input_p)
+    return -1;
+  
+  return usb_bulk_write (d_devhandle->get_usb_dev_handle (),
+                        d_endpoint, (char *) buffer, nbytes, USB_TIMEOUT);
+}
+
+int
+fusb_ephandle_generic::read (void *buffer, int nbytes)
+{
+  if (!d_started)      // doesn't matter here, but keeps semantics constant
+    return -1;
+
+  if (!d_input_p)
+    return -1;
+
+  return usb_bulk_read (d_devhandle->get_usb_dev_handle (),
+                       d_endpoint|USB_ENDPOINT_IN, (char *) buffer, nbytes,
+                       USB_TIMEOUT);
+}
diff --git a/usrp/host/lib/legacy/fusb_generic.h b/usrp/host/lib/legacy/fusb_generic.h
new file mode 100644 (file)
index 0000000..b9aef27
--- /dev/null
@@ -0,0 +1,83 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2003 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifndef _FUSB_GENERIC_H_
+#define _FUSB_GENERIC_H_
+
+#include <fusb.h>
+
+/*!
+ * \brief generic implementation of fusb_devhandle using only libusb
+ */
+class fusb_devhandle_generic : public fusb_devhandle
+{
+public:
+  // CREATORS
+  fusb_devhandle_generic (usb_dev_handle *udh);
+  virtual ~fusb_devhandle_generic ();
+
+  // MANIPULATORS
+  virtual fusb_ephandle *make_ephandle (int endpoint, bool input_p,
+                                       int block_size = 0, int nblocks = 0);
+};
+
+
+/*!
+ * \brief generic implementation of fusb_ephandle using only libusb
+ */
+class fusb_ephandle_generic : public fusb_ephandle
+{
+private:
+  fusb_devhandle_generic       *d_devhandle;
+  
+public:
+  // CREATORS
+  fusb_ephandle_generic (fusb_devhandle_generic *dh, int endpoint, bool input_p,
+                        int block_size = 0, int nblocks = 0);
+  virtual ~fusb_ephandle_generic ();
+
+  // MANIPULATORS
+
+  virtual bool start ();       //!< begin streaming i/o
+  virtual bool stop ();                //!< stop streaming i/o
+
+  /*!
+   * \returns \p nbytes if write was successfully enqueued, else -1.
+   * Will block if no free buffers available.
+   */
+  virtual int write (const void *buffer, int nbytes);
+
+  /*!
+   * \returns number of bytes read or -1 if error.
+   * number of bytes read will be <= nbytes.
+   * Will block if no input available.
+   */
+  virtual int read (void *buffer, int nbytes);
+
+  /*
+   * block until all outstanding writes have completed
+   */
+  virtual void wait_for_completion () { };
+};
+
+#endif /* _FUSB_GENERIC_H_ */
+
diff --git a/usrp/host/lib/legacy/fusb_linux.cc b/usrp/host/lib/legacy/fusb_linux.cc
new file mode 100644 (file)
index 0000000..6c48456
--- /dev/null
@@ -0,0 +1,692 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2003 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <fusb_linux.h>
+#include <usb.h>               // libusb header
+#include <stdexcept>
+#ifdef HAVE_LINUX_COMPILER_H
+#include <linux/compiler.h>
+#endif
+#include <linux/usbdevice_fs.h>        // interface to kernel portion of user mode usb driver
+#include <sys/ioctl.h>
+#include <assert.h>
+#include <string.h>
+#include <algorithm>
+#include <errno.h>
+#include <string.h>
+#include <cstdio>
+
+#define MINIMIZE_TX_BUFFERING 1                // must be defined to 0 or 1
+
+
+static const int MAX_BLOCK_SIZE = fusb_sysconfig::max_block_size();            // hard limit
+static const int DEFAULT_BLOCK_SIZE = MAX_BLOCK_SIZE;
+static const int DEFAULT_BUFFER_SIZE = 4 * (1L << 20);                         // 4 MB / endpoint
+
+
+// Totally evil and fragile extraction of file descriptor from
+// guts of libusb.  They don't install usbi.h, which is what we'd need
+// to do this nicely.
+//
+// FIXME if everything breaks someday in the future, look here...
+
+static int
+fd_from_usb_dev_handle (usb_dev_handle *udh)
+{
+  return *((int *) udh);
+}
+
+inline static void
+urb_set_ephandle (usbdevfs_urb *urb, fusb_ephandle_linux *handle)
+{
+  urb->usercontext = handle;
+}
+
+inline static fusb_ephandle_linux *
+urb_get_ephandle (usbdevfs_urb *urb)
+{
+  return (fusb_ephandle_linux *) urb->usercontext;
+}
+
+// ------------------------------------------------------------------------
+//                USB request block (urb) allocation
+// ------------------------------------------------------------------------
+
+static usbdevfs_urb *
+alloc_urb (fusb_ephandle_linux *self, int buffer_length, int endpoint,
+          bool input_p, unsigned char *write_buffer)
+{
+  usbdevfs_urb *urb = new usbdevfs_urb;
+  memset (urb, 0, sizeof (*urb));
+
+  urb->buffer_length = buffer_length;
+
+  // We allocate dedicated memory only for input buffers.
+  // For output buffers we reuse the same buffer (the kernel 
+  // copies the data at submital time)
+
+  if (input_p)
+    urb->buffer = new unsigned char [buffer_length];
+  else
+    urb->buffer = write_buffer;
+
+  // init common values
+
+  urb->type = USBDEVFS_URB_TYPE_BULK;
+  urb->endpoint = (endpoint & 0x7f) | (input_p ? 0x80 : 0);
+
+  // USBDEVFS_URB_QUEUE_BULK goes away in linux 2.5, but is needed if
+  // we are using a 2.4 usb-uhci host controller driver.  This is
+  // unlikely since we're almost always going to be plugged into a
+  // high speed host controller (ehci)
+#if 0 && defined (USBDEVFS_URB_QUEUE_BULK)
+  urb->flags = USBDEVFS_URB_QUEUE_BULK;
+#endif
+
+  urb->signr = 0;
+  urb_set_ephandle (urb, self);
+
+  return urb;
+}
+
+static void
+free_urb (usbdevfs_urb *urb)
+{
+  // if this was an input urb, free the buffer
+  if (urb->endpoint & 0x80)
+    delete [] ((unsigned char *) urb->buffer);
+
+  delete urb;
+}
+
+// ------------------------------------------------------------------------
+//                             device handle
+// ------------------------------------------------------------------------
+
+fusb_devhandle_linux::fusb_devhandle_linux (usb_dev_handle *udh)
+  : fusb_devhandle (udh)
+{
+  // that's all
+}
+
+fusb_devhandle_linux::~fusb_devhandle_linux ()
+{
+  // if there are any pending requests, cancel them and free the urbs.
+  
+  std::list<usbdevfs_urb*>::reverse_iterator it;
+
+  for (it = d_pending_rqsts.rbegin (); it != d_pending_rqsts.rend (); it++){
+    _cancel_urb (*it);
+    free_urb (*it);
+  }
+}
+
+fusb_ephandle *
+fusb_devhandle_linux::make_ephandle (int endpoint, bool input_p,
+                                    int block_size, int nblocks)
+{
+  return new fusb_ephandle_linux (this, endpoint, input_p,
+                                 block_size, nblocks);
+}
+
+
+// Attempt to cancel all transactions associated with eph.
+
+void
+fusb_devhandle_linux::_cancel_pending_rqsts (fusb_ephandle_linux *eph)
+{
+  std::list<usbdevfs_urb*>::reverse_iterator it;
+
+  for (it = d_pending_rqsts.rbegin (); it != d_pending_rqsts.rend (); it++){
+    if (urb_get_ephandle (*it) == eph)
+      _cancel_urb (*it);
+  }
+}
+
+void 
+fusb_devhandle_linux::pending_add (usbdevfs_urb *urb)
+{
+  d_pending_rqsts.push_back (urb);
+}
+
+usbdevfs_urb *
+fusb_devhandle_linux::pending_get ()
+{
+  if (d_pending_rqsts.empty ())
+    return 0;
+
+  usbdevfs_urb *urb = d_pending_rqsts.front ();
+  d_pending_rqsts.pop_front ();
+  return urb;
+}
+
+bool
+fusb_devhandle_linux::pending_remove (usbdevfs_urb *urb)
+{
+  std::list<usbdevfs_urb*>::iterator   result = find (d_pending_rqsts.begin (),
+                                                      d_pending_rqsts.end (),
+                                                      urb);
+  if (result == d_pending_rqsts.end ()){
+    fprintf (stderr, "fusb::pending_remove: failed to find urb in pending_rqsts: %p\n", urb);
+    return false;
+  }
+  d_pending_rqsts.erase (result);
+  return true;
+}
+
+/*
+ * Submit the urb to the kernel.
+ * iff successful, the urb will be placed on the devhandle's pending list.
+ */
+bool
+fusb_devhandle_linux::_submit_urb (usbdevfs_urb *urb)
+{
+  int  ret;
+
+  ret = ioctl (fd_from_usb_dev_handle (d_udh), USBDEVFS_SUBMITURB, urb);
+  if (ret < 0){
+    perror ("fusb::_submit_urb");
+    return false;
+  }
+  
+  pending_add (urb);
+  return true;
+}
+
+/*
+ * Attempt to cancel the in pending or in-progress urb transaction.
+ * Return true iff transaction was sucessfully cancelled.
+ *
+ * Failure to cancel should not be considered a problem.  This frequently
+ * occurs if the transaction has already completed in the kernel but hasn't
+ * yet been reaped by the user mode code.
+ *
+ * urbs which were cancelled have their status field set to -ENOENT when
+ * they are reaped.
+ */
+bool
+fusb_devhandle_linux::_cancel_urb (usbdevfs_urb *urb)
+{
+  int ret = ioctl (fd_from_usb_dev_handle (d_udh), USBDEVFS_DISCARDURB, urb);
+  if (ret < 0){
+    // perror ("fusb::_cancel_urb");
+    return false;
+  }
+  return true;
+}
+
+/*
+ * Check with the kernel and see if any of our outstanding requests
+ * have completed.  For each completed transaction, remove it from the
+ * devhandle's pending list and append it to the completed list for
+ * the corresponding endpoint.
+ *
+ * If any transactions are reaped return true.
+ *
+ * If ok_to_block_p is true, then this will block until at least one
+ * transaction completes or an unrecoverable error occurs.
+ */
+bool
+fusb_devhandle_linux::_reap (bool ok_to_block_p)
+{
+  int          ret;
+  int          nreaped = 0;
+  usbdevfs_urb *urb = 0;
+
+  int  fd = fd_from_usb_dev_handle (d_udh);
+  
+  // try to reap as many as possible without blocking...
+
+  while ((ret = ioctl (fd, USBDEVFS_REAPURBNDELAY, &urb)) == 0){
+    if (urb->status != 0 && urb->status != -ENOENT){
+      fprintf (stderr, "_reap: usb->status = %d, actual_length = %5d\n",
+              urb->status, urb->actual_length);
+    }
+    pending_remove (urb);
+    urb_get_ephandle (urb)->completed_list_add (urb);
+    nreaped++;
+  }
+
+  if (nreaped > 0)             // if we got any, return w/o blocking
+    return true;
+
+  if (!ok_to_block_p)
+    return false;
+  
+  ret = ioctl (fd, USBDEVFS_REAPURB, &urb);
+  if (ret < 0){
+    perror ("fusb::_reap");
+    return false;
+  }
+
+  pending_remove (urb);
+  urb_get_ephandle (urb)->completed_list_add (urb);
+  return true;
+}
+
+void
+fusb_devhandle_linux::_wait_for_completion ()
+{
+  while (!d_pending_rqsts.empty ())
+    if (!_reap(true))
+      break;
+}
+\f// ------------------------------------------------------------------------
+//                          end point handle
+// ------------------------------------------------------------------------
+
+fusb_ephandle_linux::fusb_ephandle_linux (fusb_devhandle_linux *devhandle,
+                                         int endpoint,
+                                         bool input_p,
+                                         int block_size, int nblocks)
+  : fusb_ephandle (endpoint, input_p, block_size, nblocks),
+    d_devhandle (devhandle), 
+    d_write_work_in_progress (0), d_write_buffer (0),
+    d_read_work_in_progress (0), d_read_buffer (0), d_read_buffer_end (0)
+{
+
+  if (d_block_size < 0 || d_block_size > MAX_BLOCK_SIZE)
+    throw std::out_of_range ("fusb_ephandle_linux: block_size");
+
+  if (d_nblocks < 0)
+    throw std::out_of_range ("fusb_ephandle_linux: nblocks");
+
+  if (d_block_size == 0)
+    d_block_size = DEFAULT_BLOCK_SIZE;
+
+  if (d_nblocks == 0)
+    d_nblocks = std::max (1, DEFAULT_BUFFER_SIZE / d_block_size);
+
+  if (!d_input_p)
+    if (!MINIMIZE_TX_BUFFERING)
+      d_write_buffer = new unsigned char [d_block_size];
+
+  if (0)
+    fprintf(stderr, "fusb_ephandle_linux::ctor: d_block_size = %d  d_nblocks = %d\n",
+           d_block_size, d_nblocks);
+
+  // allocate urbs
+
+  for (int i = 0; i < d_nblocks; i++)
+    d_free_list.push_back (alloc_urb (this, d_block_size, d_endpoint,
+                                     d_input_p, d_write_buffer));
+}
+
+fusb_ephandle_linux::~fusb_ephandle_linux ()
+{
+  stop ();
+
+  usbdevfs_urb *urb;
+
+  while ((urb = free_list_get ()) != 0)
+    free_urb (urb);
+
+  while ((urb = completed_list_get ()) != 0)
+    free_urb (urb);
+
+  if (d_write_work_in_progress)
+    free_urb (d_write_work_in_progress);
+
+  delete [] d_write_buffer;
+
+  if (d_read_work_in_progress)
+    free_urb (d_read_work_in_progress);
+}
+
+// ----------------------------------------------------------------
+
+bool
+fusb_ephandle_linux::start ()
+{
+  if (d_started)
+    return true;               // already running
+
+  d_started = true;
+
+  if (d_input_p){              // fire off all the reads
+    usbdevfs_urb *urb;
+
+    int nerrors = 0;
+    while ((urb = free_list_get ()) != 0 && nerrors < d_nblocks){
+      if (!submit_urb (urb))
+       nerrors++;
+    }
+  }
+
+  return true;
+}
+
+//
+// kill all i/o in progress.
+// kill any completed but unprocessed transactions.
+//
+bool
+fusb_ephandle_linux::stop ()
+{
+  if (!d_started)
+    return true;
+
+  if (d_write_work_in_progress){
+    free_list_add (d_write_work_in_progress);
+    d_write_work_in_progress = 0;
+  }
+
+  if (d_read_work_in_progress){
+    free_list_add (d_read_work_in_progress);
+    d_read_work_in_progress = 0;
+    d_read_buffer = 0;
+    d_read_buffer_end = 0;
+  }
+
+  d_devhandle->_cancel_pending_rqsts (this);
+  d_devhandle->_reap (false);
+
+  while (1){
+    usbdevfs_urb *urb;
+    while ((urb = completed_list_get ()) != 0)
+      free_list_add (urb);
+
+    if (d_free_list.size () == (unsigned) d_nblocks)
+      break;
+
+    if (!d_devhandle->_reap(true))
+      break;
+  }
+
+  d_started = false;
+  return true;
+}
+
+// ----------------------------------------------------------------
+//                     routines for writing 
+// ----------------------------------------------------------------
+
+#if (MINIMIZE_TX_BUFFERING)
+
+int 
+fusb_ephandle_linux::write(const void *buffer, int nbytes)
+{
+  if (!d_started)
+    return -1;
+  
+  if (d_input_p)
+    return -1;
+
+  assert(nbytes % 512 == 0);
+
+  unsigned char *src = (unsigned char *) buffer;
+
+  int n = 0;
+  while (n < nbytes){
+
+    usbdevfs_urb *urb = get_write_work_in_progress();
+    if (!urb)
+      return -1;
+    assert(urb->actual_length == 0);
+    int m = std::min(nbytes - n, MAX_BLOCK_SIZE);
+    urb->buffer = src;
+    urb->buffer_length = m;
+
+    n += m;
+    src += m;
+
+    if (!submit_urb(urb))
+      return -1;
+
+    d_write_work_in_progress = 0;
+  }
+
+  return n;
+}
+
+#else
+
+int 
+fusb_ephandle_linux::write (const void *buffer, int nbytes)
+{
+  if (!d_started)
+    return -1;
+  
+  if (d_input_p)
+    return -1;
+
+  unsigned char *src = (unsigned char *) buffer;
+
+  int n = 0;
+  while (n < nbytes){
+
+    usbdevfs_urb *urb = get_write_work_in_progress ();
+    if (!urb)
+      return -1;
+    unsigned char *dst = (unsigned char *) urb->buffer;
+    int m = std::min (nbytes - n, urb->buffer_length - urb->actual_length);
+
+    memcpy (&dst[urb->actual_length], &src[n], m);
+    urb->actual_length += m;
+    n += m;
+
+    if (urb->actual_length == urb->buffer_length){
+      if (!submit_urb (urb))
+       return -1;
+      d_write_work_in_progress = 0;
+    }
+  }
+
+  return n;
+}
+
+#endif
+
+usbdevfs_urb *
+fusb_ephandle_linux::get_write_work_in_progress ()
+{
+  // if we've already got some work in progress, return it
+
+  if (d_write_work_in_progress)
+    return d_write_work_in_progress;
+
+  while (1){
+
+    reap_complete_writes ();
+
+    usbdevfs_urb *urb = free_list_get ();
+
+    if (urb != 0){
+      assert (urb->actual_length == 0);
+      d_write_work_in_progress = urb;
+      return urb;
+    }
+
+    // The free list is empty.  Tell the device handle to reap.
+    // Anything it reaps for us will end up on our completed list.
+
+    if (!d_devhandle->_reap (true))
+      return 0;
+  }
+}
+
+void
+fusb_ephandle_linux::reap_complete_writes ()
+{
+  // take a look at the completed_list and xfer to free list after
+  // checking for errors.
+
+  usbdevfs_urb *urb;
+  
+  while ((urb = completed_list_get ()) != 0){
+
+    // Check for any errors or short writes that were reported in the urb.
+    // The kernel sets status, actual_length and error_count.
+    // error_count is only used for ISO xfers.
+    // status is 0 if successful, else is an errno kind of thing
+
+    if (urb->status != 0){
+      fprintf (stderr, "fusb: (status %d) %s\n", urb->status, strerror (-urb->status));
+    }
+    else if (urb->actual_length != urb->buffer_length){
+      fprintf (stderr, "fusb: short write xfer: %d != %d\n",
+              urb->actual_length, urb->buffer_length);
+    }
+
+    free_list_add (urb);
+  }
+}
+
+void
+fusb_ephandle_linux::wait_for_completion ()
+{
+  d_devhandle->_wait_for_completion ();
+}
+
+// ----------------------------------------------------------------
+//                    routines for reading
+// ----------------------------------------------------------------
+
+int
+fusb_ephandle_linux::read (void *buffer, int nbytes)
+{
+  if (!d_started)
+    return -1;
+  
+  if (!d_input_p)
+    return -1;
+
+  unsigned char *dst = (unsigned char *) buffer;
+
+  int n = 0;
+  while (n < nbytes){
+
+    if (d_read_buffer >= d_read_buffer_end)
+      if (!reload_read_buffer ())
+       return -1;
+
+    int m = std::min (nbytes - n, (int) (d_read_buffer_end - d_read_buffer));
+
+    memcpy (&dst[n], d_read_buffer, m);
+    d_read_buffer += m;
+    n += m;
+  }
+
+  return n;
+}
+
+bool
+fusb_ephandle_linux::reload_read_buffer ()
+{
+  assert (d_read_buffer >= d_read_buffer_end);
+
+  usbdevfs_urb *urb;
+
+  if (d_read_work_in_progress){
+    // We're done with this urb.  Fire off a read to refill it.
+    urb = d_read_work_in_progress;
+    d_read_work_in_progress = 0;
+    d_read_buffer = 0;
+    d_read_buffer_end = 0;
+    urb->actual_length = 0;
+    if (!submit_urb (urb))
+      return false;
+  }
+
+  while (1){
+
+    while ((urb = completed_list_get ()) == 0)
+      if (!d_devhandle->_reap (true))
+       return false;
+
+    // check result of completed read
+
+    if (urb->status != 0){
+      // We've got a problem. Report it and fail.
+      fprintf (stderr, "fusb: (rd status %d) %s\n", urb->status, strerror (-urb->status));
+      urb->actual_length = 0;
+      free_list_add (urb);
+      return false;
+    }
+
+    // we've got a happy urb, full of data...
+
+    d_read_work_in_progress = urb;
+    d_read_buffer = (unsigned char *) urb->buffer;
+    d_read_buffer_end = d_read_buffer + urb->actual_length;
+
+    return true;
+  }
+}
+
+// ----------------------------------------------------------------
+
+void
+fusb_ephandle_linux::free_list_add (usbdevfs_urb *urb)
+{
+  assert (urb_get_ephandle (urb) == this);
+  urb->actual_length = 0;
+  d_free_list.push_back (urb);
+}
+
+usbdevfs_urb *
+fusb_ephandle_linux::free_list_get ()
+{
+  if (d_free_list.empty ())
+    return 0;
+
+  usbdevfs_urb *urb = d_free_list.front ();
+  d_free_list.pop_front ();
+  return urb;
+}
+
+void
+fusb_ephandle_linux::completed_list_add (usbdevfs_urb *urb)
+{
+  assert (urb_get_ephandle (urb) == this);
+  d_completed_list.push_back (urb);
+}
+
+usbdevfs_urb *
+fusb_ephandle_linux::completed_list_get ()
+{
+  if (d_completed_list.empty ())
+    return 0;
+
+  usbdevfs_urb *urb = d_completed_list.front ();
+  d_completed_list.pop_front ();
+  return urb;
+}
+
+/*
+ * Submit the urb.  If successful the urb ends up on the devhandle's
+ * pending list, otherwise, it's back on our free list.
+ */
+bool
+fusb_ephandle_linux::submit_urb (usbdevfs_urb *urb)
+{
+  if (!d_devhandle->_submit_urb (urb)){    // FIXME record the problem somewhere
+    fprintf (stderr, "_submit_urb failed\n");
+    free_list_add (urb);
+    return false;
+  }
+  return true;
+}
diff --git a/usrp/host/lib/legacy/fusb_linux.h b/usrp/host/lib/legacy/fusb_linux.h
new file mode 100644 (file)
index 0000000..107e1af
--- /dev/null
@@ -0,0 +1,116 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2003 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+// Fast USB interface
+
+#ifndef _FUSB_LINUX_H_
+#define _FUSB_LINUX_H_
+
+#include <fusb.h>
+#include <list>
+
+struct  usbdevfs_urb;
+class   fusb_ephandle_linux;
+
+/*!
+ * \brief linux specific implementation of fusb_devhandle using usbdevice_fs
+ */
+class fusb_devhandle_linux : public fusb_devhandle {
+private:
+  std::list<usbdevfs_urb*>      d_pending_rqsts;
+
+  void pending_add (usbdevfs_urb *urb);
+  bool pending_remove (usbdevfs_urb *urb);
+  usbdevfs_urb * pending_get ();
+
+
+public:
+  // CREATORS
+  fusb_devhandle_linux (usb_dev_handle *udh);
+  virtual ~fusb_devhandle_linux ();
+
+  // MANIPULATORS
+  virtual fusb_ephandle *make_ephandle (int endpoint, bool input_p,
+                                       int block_size = 0, int nblocks = 0);
+
+  // internal use only
+  bool _submit_urb (usbdevfs_urb *urb);
+  bool _cancel_urb (usbdevfs_urb *urb);
+  void _cancel_pending_rqsts (fusb_ephandle_linux *eph);
+  bool _reap (bool ok_to_block_p);
+  void _wait_for_completion ();
+};
+
+\f/*!
+ * \brief linux specific implementation of fusb_ephandle using usbdevice_fs
+ */
+
+class fusb_ephandle_linux : public fusb_ephandle {
+private:
+  fusb_devhandle_linux        *d_devhandle;
+  std::list<usbdevfs_urb*>     d_free_list;
+  std::list<usbdevfs_urb*>     d_completed_list;
+  usbdevfs_urb                *d_write_work_in_progress;
+  unsigned char                       *d_write_buffer;
+  usbdevfs_urb                *d_read_work_in_progress;
+  unsigned char                       *d_read_buffer;
+  unsigned char                       *d_read_buffer_end;
+
+  usbdevfs_urb *get_write_work_in_progress ();
+  void reap_complete_writes ();
+  bool reload_read_buffer ();
+  bool submit_urb (usbdevfs_urb *urb);
+  
+public:
+  fusb_ephandle_linux (fusb_devhandle_linux *dh, int endpoint, bool input_p,
+                      int block_size = 0, int nblocks = 0);
+  virtual ~fusb_ephandle_linux ();
+
+  virtual bool start ();       //!< begin streaming i/o
+  virtual bool stop ();                //!< stop streaming i/o
+
+  /*!
+   * \returns \p nbytes if write was successfully enqueued, else -1.
+   * Will block if no free buffers available.
+   */
+  virtual int write (const void *buffer, int nbytes);
+
+  /*!
+   * \returns number of bytes read or -1 if error.
+   * number of bytes read will be <= nbytes.
+   * Will block if no input available.
+   */
+  virtual int read (void *buffer, int nbytes);
+
+  /*
+   * block until all outstanding writes have completed
+   */
+  virtual void wait_for_completion ();
+
+  // internal use only
+  void free_list_add (usbdevfs_urb *urb);
+  void completed_list_add (usbdevfs_urb *urb);
+  usbdevfs_urb *free_list_get ();              // pop and return head of list or 0
+  usbdevfs_urb *completed_list_get ();         // pop and return head of list or 0
+};
+
+#endif /* _FUSB_LINUX_H_ */
diff --git a/usrp/host/lib/legacy/fusb_ra_wb.cc b/usrp/host/lib/legacy/fusb_ra_wb.cc
new file mode 100644 (file)
index 0000000..699a34b
--- /dev/null
@@ -0,0 +1,258 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2003,2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <fusb_ra_wb.h>
+#include <usb.h>
+
+#include <stdio.h>
+#include <errno.h>
+#include <string.h>
+
+#include <sys/event.h>
+#include <dev/usb/usb.h>
+
+static const int USB_TIMEOUT = 1000;   // in milliseconds
+
+// the following comment and function is from fusb_linux.cc
+#if 0
+// Totally evil and fragile extraction of file descriptor from
+// guts of libusb.  They don't install usbi.h, which is what we'd need
+// to do this nicely.
+//
+// FIXME if everything breaks someday in the future, look here...
+
+static int
+fd_from_usb_dev_handle (usb_dev_handle *udh)
+{
+  return *((int *) udh);
+}
+#endif
+
+// the control endpoint doesn't actually do us any good so here is a
+// new "fragile extraction"
+static int
+ep_fd_from_usb_dev_handle (usb_dev_handle *udh, int endpoint)
+{
+  struct usb_dev_handle_kludge2 { // see also usrp_prims.cc
+    int                         fd;
+    struct usb_bus     *bus;
+    struct usb_device  *device;
+    int                         config;
+    int                         interface;
+    int                         altsetting;
+    void               *impl_info;
+  };
+  struct bsd_usb_dev_handle_info_kludge {
+    int                         ep_fd[USB_MAX_ENDPOINTS];
+  };
+  struct bsd_usb_dev_handle_info_kludge *info
+      = (struct bsd_usb_dev_handle_info_kludge *)
+           ((struct usb_dev_handle_kludge2 *)udh)->impl_info;
+  return info->ep_fd[UE_GET_ADDR(endpoint)];
+}
+
+
+fusb_devhandle_ra_wb::fusb_devhandle_ra_wb (usb_dev_handle *udh)
+  : fusb_devhandle (udh)
+{
+  // that's it
+}
+
+fusb_devhandle_ra_wb::~fusb_devhandle_ra_wb ()
+{
+  // nop
+}
+
+fusb_ephandle *
+fusb_devhandle_ra_wb::make_ephandle (int endpoint, bool input_p,
+                                    int block_size, int nblocks)
+{
+  return new fusb_ephandle_ra_wb (this, endpoint, input_p,
+                                 block_size, nblocks);
+}
+
+// ----------------------------------------------------------------
+
+fusb_ephandle_ra_wb::fusb_ephandle_ra_wb (fusb_devhandle_ra_wb *dh,
+                                         int endpoint, bool input_p,
+                                         int block_size, int nblocks)
+  : fusb_ephandle (endpoint, input_p, block_size, nblocks),
+    d_devhandle (dh), d_ra_wb_on (false)
+{
+  // that's it 
+}
+
+fusb_ephandle_ra_wb::~fusb_ephandle_ra_wb ()
+{
+  // nop
+}
+
+bool
+fusb_ephandle_ra_wb::start ()
+{
+  d_started = true;
+
+  char buf = '\0';
+  int fd;
+
+  // this is to cause libusb to open the endpoint
+  if (!d_input_p) {
+    write(&buf, 0);
+    fd = ep_fd_from_usb_dev_handle (d_devhandle->get_usb_dev_handle(),
+                                   d_endpoint);
+  }
+  else {
+    read(&buf, 0);
+    fd = ep_fd_from_usb_dev_handle (d_devhandle->get_usb_dev_handle(),
+                                   d_endpoint|USB_ENDPOINT_IN);
+  }
+
+  // enable read ahead/write behind
+  int ret;
+  struct usb_bulk_ra_wb_opt opts;
+  int enable = 1;
+
+  opts.ra_wb_buffer_size = d_block_size*d_nblocks;
+  opts.ra_wb_request_size = d_block_size;
+//  fprintf (stderr, "setting buffer size to %d, request size to %d\n",
+//        opts.ra_wb_buffer_size, opts.ra_wb_request_size);
+  if (!d_input_p) {
+    ret = ioctl (fd, USB_SET_BULK_WB_OPT, &opts);
+    if (ret < 0)
+      fprintf (stderr, "USB_SET_BULK_WB_OPT: %s\n", strerror(errno));
+    else {
+      ret = ioctl (fd, USB_SET_BULK_WB, &enable);
+      if (ret < 0)
+       fprintf (stderr, "USB_SET_BULK_WB: %s\n", strerror(errno));
+      else
+       d_ra_wb_on = true;
+    }
+  }
+  else {
+    ret = ioctl (fd, USB_SET_BULK_RA_OPT, &opts);
+    if (ret < 0)
+      fprintf (stderr, "USB_SET_BULK_RA_OPT: %s\n", strerror(errno));
+    else {
+      ret = ioctl (fd, USB_SET_BULK_RA, &enable);
+      if (ret < 0)
+       fprintf (stderr, "USB_SET_BULK_RA: %s\n", strerror(errno));
+      else
+       d_ra_wb_on = true;
+    }
+  }
+
+  return true;
+}
+
+bool
+fusb_ephandle_ra_wb::stop ()
+{
+  int fd;
+  int ret;
+  int enable = 0;
+  if (d_ra_wb_on) {
+    if (!d_input_p) {
+      fd = ep_fd_from_usb_dev_handle (d_devhandle->get_usb_dev_handle(),
+                                     d_endpoint);
+      ret = ioctl (fd, USB_SET_BULK_WB, &enable);
+      if (ret < 0)
+       fprintf (stderr, "USB_SET_BULK_WB: %s\n", strerror(errno));
+      else
+       d_ra_wb_on = false;
+    }
+    else {
+      fd = ep_fd_from_usb_dev_handle (d_devhandle->get_usb_dev_handle(),
+                                     d_endpoint|USB_ENDPOINT_IN);
+      ret = ioctl (fd, USB_SET_BULK_RA, &enable);
+      if (ret < 0)
+       fprintf (stderr, "USB_SET_BULK_RA: %s\n", strerror(errno));
+      else
+       d_ra_wb_on = false;
+    }
+  }
+
+  d_started = false;
+  return true;
+}
+
+int
+fusb_ephandle_ra_wb::write (const void *buffer, int nbytes)
+{
+  if (!d_started)
+    return -1;
+  
+  if (d_input_p)
+    return -1;
+  
+  return usb_bulk_write (d_devhandle->get_usb_dev_handle (),
+                        d_endpoint, (char *) buffer, nbytes, USB_TIMEOUT);
+}
+
+int
+fusb_ephandle_ra_wb::read (void *buffer, int nbytes)
+{
+  if (!d_started)
+    return -1;
+
+  if (!d_input_p)
+    return -1;
+
+  return usb_bulk_read (d_devhandle->get_usb_dev_handle (),
+                       d_endpoint|USB_ENDPOINT_IN, (char *) buffer, nbytes,
+                       USB_TIMEOUT);
+}
+
+void
+fusb_ephandle_ra_wb::wait_for_completion ()
+{
+  // as the driver is implemented this only makes sense for write 
+  if (d_ra_wb_on && !d_input_p) {
+    int fd = ep_fd_from_usb_dev_handle (d_devhandle->get_usb_dev_handle(),
+                                       d_endpoint);
+    int kq = kqueue();
+    if (kq < 0)
+      return;
+    struct kevent evt;
+    int nevents;
+    EV_SET (&evt, fd, EVFILT_WRITE, EV_ADD | EV_ENABLE, 0, 0, 0/*NULL*/);
+    nevents = kevent (kq, &evt, 1, &evt, 1, NULL);
+    if (nevents < 1) {
+      close(kq);
+      return;
+    }
+    while (!(evt.flags & EV_ERROR) && evt.data < (d_block_size*d_nblocks)) {
+      // it's a busy loop, but that's all I can do at the moment
+      nevents = kevent (kq, NULL, 0, &evt, 1, NULL);
+      // let's see if this improves the test_usrp_standard_tx throughput &
+      // "CPU usage" by looping less frequently
+      struct timeval timeout;
+      timeout.tv_sec = 0;
+      timeout.tv_usec = 1000; // 1 ms
+      select (0, NULL, NULL, NULL, &timeout);
+    }
+    close (kq);
+  }
+}
diff --git a/usrp/host/lib/legacy/fusb_ra_wb.h b/usrp/host/lib/legacy/fusb_ra_wb.h
new file mode 100644 (file)
index 0000000..233976a
--- /dev/null
@@ -0,0 +1,84 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2003,2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifndef _FUSB_RA_WB_H_
+#define _FUSB_RA_WB_H_
+
+#include <fusb.h>
+
+/*!
+ * \brief generic implementation of fusb_devhandle using only libusb
+ */
+class fusb_devhandle_ra_wb : public fusb_devhandle
+{
+public:
+  // CREATORS
+  fusb_devhandle_ra_wb (usb_dev_handle *udh);
+  virtual ~fusb_devhandle_ra_wb ();
+
+  // MANIPULATORS
+  virtual fusb_ephandle *make_ephandle (int endpoint, bool input_p,
+                                       int block_size = 0, int nblocks = 0);
+};
+
+
+/*!
+ * \brief generic implementation of fusb_ephandle using only libusb
+ */
+class fusb_ephandle_ra_wb : public fusb_ephandle
+{
+private:
+  fusb_devhandle_ra_wb *d_devhandle;
+  bool d_ra_wb_on;
+  
+public:
+  // CREATORS
+  fusb_ephandle_ra_wb (fusb_devhandle_ra_wb *dh, int endpoint, bool input_p,
+                      int block_size = 0, int nblocks = 0);
+  virtual ~fusb_ephandle_ra_wb ();
+
+  // MANIPULATORS
+
+  virtual bool start ();       //!< begin streaming i/o
+  virtual bool stop ();                //!< stop streaming i/o
+
+  /*!
+   * \returns \p nbytes if write was successfully enqueued, else -1.
+   * Will block if no free buffers available.
+   */
+  virtual int write (const void *buffer, int nbytes);
+
+  /*!
+   * \returns number of bytes read or -1 if error.
+   * number of bytes read will be <= nbytes.
+   * Will block if no input available.
+   */
+  virtual int read (void *buffer, int nbytes);
+
+  /*
+   * block until all outstanding writes have completed
+   */
+  virtual void wait_for_completion ();
+};
+
+#endif /* _FUSB_RA_WB_H_ */
+
diff --git a/usrp/host/lib/legacy/fusb_sysconfig_darwin.cc b/usrp/host/lib/legacy/fusb_sysconfig_darwin.cc
new file mode 100644 (file)
index 0000000..4d19d12
--- /dev/null
@@ -0,0 +1,49 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2003 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#include <fusb.h>
+#include <fusb_darwin.h>
+
+static const int MAX_BLOCK_SIZE = 32 * 1024;           // hard limit
+static const int FUSB_BUFFER_SIZE = 2 * (1L << 20);    // 2 MB
+
+fusb_devhandle *
+fusb_sysconfig::make_devhandle (usb_dev_handle *udh)
+{
+  return new fusb_devhandle_darwin (udh);
+}
+
+int fusb_sysconfig::max_block_size ()
+{
+  return MAX_BLOCK_SIZE;
+}
+
+int fusb_sysconfig::default_block_size ()
+{
+  return fusb_sysconfig::max_block_size ();
+}
+
+int fusb_sysconfig::default_buffer_size ()
+{
+  return FUSB_BUFFER_SIZE;
+}
+
diff --git a/usrp/host/lib/legacy/fusb_sysconfig_generic.cc b/usrp/host/lib/legacy/fusb_sysconfig_generic.cc
new file mode 100644 (file)
index 0000000..58baba5
--- /dev/null
@@ -0,0 +1,48 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2003 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#include <fusb.h>
+#include <fusb_generic.h>
+
+static const int MAX_BLOCK_SIZE = 16 * 1024;           // hard limit
+static const int FUSB_BUFFER_SIZE = 2 * (1L << 20);    // 2 MB
+
+fusb_devhandle *
+fusb_sysconfig::make_devhandle (usb_dev_handle *udh)
+{
+  return new fusb_devhandle_generic (udh);
+}
+       
+int fusb_sysconfig::max_block_size ()
+{
+  return MAX_BLOCK_SIZE;
+}
+
+int fusb_sysconfig::default_block_size ()
+{
+  return fusb_sysconfig::max_block_size ();
+}
+
+int fusb_sysconfig::default_buffer_size ()
+{
+  return FUSB_BUFFER_SIZE;
+}
diff --git a/usrp/host/lib/legacy/fusb_sysconfig_linux.cc b/usrp/host/lib/legacy/fusb_sysconfig_linux.cc
new file mode 100644 (file)
index 0000000..3c2f593
--- /dev/null
@@ -0,0 +1,49 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2003 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#include <fusb.h>
+#include <fusb_linux.h>
+
+static const int MAX_BLOCK_SIZE     =  16 * 1024;      // hard limit
+static const int DEFAULT_BLOCK_SIZE =   4 * 1024;      // fewer kernel memory problems
+static const int FUSB_BUFFER_SIZE   =   1 * (1L << 20); // 1MB
+
+fusb_devhandle *
+fusb_sysconfig::make_devhandle (usb_dev_handle *udh)
+{
+  return new fusb_devhandle_linux (udh);
+}
+
+int fusb_sysconfig::max_block_size ()
+{
+  return MAX_BLOCK_SIZE;
+}
+
+int fusb_sysconfig::default_block_size ()
+{
+  return DEFAULT_BLOCK_SIZE;
+}
+
+int fusb_sysconfig::default_buffer_size ()
+{
+  return FUSB_BUFFER_SIZE;
+}
diff --git a/usrp/host/lib/legacy/fusb_sysconfig_ra_wb.cc b/usrp/host/lib/legacy/fusb_sysconfig_ra_wb.cc
new file mode 100644 (file)
index 0000000..9da831f
--- /dev/null
@@ -0,0 +1,52 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2003,2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#include <fusb.h>
+#include <fusb_ra_wb.h>
+
+//static const int MAX_BLOCK_SIZE = 16 * 1024;         // hard limit
+// there's no hard limit, even before making any changes to the driver
+// 64k is empirically a pretty good number
+static const int MAX_BLOCK_SIZE = 64 * 1024;
+// there is a limit of 1 MB in the driver for the buffer size
+static const int FUSB_BUFFER_SIZE = 256 * (1L << 10);  // 256 kB
+
+fusb_devhandle *
+fusb_sysconfig::make_devhandle (usb_dev_handle *udh)
+{
+  return new fusb_devhandle_ra_wb (udh);
+}
+       
+int fusb_sysconfig::max_block_size ()
+{
+  return MAX_BLOCK_SIZE;
+}
+
+int fusb_sysconfig::default_block_size ()
+{
+  return fusb_sysconfig::max_block_size ();
+}
+
+int fusb_sysconfig::default_buffer_size ()
+{
+  return FUSB_BUFFER_SIZE;
+}
diff --git a/usrp/host/lib/legacy/fusb_sysconfig_win32.cc b/usrp/host/lib/legacy/fusb_sysconfig_win32.cc
new file mode 100644 (file)
index 0000000..16eaaa6
--- /dev/null
@@ -0,0 +1,48 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2003,2005 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#include <fusb.h>
+#include <fusb_win32.h>
+
+static const int MAX_BLOCK_SIZE = 64 * 1024;           // Windows kernel hard limit
+static const int FUSB_BUFFER_SIZE = 2 * (1L << 20);    // 2 MB
+       
+fusb_devhandle *
+fusb_sysconfig::make_devhandle (usb_dev_handle *udh)
+{
+  return new fusb_devhandle_win32 (udh);
+}
+
+int fusb_sysconfig::max_block_size ()
+{
+  return MAX_BLOCK_SIZE;
+}
+
+int fusb_sysconfig::default_block_size ()
+{
+  return fusb_sysconfig::max_block_size ();
+}
+
+int fusb_sysconfig::default_buffer_size ()
+{
+  return FUSB_BUFFER_SIZE;
+}
diff --git a/usrp/host/lib/legacy/fusb_win32.cc b/usrp/host/lib/legacy/fusb_win32.cc
new file mode 100644 (file)
index 0000000..8900576
--- /dev/null
@@ -0,0 +1,266 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2003,2005 Free Software Foundation, Inc.
+ *
+ * This file is part of GNU Radio
+ *
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ *
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <fusb_win32.h>
+#include <usb.h>
+#include <assert.h>
+#include <stdexcept>
+#include <string.h>
+
+static const int MAX_BLOCK_SIZE = fusb_sysconfig::max_block_size();
+static const int DEFAULT_BLOCK_SIZE = MAX_BLOCK_SIZE;
+static const int DEFAULT_BUFFER_SIZE = 16 * (1L << 20);                // 16 MB / endpoint
+
+
+static const int USB_TIMEOUT = 1000;   // in milliseconds
+
+
+fusb_devhandle_win32::fusb_devhandle_win32 (usb_dev_handle *udh)
+  : fusb_devhandle (udh)
+{
+  // that's it
+}
+
+fusb_devhandle_win32::~fusb_devhandle_win32 ()
+{
+  // nop
+}
+
+fusb_ephandle *
+fusb_devhandle_win32::make_ephandle (int endpoint, bool input_p,
+                                      int block_size, int nblocks)
+{
+  return new fusb_ephandle_win32 (this, endpoint, input_p,
+                                   block_size, nblocks);
+}
+
+// ----------------------------------------------------------------
+
+fusb_ephandle_win32::fusb_ephandle_win32 (fusb_devhandle_win32 *dh,
+                                             int endpoint, bool input_p,
+                                             int block_size, int nblocks)
+  : fusb_ephandle (endpoint, input_p, block_size, nblocks),
+    d_devhandle (dh), d_input_leftover(0),d_output_short(0)
+{
+  if (d_block_size < 0 || d_block_size > MAX_BLOCK_SIZE)
+    throw std::out_of_range ("fusb_ephandle_win32: block_size");
+
+  if (d_nblocks < 0)
+    throw std::out_of_range ("fusb_ephandle_win32: nblocks");
+
+  if (d_block_size == 0)
+    d_block_size = DEFAULT_BLOCK_SIZE;
+
+  if (d_nblocks == 0)
+    d_nblocks = std::max (1, DEFAULT_BUFFER_SIZE / d_block_size);
+
+  d_buffer = new char [d_block_size*d_nblocks];
+  d_context = new void * [d_nblocks];
+
+  // allocate contexts
+
+  usb_dev_handle *dev = dh->get_usb_dev_handle ();
+  int i;
+
+  if (d_input_p)
+    endpoint |= USB_ENDPOINT_IN;
+
+  for (i=0; i<d_nblocks; i++)
+    usb_bulk_setup_async(dev, &d_context[i], endpoint);
+}
+
+fusb_ephandle_win32::~fusb_ephandle_win32 ()
+{
+  int i;
+
+  stop ();
+
+  for (i=0; i<d_nblocks; i++)
+    usb_free_async(&d_context[i]);
+
+  delete [] d_buffer;
+  delete [] d_context;
+}
+
+bool
+fusb_ephandle_win32::start ()
+{
+  if (d_started)
+    return true;       // already running
+
+  d_started = true;
+
+  d_curr = d_nblocks-1;
+  d_outstanding_write = 0;
+  d_input_leftover =0;
+  d_output_short = 0;
+
+  if (d_input_p){      // fire off all the reads
+    int i;
+
+    for (i=0; i<d_nblocks; i++) {
+      usb_submit_async(d_context[i], (char * ) d_buffer+i*d_block_size,
+                     d_block_size);
+    }
+  }
+
+  return true;
+}
+
+bool
+fusb_ephandle_win32::stop ()
+{
+  if (!d_started)
+    return true;
+
+  if (!d_input_p)
+    wait_for_completion ();
+
+  d_started = false;
+  return true;
+}
+
+int
+fusb_ephandle_win32::write (const void *buffer, int nbytes)
+{
+  int retval=0;
+  char *buf;
+
+  if (!d_started)      // doesn't matter here, but keeps semantics constant
+    return -1;
+
+  if (d_input_p)
+    return -1;
+
+  int bytes_to_write = nbytes;
+  int a=0;
+
+  if (d_output_short != 0) {
+
+       buf = &d_buffer[d_curr*d_block_size + d_block_size - d_output_short];
+       a = std::min(nbytes, d_output_short);
+       memcpy(buf, buffer, a);
+       bytes_to_write -= a;
+       d_output_short -= a;
+
+    if (d_output_short == 0)
+        usb_submit_async(d_context[d_curr],
+                        &d_buffer[d_curr*d_block_size], d_block_size);
+  }
+
+  while (bytes_to_write > 0) {
+    d_curr = (d_curr+1)%d_nblocks;
+    buf = &d_buffer[d_curr*d_block_size];
+
+    if (d_outstanding_write != d_nblocks) {
+      d_outstanding_write++;
+    } else {
+      retval = usb_reap_async(d_context[d_curr], USB_TIMEOUT);
+      if (retval < 0) {
+                 fprintf(stderr, "%s: usb_reap_async: %s\n",
+                         __FUNCTION__, usb_strerror());
+         return retval;
+       }
+    }
+
+    int ncopy = std::min(bytes_to_write, d_block_size);
+    memcpy(buf, (void *) &(((char*)buffer)[a]), ncopy);
+    bytes_to_write -= ncopy;
+    a += ncopy;
+
+    d_output_short = d_block_size - ncopy;
+    if (d_output_short == 0)
+           usb_submit_async(d_context[d_curr], buf, d_block_size);
+  }
+
+  return retval < 0 ? retval : nbytes;
+}
+
+int
+fusb_ephandle_win32::read (void *buffer, int nbytes)
+{
+  int retval=0;
+  char *buf;
+
+  if (!d_started)      // doesn't matter here, but keeps semantics constant
+    return -1;
+
+  if (!d_input_p)
+    return -1;
+
+  int bytes_to_read = nbytes;
+
+  int a=0;
+  if (d_input_leftover != 0) {
+
+       buf = &d_buffer[d_curr*d_block_size + d_block_size - d_input_leftover];
+       a = std::min(nbytes, d_input_leftover);
+       memcpy(buffer, buf, a);
+       bytes_to_read -= a;
+       d_input_leftover -= a;
+
+    if (d_input_leftover == 0)
+        usb_submit_async(d_context[d_curr],
+                        &d_buffer[d_curr*d_block_size], d_block_size);
+  }
+
+  while (bytes_to_read > 0) {
+
+    d_curr = (d_curr+1)%d_nblocks;
+    buf = &d_buffer[d_curr*d_block_size];
+
+    retval = usb_reap_async(d_context[d_curr], USB_TIMEOUT);
+    if (retval < 0)
+         fprintf(stderr, "%s: usb_reap_async: %s\n",
+                         __FUNCTION__, usb_strerror());
+
+    int ncopy = std::min(bytes_to_read, d_block_size);
+    memcpy((void *) &(((char*)buffer)[a]), buf, ncopy);
+    bytes_to_read -= ncopy;
+    a += ncopy;
+
+    d_input_leftover = d_block_size - ncopy;
+    if (d_input_leftover == 0)
+           usb_submit_async(d_context[d_curr], buf, d_block_size);
+  }
+
+  return retval < 0 ? retval : nbytes;
+}
+
+void
+fusb_ephandle_win32::wait_for_completion ()
+{
+  int i;
+
+  for (i=0; i<d_outstanding_write; i++) {
+    int context_num;
+
+    context_num = (d_curr+d_outstanding_write+i+1)%d_nblocks;
+    usb_reap_async(d_context[context_num], USB_TIMEOUT);
+  }
+
+  d_outstanding_write = 0;
+}
diff --git a/usrp/host/lib/legacy/fusb_win32.h b/usrp/host/lib/legacy/fusb_win32.h
new file mode 100644 (file)
index 0000000..3ad2132
--- /dev/null
@@ -0,0 +1,90 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2003 Free Software Foundation, Inc.
+ *
+ * This file is part of GNU Radio
+ *
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ *
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifndef _FUSB_WIN32_H_
+#define _FUSB_WIN32_H_
+
+#include <fusb.h>
+
+/*!
+ * \brief win32 implementation of fusb_devhandle using libusb-win32
+ */
+class fusb_devhandle_win32 : public fusb_devhandle
+{
+public:
+  // CREATORS
+  fusb_devhandle_win32 (usb_dev_handle *udh);
+  virtual ~fusb_devhandle_win32 ();
+
+  // MANIPULATORS
+  virtual fusb_ephandle *make_ephandle (int endpoint, bool input_p,
+                                       int block_size = 0, int nblocks = 0);
+};
+
+
+/*!
+ * \brief win32 implementation of fusb_ephandle using libusb-win32
+ */
+class fusb_ephandle_win32 : public fusb_ephandle
+{
+private:
+  fusb_devhandle_win32 *d_devhandle;
+
+  unsigned d_curr;
+  unsigned d_outstanding_write;
+  int d_output_short;
+  int d_input_leftover;
+  void ** d_context;
+  char * d_buffer;
+
+public:
+  // CREATORS
+  fusb_ephandle_win32 (fusb_devhandle_win32 *dh, int endpoint, bool input_p,
+                        int block_size = 0, int nblocks = 0);
+  virtual ~fusb_ephandle_win32 ();
+
+  // MANIPULATORS
+
+  virtual bool start ();       //!< begin streaming i/o
+  virtual bool stop ();                //!< stop streaming i/o
+
+  /*!
+   * \returns \p nbytes if write was successfully enqueued, else -1.
+   * Will block if no free buffers available.
+   */
+  virtual int write (const void *buffer, int nbytes);
+
+  /*!
+   * \returns number of bytes read or -1 if error.
+   * number of bytes read will be <= nbytes.
+   * Will block if no input available.
+   */
+  virtual int read (void *buffer, int nbytes);
+
+  /*
+   * block until all outstanding writes have completed
+   */
+  virtual void wait_for_completion ();
+};
+
+#endif /* _FUSB_WIN32_H_ */
+
diff --git a/usrp/host/lib/legacy/gen_usrp_dbid.py b/usrp/host/lib/legacy/gen_usrp_dbid.py
new file mode 100755 (executable)
index 0000000..bbfdc75
--- /dev/null
@@ -0,0 +1,137 @@
+#!/usr/bin/env python
+
+import sys
+import os
+import os.path
+import re
+from optparse import OptionParser
+
+def write_header(f, comment_char):
+    f.write(comment_char); f.write('\n')
+    f.write(comment_char); f.write(' Machine generated by gen_usrp_dbid.py from usrp_dbid.dat\n')
+    f.write(comment_char); f.write(' Do not edit by hand.  All edits will be overwritten.\n')
+    f.write(comment_char); f.write('\n')
+    f.write('\n')
+
+def gen_dbid_py(r):
+    f = open('usrp_dbid.py', 'w')
+    comment_char = '#'
+    write_header(f, comment_char)
+    f.write(comment_char); f.write('\n')
+    f.write(comment_char); f.write(" USRP Daughterboard ID's\n")
+    f.write(comment_char); f.write('\n')
+    f.write('\n')
+    for x in r:
+        f.write('%-16s = %s\n' % (x[1], x[2]))
+
+def gen_dbid_h(r):
+    f = open('usrp_dbid.h', 'w')
+    comment_char = '//'
+    write_header(f, comment_char)
+    f.write(comment_char); f.write('\n')
+    f.write(comment_char); f.write(" USRP Daughterboard ID's\n")
+    f.write(comment_char); f.write('\n')
+    f.write('\n')
+    f.write('#ifndef INCLUDED_USRP_DBID_H\n')
+    f.write('#define INCLUDED_USRP_DBID_H\n')
+    f.write('\n')
+    for x in r:
+        f.write('#define %-25s %s\n' % ('USRP_DBID_' + x[1], x[2]))
+    f.write('\n')
+    f.write('#endif /* INCLUDED_USRP_DBID_H */\n')
+
+def gen_dbid_cc(r):
+    f = open('usrp_dbid.cc', 'w')
+    write_header(f, '//')
+    head = '''/*
+ * Copyright 2005 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#include <usrp_prims.h>
+#include <usrp_dbid.h>
+#include <stdio.h>
+
+#define NELEM(x) sizeof(x)/sizeof(x[0])
+
+static struct {
+  unsigned short       dbid;
+  const char          *name;
+} dbid_map[] = {
+'''
+    
+    tail = '''};
+
+const std::string
+usrp_dbid_to_string (int dbid)
+{
+  if (dbid == -1)
+    return "<none>";
+
+  if (dbid == -2)
+    return "<invalid EEPROM contents>";
+
+  for (unsigned i = 0; i < NELEM (dbid_map); i++)
+    if (dbid == dbid_map[i].dbid)
+      return dbid_map[i].name;
+
+  char tmp[64];
+  snprintf (tmp, sizeof (tmp), "Unknown (0x%04x)", dbid);
+  return tmp;
+}
+'''
+    f.write(head)
+    for x in r:
+        f.write('  { %-27s "%s" },\n' % (
+            'USRP_DBID_' + x[1] + ',', x[0]))
+    f.write(tail)
+
+def gen_all(src_filename):
+    src_file = open(src_filename, 'r')
+    r = []
+    for line in src_file:
+        line = line.strip()
+        line = re.sub(r'\s*#.*$','', line)
+        if len(line) == 0:
+            continue
+        mo = re.match('"([^"]+)"\s*(0x[0-9a-fA-F]+)', line)
+        if mo:
+            str_name = mo.group(1)
+            id_name = str_name.upper().replace(' ', '_')
+            id_val = mo.group(2)
+            r.append((str_name, id_name, id_val))
+            #sys.stdout.write('%-16s\t%-16s\t%s\n' % ('"'+str_name+'"', id_name, id_val))
+
+    gen_dbid_h(r)
+    gen_dbid_py(r)
+    gen_dbid_cc(r)
+    
+
+def main():
+    usage = "usage: %prog [options] usrp_dbid.dat"
+    parser = OptionParser(usage=usage)
+    (options, args) = parser.parse_args()
+    if len(args) != 1:
+        parser.print_help()
+        sys.exit(1)
+
+    gen_all(args[0])
+
+if __name__ == '__main__':
+    main()
diff --git a/usrp/host/lib/legacy/md5.c b/usrp/host/lib/legacy/md5.c
new file mode 100644 (file)
index 0000000..b15ab39
--- /dev/null
@@ -0,0 +1,452 @@
+/* md5.c - Functions to compute MD5 message digest of files or memory blocks
+   according to the definition of MD5 in RFC 1321 from April 1992.
+   Copyright (C) 1995, 1996, 2001, 2003 Free Software Foundation, Inc.
+   NOTE: The canonical source of this file is maintained with the GNU C
+   Library.  Bugs can be reported to bug-glibc@prep.ai.mit.edu.
+
+   This program is free software; you can redistribute it and/or modify it
+   under the terms of the GNU General Public License as published by the
+   Free Software Foundation; either version 3, or (at your option) any
+   later version.
+
+   This program is distributed in the hope that it will be useful,
+   but WITHOUT ANY WARRANTY; without even the implied warranty of
+   MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+   GNU General Public License for more details.
+
+   You should have received a copy of the GNU General Public License
+   along with this program; if not, write to the Free Software Foundation,
+   Inc., 51 Franklin Street, Boston, MA 02110-1301, USA.  */
+
+/* Written by Ulrich Drepper <drepper@gnu.ai.mit.edu>, 1995.  */
+
+#ifdef HAVE_CONFIG_H
+# include <config.h>
+#endif
+
+#include "md5.h"
+
+#include <sys/types.h>
+
+#include <stdlib.h>
+#include <string.h>
+
+// #include "unlocked-io.h"
+
+#ifdef _LIBC
+# include <endian.h>
+# if __BYTE_ORDER == __BIG_ENDIAN
+#  define WORDS_BIGENDIAN 1
+# endif
+/* We need to keep the namespace clean so define the MD5 function
+   protected using leading __ .  */
+# define md5_init_ctx __md5_init_ctx
+# define md5_process_block __md5_process_block
+# define md5_process_bytes __md5_process_bytes
+# define md5_finish_ctx __md5_finish_ctx
+# define md5_read_ctx __md5_read_ctx
+# define md5_stream __md5_stream
+# define md5_buffer __md5_buffer
+#endif
+
+#ifdef WORDS_BIGENDIAN
+# define SWAP(n)                                                       \
+    (((n) << 24) | (((n) & 0xff00) << 8) | (((n) >> 8) & 0xff00) | ((n) >> 24))
+#else
+# define SWAP(n) (n)
+#endif
+
+#define BLOCKSIZE 4096
+/* Ensure that BLOCKSIZE is a multiple of 64.  */
+#if BLOCKSIZE % 64 != 0
+/* FIXME-someday (soon?): use #error instead of this kludge.  */
+"invalid BLOCKSIZE"
+#endif
+
+/* This array contains the bytes used to pad the buffer to the next
+   64-byte boundary.  (RFC 1321, 3.1: Step 1)  */
+static const unsigned char fillbuf[64] = { 0x80, 0 /* , 0, 0, ...  */ };
+
+
+/* Initialize structure containing state of computation.
+   (RFC 1321, 3.3: Step 3)  */
+void
+md5_init_ctx (struct md5_ctx *ctx)
+{
+  ctx->A = 0x67452301;
+  ctx->B = 0xefcdab89;
+  ctx->C = 0x98badcfe;
+  ctx->D = 0x10325476;
+
+  ctx->total[0] = ctx->total[1] = 0;
+  ctx->buflen = 0;
+}
+
+/* Put result from CTX in first 16 bytes following RESBUF.  The result
+   must be in little endian byte order.
+
+   IMPORTANT: On some systems it is required that RESBUF is correctly
+   aligned for a 32 bits value.  */
+void *
+md5_read_ctx (const struct md5_ctx *ctx, void *resbuf)
+{
+  ((md5_uint32 *) resbuf)[0] = SWAP (ctx->A);
+  ((md5_uint32 *) resbuf)[1] = SWAP (ctx->B);
+  ((md5_uint32 *) resbuf)[2] = SWAP (ctx->C);
+  ((md5_uint32 *) resbuf)[3] = SWAP (ctx->D);
+
+  return resbuf;
+}
+
+/* Process the remaining bytes in the internal buffer and the usual
+   prolog according to the standard and write the result to RESBUF.
+
+   IMPORTANT: On some systems it is required that RESBUF is correctly
+   aligned for a 32 bits value.  */
+void *
+md5_finish_ctx (struct md5_ctx *ctx, void *resbuf)
+{
+  /* Take yet unprocessed bytes into account.  */
+  md5_uint32 bytes = ctx->buflen;
+  size_t pad;
+
+  /* Now count remaining bytes.  */
+  ctx->total[0] += bytes;
+  if (ctx->total[0] < bytes)
+    ++ctx->total[1];
+
+  pad = bytes >= 56 ? 64 + 56 - bytes : 56 - bytes;
+  memcpy (&ctx->buffer[bytes], fillbuf, pad);
+
+  /* Put the 64-bit file length in *bits* at the end of the buffer.  */
+  *(md5_uint32 *) &ctx->buffer[bytes + pad] = SWAP (ctx->total[0] << 3);
+  *(md5_uint32 *) &ctx->buffer[bytes + pad + 4] = SWAP ((ctx->total[1] << 3) |
+                                                       (ctx->total[0] >> 29));
+
+  /* Process last bytes.  */
+  md5_process_block (ctx->buffer, bytes + pad + 8, ctx);
+
+  return md5_read_ctx (ctx, resbuf);
+}
+
+/* Compute MD5 message digest for bytes read from STREAM.  The
+   resulting message digest number will be written into the 16 bytes
+   beginning at RESBLOCK.  */
+int
+md5_stream (FILE *stream, void *resblock)
+{
+  struct md5_ctx ctx;
+  char buffer[BLOCKSIZE + 72];
+  size_t sum;
+
+  /* Initialize the computation context.  */
+  md5_init_ctx (&ctx);
+
+  /* Iterate over full file contents.  */
+  while (1)
+    {
+      /* We read the file in blocks of BLOCKSIZE bytes.  One call of the
+        computation function processes the whole buffer so that with the
+        next round of the loop another block can be read.  */
+      size_t n;
+      sum = 0;
+
+      /* Read block.  Take care for partial reads.  */
+      while (1)
+       {
+         n = fread (buffer + sum, 1, BLOCKSIZE - sum, stream);
+
+         sum += n;
+
+         if (sum == BLOCKSIZE)
+           break;
+
+         if (n == 0)
+           {
+             /* Check for the error flag IFF N == 0, so that we don't
+                exit the loop after a partial read due to e.g., EAGAIN
+                or EWOULDBLOCK.  */
+             if (ferror (stream))
+               return 1;
+             goto process_partial_block;
+           }
+
+         /* We've read at least one byte, so ignore errors.  But always
+            check for EOF, since feof may be true even though N > 0.
+            Otherwise, we could end up calling fread after EOF.  */
+         if (feof (stream))
+           goto process_partial_block;
+       }
+
+      /* Process buffer with BLOCKSIZE bytes.  Note that
+                       BLOCKSIZE % 64 == 0
+       */
+      md5_process_block (buffer, BLOCKSIZE, &ctx);
+    }
+
+ process_partial_block:;
+
+  /* Process any remaining bytes.  */
+  if (sum > 0)
+    md5_process_bytes (buffer, sum, &ctx);
+
+  /* Construct result in desired memory.  */
+  md5_finish_ctx (&ctx, resblock);
+  return 0;
+}
+
+/* Compute MD5 message digest for LEN bytes beginning at BUFFER.  The
+   result is always in little endian byte order, so that a byte-wise
+   output yields to the wanted ASCII representation of the message
+   digest.  */
+void *
+md5_buffer (const char *buffer, size_t len, void *resblock)
+{
+  struct md5_ctx ctx;
+
+  /* Initialize the computation context.  */
+  md5_init_ctx (&ctx);
+
+  /* Process whole buffer but last len % 64 bytes.  */
+  md5_process_bytes (buffer, len, &ctx);
+
+  /* Put result in desired memory area.  */
+  return md5_finish_ctx (&ctx, resblock);
+}
+
+
+void
+md5_process_bytes (const void *buffer, size_t len, struct md5_ctx *ctx)
+{
+  /* When we already have some bits in our internal buffer concatenate
+     both inputs first.  */
+  if (ctx->buflen != 0)
+    {
+      size_t left_over = ctx->buflen;
+      size_t add = 128 - left_over > len ? len : 128 - left_over;
+
+      memcpy (&ctx->buffer[left_over], buffer, add);
+      ctx->buflen += add;
+
+      if (ctx->buflen > 64)
+       {
+         md5_process_block (ctx->buffer, ctx->buflen & ~63, ctx);
+
+         ctx->buflen &= 63;
+         /* The regions in the following copy operation cannot overlap.  */
+         memcpy (ctx->buffer, &ctx->buffer[(left_over + add) & ~63],
+                 ctx->buflen);
+       }
+
+      buffer = (const char *) buffer + add;
+      len -= add;
+    }
+
+  /* Process available complete blocks.  */
+  if (len >= 64)
+    {
+#if !_STRING_ARCH_unaligned
+/* To check alignment gcc has an appropriate operator.  Other
+   compilers don't.  */
+# if __GNUC__ >= 2
+#  define UNALIGNED_P(p) (((md5_uintptr) p) % __alignof__ (md5_uint32) != 0)
+# else
+#  define UNALIGNED_P(p) (((md5_uintptr) p) % sizeof (md5_uint32) != 0)
+# endif
+      if (UNALIGNED_P (buffer))
+       while (len > 64)
+         {
+           md5_process_block (memcpy (ctx->buffer, buffer, 64), 64, ctx);
+           buffer = (const char *) buffer + 64;
+           len -= 64;
+         }
+      else
+#endif
+       {
+         md5_process_block (buffer, len & ~63, ctx);
+         buffer = (const char *) buffer + (len & ~63);
+         len &= 63;
+       }
+    }
+
+  /* Move remaining bytes in internal buffer.  */
+  if (len > 0)
+    {
+      size_t left_over = ctx->buflen;
+
+      memcpy (&ctx->buffer[left_over], buffer, len);
+      left_over += len;
+      if (left_over >= 64)
+       {
+         md5_process_block (ctx->buffer, 64, ctx);
+         left_over -= 64;
+         memcpy (ctx->buffer, &ctx->buffer[64], left_over);
+       }
+      ctx->buflen = left_over;
+    }
+}
+
+
+/* These are the four functions used in the four steps of the MD5 algorithm
+   and defined in the RFC 1321.  The first function is a little bit optimized
+   (as found in Colin Plumbs public domain implementation).  */
+/* #define FF(b, c, d) ((b & c) | (~b & d)) */
+#define FF(b, c, d) (d ^ (b & (c ^ d)))
+#define FG(b, c, d) FF (d, b, c)
+#define FH(b, c, d) (b ^ c ^ d)
+#define FI(b, c, d) (c ^ (b | ~d))
+
+/* Process LEN bytes of BUFFER, accumulating context into CTX.
+   It is assumed that LEN % 64 == 0.  */
+
+void
+md5_process_block (const void *buffer, size_t len, struct md5_ctx *ctx)
+{
+  md5_uint32 correct_words[16];
+  const md5_uint32 *words = buffer;
+  size_t nwords = len / sizeof (md5_uint32);
+  const md5_uint32 *endp = words + nwords;
+  md5_uint32 A = ctx->A;
+  md5_uint32 B = ctx->B;
+  md5_uint32 C = ctx->C;
+  md5_uint32 D = ctx->D;
+
+  /* First increment the byte count.  RFC 1321 specifies the possible
+     length of the file up to 2^64 bits.  Here we only compute the
+     number of bytes.  Do a double word increment.  */
+  ctx->total[0] += len;
+  if (ctx->total[0] < len)
+    ++ctx->total[1];
+
+  /* Process all bytes in the buffer with 64 bytes in each round of
+     the loop.  */
+  while (words < endp)
+    {
+      md5_uint32 *cwp = correct_words;
+      md5_uint32 A_save = A;
+      md5_uint32 B_save = B;
+      md5_uint32 C_save = C;
+      md5_uint32 D_save = D;
+
+      /* First round: using the given function, the context and a constant
+        the next context is computed.  Because the algorithms processing
+        unit is a 32-bit word and it is determined to work on words in
+        little endian byte order we perhaps have to change the byte order
+        before the computation.  To reduce the work for the next steps
+        we store the swapped words in the array CORRECT_WORDS.  */
+
+#define OP(a, b, c, d, s, T)                                           \
+      do                                                               \
+        {                                                              \
+         a += FF (b, c, d) + (*cwp++ = SWAP (*words)) + T;             \
+         ++words;                                                      \
+         a = rol (a, s);                                               \
+         a += b;                                                       \
+        }                                                              \
+      while (0)
+
+      /* Before we start, one word to the strange constants.
+        They are defined in RFC 1321 as
+
+        T[i] = (int) (4294967296.0 * fabs (sin (i))), i=1..64, or
+        perl -e 'foreach(1..64){printf "0x%08x\n", int (4294967296 * abs (sin $_))}'
+       */
+
+      /* Round 1.  */
+      OP (A, B, C, D,  7, 0xd76aa478);
+      OP (D, A, B, C, 12, 0xe8c7b756);
+      OP (C, D, A, B, 17, 0x242070db);
+      OP (B, C, D, A, 22, 0xc1bdceee);
+      OP (A, B, C, D,  7, 0xf57c0faf);
+      OP (D, A, B, C, 12, 0x4787c62a);
+      OP (C, D, A, B, 17, 0xa8304613);
+      OP (B, C, D, A, 22, 0xfd469501);
+      OP (A, B, C, D,  7, 0x698098d8);
+      OP (D, A, B, C, 12, 0x8b44f7af);
+      OP (C, D, A, B, 17, 0xffff5bb1);
+      OP (B, C, D, A, 22, 0x895cd7be);
+      OP (A, B, C, D,  7, 0x6b901122);
+      OP (D, A, B, C, 12, 0xfd987193);
+      OP (C, D, A, B, 17, 0xa679438e);
+      OP (B, C, D, A, 22, 0x49b40821);
+
+      /* For the second to fourth round we have the possibly swapped words
+        in CORRECT_WORDS.  Redefine the macro to take an additional first
+        argument specifying the function to use.  */
+#undef OP
+#define OP(f, a, b, c, d, k, s, T)                                     \
+      do                                                               \
+       {                                                               \
+         a += f (b, c, d) + correct_words[k] + T;                      \
+         a = rol (a, s);                                               \
+         a += b;                                                       \
+       }                                                               \
+      while (0)
+
+      /* Round 2.  */
+      OP (FG, A, B, C, D,  1,  5, 0xf61e2562);
+      OP (FG, D, A, B, C,  6,  9, 0xc040b340);
+      OP (FG, C, D, A, B, 11, 14, 0x265e5a51);
+      OP (FG, B, C, D, A,  0, 20, 0xe9b6c7aa);
+      OP (FG, A, B, C, D,  5,  5, 0xd62f105d);
+      OP (FG, D, A, B, C, 10,  9, 0x02441453);
+      OP (FG, C, D, A, B, 15, 14, 0xd8a1e681);
+      OP (FG, B, C, D, A,  4, 20, 0xe7d3fbc8);
+      OP (FG, A, B, C, D,  9,  5, 0x21e1cde6);
+      OP (FG, D, A, B, C, 14,  9, 0xc33707d6);
+      OP (FG, C, D, A, B,  3, 14, 0xf4d50d87);
+      OP (FG, B, C, D, A,  8, 20, 0x455a14ed);
+      OP (FG, A, B, C, D, 13,  5, 0xa9e3e905);
+      OP (FG, D, A, B, C,  2,  9, 0xfcefa3f8);
+      OP (FG, C, D, A, B,  7, 14, 0x676f02d9);
+      OP (FG, B, C, D, A, 12, 20, 0x8d2a4c8a);
+
+      /* Round 3.  */
+      OP (FH, A, B, C, D,  5,  4, 0xfffa3942);
+      OP (FH, D, A, B, C,  8, 11, 0x8771f681);
+      OP (FH, C, D, A, B, 11, 16, 0x6d9d6122);
+      OP (FH, B, C, D, A, 14, 23, 0xfde5380c);
+      OP (FH, A, B, C, D,  1,  4, 0xa4beea44);
+      OP (FH, D, A, B, C,  4, 11, 0x4bdecfa9);
+      OP (FH, C, D, A, B,  7, 16, 0xf6bb4b60);
+      OP (FH, B, C, D, A, 10, 23, 0xbebfbc70);
+      OP (FH, A, B, C, D, 13,  4, 0x289b7ec6);
+      OP (FH, D, A, B, C,  0, 11, 0xeaa127fa);
+      OP (FH, C, D, A, B,  3, 16, 0xd4ef3085);
+      OP (FH, B, C, D, A,  6, 23, 0x04881d05);
+      OP (FH, A, B, C, D,  9,  4, 0xd9d4d039);
+      OP (FH, D, A, B, C, 12, 11, 0xe6db99e5);
+      OP (FH, C, D, A, B, 15, 16, 0x1fa27cf8);
+      OP (FH, B, C, D, A,  2, 23, 0xc4ac5665);
+
+      /* Round 4.  */
+      OP (FI, A, B, C, D,  0,  6, 0xf4292244);
+      OP (FI, D, A, B, C,  7, 10, 0x432aff97);
+      OP (FI, C, D, A, B, 14, 15, 0xab9423a7);
+      OP (FI, B, C, D, A,  5, 21, 0xfc93a039);
+      OP (FI, A, B, C, D, 12,  6, 0x655b59c3);
+      OP (FI, D, A, B, C,  3, 10, 0x8f0ccc92);
+      OP (FI, C, D, A, B, 10, 15, 0xffeff47d);
+      OP (FI, B, C, D, A,  1, 21, 0x85845dd1);
+      OP (FI, A, B, C, D,  8,  6, 0x6fa87e4f);
+      OP (FI, D, A, B, C, 15, 10, 0xfe2ce6e0);
+      OP (FI, C, D, A, B,  6, 15, 0xa3014314);
+      OP (FI, B, C, D, A, 13, 21, 0x4e0811a1);
+      OP (FI, A, B, C, D,  4,  6, 0xf7537e82);
+      OP (FI, D, A, B, C, 11, 10, 0xbd3af235);
+      OP (FI, C, D, A, B,  2, 15, 0x2ad7d2bb);
+      OP (FI, B, C, D, A,  9, 21, 0xeb86d391);
+
+      /* Add the starting values of the context.  */
+      A += A_save;
+      B += B_save;
+      C += C_save;
+      D += D_save;
+    }
+
+  /* Put checksum in context given as argument.  */
+  ctx->A = A;
+  ctx->B = B;
+  ctx->C = C;
+  ctx->D = D;
+}
diff --git a/usrp/host/lib/legacy/md5.h b/usrp/host/lib/legacy/md5.h
new file mode 100644 (file)
index 0000000..4a4e790
--- /dev/null
@@ -0,0 +1,129 @@
+/* md5.h - Declaration of functions and data types used for MD5 sum
+   computing library functions.
+   Copyright (C) 1995, 1996, 1999, 2000, 2003 Free Software Foundation, Inc.
+   NOTE: The canonical source of this file is maintained with the GNU C
+   Library.  Bugs can be reported to bug-glibc@prep.ai.mit.edu.
+
+   This program is free software; you can redistribute it and/or modify it
+   under the terms of the GNU General Public License as published by the
+   Free Software Foundation; either version 3, or (at your option) any
+   later version.
+
+   This program is distributed in the hope that it will be useful,
+   but WITHOUT ANY WARRANTY; without even the implied warranty of
+   MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+   GNU General Public License for more details.
+
+   You should have received a copy of the GNU General Public License
+   along with this program; if not, write to the Free Software Foundation,
+   Inc., 51 Franklin Street, Boston, MA 02110-1301, USA.  */
+
+#ifndef _MD5_H
+#define _MD5_H 1
+
+#include <stdio.h>
+#include <limits.h>
+
+/* The following contortions are an attempt to use the C preprocessor
+   to determine an unsigned integral type that is 32 bits wide.  An
+   alternative approach is to use autoconf's AC_CHECK_SIZEOF macro, but
+   doing that would require that the configure script compile and *run*
+   the resulting executable.  Locally running cross-compiled executables
+   is usually not possible.  */
+
+#ifdef _LIBC
+# include <stdint.h>
+typedef uint32_t md5_uint32;
+typedef uintptr_t md5_uintptr;
+#else
+# define UINT_MAX_32_BITS 4294967295U
+
+# if UINT_MAX == UINT_MAX_32_BITS
+   typedef unsigned int md5_uint32;
+# else
+#  if USHRT_MAX == UINT_MAX_32_BITS
+    typedef unsigned short md5_uint32;
+#  else
+#   if ULONG_MAX == UINT_MAX_32_BITS
+     typedef unsigned long md5_uint32;
+#   else
+     /* The following line is intended to evoke an error.
+        Using #error is not portable enough.  */
+     "Cannot determine unsigned 32-bit data type."
+#   endif
+#  endif
+# endif
+/* We have to make a guess about the integer type equivalent in size
+   to pointers which should always be correct.  */
+typedef unsigned long int md5_uintptr;
+#endif
+
+/* Structure to save state of computation between the single steps.  */
+struct md5_ctx
+{
+  md5_uint32 A;
+  md5_uint32 B;
+  md5_uint32 C;
+  md5_uint32 D;
+
+  md5_uint32 total[2];
+  md5_uint32 buflen;
+  char buffer[128];
+};
+
+/*
+ * The following three functions are build up the low level used in
+ * the functions `md5_stream' and `md5_buffer'.
+ */
+
+/* Initialize structure containing state of computation.
+   (RFC 1321, 3.3: Step 3)  */
+extern void md5_init_ctx (struct md5_ctx *ctx);
+
+/* Starting with the result of former calls of this function (or the
+   initialization function update the context for the next LEN bytes
+   starting at BUFFER.
+   It is necessary that LEN is a multiple of 64!!! */
+extern void md5_process_block (const void *buffer, size_t len,
+                              struct md5_ctx *ctx);
+
+/* Starting with the result of former calls of this function (or the
+   initialization function update the context for the next LEN bytes
+   starting at BUFFER.
+   It is NOT required that LEN is a multiple of 64.  */
+extern void md5_process_bytes (const void *buffer, size_t len,
+                              struct md5_ctx *ctx);
+
+/* Process the remaining bytes in the buffer and put result from CTX
+   in first 16 bytes following RESBUF.  The result is always in little
+   endian byte order, so that a byte-wise output yields to the wanted
+   ASCII representation of the message digest.
+
+   IMPORTANT: On some systems it is required that RESBUF be correctly
+   aligned for a 32 bits value.  */
+extern void *md5_finish_ctx (struct md5_ctx *ctx, void *resbuf);
+
+
+/* Put result from CTX in first 16 bytes following RESBUF.  The result is
+   always in little endian byte order, so that a byte-wise output yields
+   to the wanted ASCII representation of the message digest.
+
+   IMPORTANT: On some systems it is required that RESBUF is correctly
+   aligned for a 32 bits value.  */
+extern void *md5_read_ctx (const struct md5_ctx *ctx, void *resbuf);
+
+
+/* Compute MD5 message digest for bytes read from STREAM.  The
+   resulting message digest number will be written into the 16 bytes
+   beginning at RESBLOCK.  */
+extern int md5_stream (FILE *stream, void *resblock);
+
+/* Compute MD5 message digest for LEN bytes beginning at BUFFER.  The
+   result is always in little endian byte order, so that a byte-wise
+   output yields to the wanted ASCII representation of the message
+   digest.  */
+extern void *md5_buffer (const char *buffer, size_t len, void *resblock);
+
+#define rol(x,n) ( ((x) << (n)) | ((x) >> (32-(n))) )
+
+#endif
diff --git a/usrp/host/lib/legacy/mld_threads.h b/usrp/host/lib/legacy/mld_threads.h
new file mode 100644 (file)
index 0000000..322f557
--- /dev/null
@@ -0,0 +1,275 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio.
+ *
+ * Primary Author: Michael Dickens, NCIP Lab, University of Notre Dame
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifndef _INCLUDED_MLD_THREADS_H_
+#define _INCLUDED_MLD_THREADS_H_
+
+/* classes which allow for either pthreads or omni_threads */
+
+#define __macos__
+#ifdef _USE_OMNI_THREADS_
+#include <gnuradio/omnithread.h>
+#else
+#include <pthread.h>
+#endif
+
+#include <stdexcept>
+
+#define __INLINE__ inline
+
+#ifndef DO_DEBUG
+#define DO_DEBUG 0
+#endif
+
+#if DO_DEBUG
+#define DEBUG(X) do{X} while(0);
+#else
+#define DEBUG(X) do{} while(0);
+#endif
+
+class mld_condition_t;
+
+class mld_mutex_t {
+#ifdef _USE_OMNI_THREADS_
+  typedef omni_mutex l_mutex, *l_mutex_ptr;
+#else
+  typedef pthread_mutex_t l_mutex, *l_mutex_ptr;
+#endif
+
+  friend class mld_condition_t;
+
+private:
+  l_mutex_ptr d_mutex;
+
+protected:
+  inline l_mutex_ptr mutex () { return (d_mutex); };
+
+public:
+  __INLINE__ mld_mutex_t () {
+#ifdef _USE_OMNI_THREADS_
+    d_mutex = new omni_mutex ();
+#else
+    d_mutex = (l_mutex_ptr) new l_mutex;
+    int l_ret = pthread_mutex_init (d_mutex, NULL);
+    if (l_ret != 0) {
+      fprintf (stderr, "Error %d creating mutex.\n", l_ret);
+      throw std::runtime_error ("mld_mutex_t::mld_mutex_t()\n");
+    }
+#endif
+  };
+
+  __INLINE__ ~mld_mutex_t () {
+    unlock ();
+#ifndef _USE_OMNI_THREADS_
+    int l_ret = pthread_mutex_destroy (d_mutex);
+    if (l_ret != 0) {
+      fprintf (stderr, "mld_mutex_t::~mld_mutex_t(): "
+              "Error %d destroying mutex.\n", l_ret);
+    }
+#endif
+    delete d_mutex;
+    d_mutex = NULL;
+  };
+
+  __INLINE__ void lock () {
+#ifdef _USE_OMNI_THREADS_
+    d_mutex->lock ();
+#else
+    int l_ret = pthread_mutex_lock (d_mutex);
+    if (l_ret != 0) {
+      fprintf (stderr, "mld_mutex_t::lock(): "
+              "Error %d locking mutex.\n", l_ret);
+    }
+#endif
+  };
+
+  __INLINE__ void unlock () {
+#ifdef _USE_OMNI_THREADS_
+    d_mutex->unlock ();
+#else
+    int l_ret = pthread_mutex_unlock (d_mutex);
+    if (l_ret != 0) {
+      fprintf (stderr, "mld_mutex_t::unlock(): "
+              "Error %d locking mutex.\n", l_ret);
+    }
+#endif
+  };
+
+  __INLINE__ bool trylock () {
+#ifdef _USE_OMNI_THREADS_
+    int l_ret = d_mutex->trylock ();
+#else
+    int l_ret = pthread_mutex_unlock (d_mutex);
+#endif
+    return (l_ret == 0 ? true : false);
+  };
+
+  inline void acquire () { lock(); };
+  inline void release () { unlock(); };
+  inline void wait () { lock(); };
+  inline void post () { unlock(); };
+};
+
+typedef mld_mutex_t mld_mutex, *mld_mutex_ptr;
+
+class mld_condition_t {
+#ifdef _USE_OMNI_THREADS_
+  typedef omni_condition l_condition, *l_condition_ptr;
+#else
+  typedef pthread_cond_t l_condition, *l_condition_ptr;
+#endif
+
+private:
+  l_condition_ptr d_condition;
+  mld_mutex_ptr d_mutex;
+  bool d_i_own_mutex;
+
+public:
+  __INLINE__ mld_condition_t (mld_mutex_ptr mutex = NULL) {
+    if (mutex) {
+      d_i_own_mutex = false;
+      d_mutex = mutex;
+    } else {
+      d_i_own_mutex = true;
+      d_mutex = new mld_mutex ();
+    }
+#ifdef _USE_OMNI_THREADS_
+    d_condition = new omni_condition (d_mutex->mutex ());
+#else
+    d_condition = (l_condition_ptr) new l_condition;
+    int l_ret = pthread_cond_init (d_condition, NULL);
+    if (l_ret != 0) {
+      fprintf (stderr, "Error %d creating condition.\n", l_ret);
+      throw std::runtime_error ("mld_condition_t::mld_condition_t()\n");
+    }
+#endif
+  };
+
+  __INLINE__ ~mld_condition_t () {
+    signal ();
+#ifndef _USE_OMNI_THREADS_
+    int l_ret = pthread_cond_destroy (d_condition);
+    if (l_ret != 0) {
+      fprintf (stderr, "mld_condition_t::mld_condition_t(): "
+              "Error %d destroying condition.\n", l_ret);
+    }
+#endif
+    delete d_condition;
+    d_condition = NULL;
+    if (d_i_own_mutex)
+      delete d_mutex;
+    d_mutex = NULL;
+  };
+
+  __INLINE__ mld_mutex_ptr mutex () {return (d_mutex);};
+
+  __INLINE__ void signal () {
+    DEBUG (fprintf (stderr, "a "););
+
+#ifdef _USE_OMNI_THREADS_
+    d_condition->signal ();
+#else
+    int l_ret = pthread_cond_signal (d_condition);
+    if (l_ret != 0) {
+      fprintf (stderr, "mld_condition_t::signal(): "
+              "Error %d.\n", l_ret);
+    }
+#endif
+    DEBUG (fprintf (stderr, "b "););
+  };
+
+  __INLINE__ void wait () {
+    DEBUG (fprintf (stderr, "c "););
+#ifdef _USE_OMNI_THREADS_
+    d_condition->wait ();
+#else
+    int l_ret = pthread_cond_wait (d_condition, d_mutex->mutex ());
+    if (l_ret != 0) {
+      fprintf (stderr, "mld_condition_t::wait(): "
+              "Error %d.\n", l_ret);
+    }
+#endif
+    DEBUG (fprintf (stderr, "d "););
+  };
+};
+
+typedef mld_condition_t mld_condition, *mld_condition_ptr;
+
+class mld_thread_t {
+#ifdef _USE_OMNI_THREADS_
+  typedef omni_thread l_thread, *l_thread_ptr;
+#else
+  typedef pthread_t l_thread, *l_thread_ptr;
+#endif
+
+private:
+#ifndef _USE_OMNI_THREADS_
+  l_thread d_thread;
+  void (*d_start_routine)(void*);
+  void *d_arg;
+#else
+  l_thread_ptr d_thread;
+#endif
+
+#ifndef _USE_OMNI_THREADS_
+  static void* local_start_routine (void *arg) {
+    mld_thread_t* This = (mld_thread_t*) arg;
+    (*(This->d_start_routine))(This->d_arg);
+    return (NULL);
+  };
+#endif
+
+public:
+  __INLINE__ mld_thread_t (void (*start_routine)(void *), void *arg) {
+#ifdef _USE_OMNI_THREADS_
+    d_thread = new omni_thread (start_routine, arg);
+    d_thread->start ();
+#else
+    d_start_routine = start_routine;
+    d_arg = arg;
+    int l_ret = pthread_create (&d_thread, NULL, local_start_routine, this);
+    if (l_ret != 0) {
+      fprintf (stderr, "Error %d creating thread.\n", l_ret);
+      throw std::runtime_error ("mld_thread_t::mld_thread_t()\n");
+    }
+#endif
+  };
+
+  __INLINE__ ~mld_thread_t () {
+#ifdef _USE_OMNI_THREADS_
+//  delete d_thread;
+    d_thread = NULL;
+#else
+    int l_ret = pthread_detach (d_thread);
+    if (l_ret != 0) {
+      fprintf (stderr, "Error %d detaching thread.\n", l_ret);
+      throw std::runtime_error ("mld_thread_t::~mld_thread_t()\n");
+    }
+#endif
+  };
+};
+
+typedef mld_thread_t mld_thread, *mld_thread_ptr;
+
+#endif /* _INCLUDED_MLD_THREADS_H_ */
diff --git a/usrp/host/lib/legacy/rate_to_regval.h b/usrp/host/lib/legacy/rate_to_regval.h
new file mode 100644 (file)
index 0000000..1ffdc0f
--- /dev/null
@@ -0,0 +1,97 @@
+  {   1, 0x00 },
+  {   2, 0x01 },
+  {   3, 0x02 },
+  {   4, 0x11 },
+  {   5, 0x04 },
+  {   6, 0x05 },
+  {   7, 0x06 },
+  {   8, 0x13 },
+  {   9, 0x08 },
+  {  10, 0x09 },
+  {  11, 0x0a },
+  {  12, 0x15 },
+  {  13, 0x0c },
+  {  14, 0x0d },
+  {  15, 0x0e },
+  {  16, 0x33 },
+  {  18, 0x18 },
+  {  20, 0x19 },
+  {  21, 0x26 },
+  {  22, 0x1a },
+  {  24, 0x35 },
+  {  25, 0x44 },
+  {  26, 0x1c },
+  {  27, 0x28 },
+  {  28, 0x1d },
+  {  30, 0x1e },
+  {  32, 0x37 },
+  {  33, 0x2a },
+  {  35, 0x46 },
+  {  36, 0x55 },
+  {  39, 0x2c },
+  {  40, 0x39 },
+  {  42, 0x56 },
+  {  44, 0x3a },
+  {  45, 0x2e },
+  {  48, 0x57 },
+  {  49, 0x66 },
+  {  50, 0x49 },
+  {  52, 0x3c },
+  {  54, 0x58 },
+  {  55, 0x4a },
+  {  56, 0x3d },
+  {  60, 0x59 },
+  {  63, 0x68 },
+  {  64, 0x77 },
+  {  65, 0x4c },
+  {  66, 0x5a },
+  {  70, 0x69 },
+  {  72, 0x5b },
+  {  75, 0x4e },
+  {  77, 0x6a },
+  {  78, 0x5c },
+  {  80, 0x79 },
+  {  81, 0x88 },
+  {  84, 0x5d },
+  {  88, 0x7a },
+  {  90, 0x5e },
+  {  91, 0x6c },
+  {  96, 0x7b },
+  {  98, 0x6d },
+  {  99, 0x8a },
+  { 100, 0x99 },
+  { 104, 0x7c },
+  { 105, 0x6e },
+  { 108, 0x8b },
+  { 110, 0x9a },
+  { 112, 0x7d },
+  { 117, 0x8c },
+  { 120, 0x9b },
+  { 121, 0xaa },
+  { 126, 0x8d },
+  { 128, 0x7f },
+  { 130, 0x9c },
+  { 132, 0xab },
+  { 135, 0x8e },
+  { 140, 0x9d },
+  { 143, 0xac },
+  { 144, 0xbb },
+  { 150, 0x9e },
+  { 154, 0xad },
+  { 156, 0xbc },
+  { 160, 0x9f },
+  { 165, 0xae },
+  { 168, 0xbd },
+  { 169, 0xcc },
+  { 176, 0xaf },
+  { 180, 0xbe },
+  { 182, 0xcd },
+  { 192, 0xbf },
+  { 195, 0xce },
+  { 196, 0xdd },
+  { 208, 0xcf },
+  { 210, 0xde },
+  { 224, 0xdf },
+  { 225, 0xee },
+  { 240, 0xef },
+  { 256, 0xff }
diff --git a/usrp/host/lib/legacy/stamp-sources-generate b/usrp/host/lib/legacy/stamp-sources-generate
new file mode 100644 (file)
index 0000000..e69de29
diff --git a/usrp/host/lib/legacy/std_paths.h.in b/usrp/host/lib/legacy/std_paths.h.in
new file mode 100644 (file)
index 0000000..e09499e
--- /dev/null
@@ -0,0 +1,27 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2005 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+static const char *std_paths[] = {
+  "@prefix@/share/usrp",
+  "/usr/local/share/usrp",
+  0
+};
diff --git a/usrp/host/lib/legacy/usrp_basic.cc b/usrp/host/lib/legacy/usrp_basic.cc
new file mode 100644 (file)
index 0000000..295c62f
--- /dev/null
@@ -0,0 +1,1552 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2003,2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include "usrp_basic.h"
+#include "usrp_prims.h"
+#include "usrp_interfaces.h"
+#include "fpga_regs_common.h"
+#include "fpga_regs_standard.h"
+#include "fusb.h"
+#include "db_boards.h"
+#include <usb.h>
+#include <stdexcept>
+#include <assert.h>
+#include <math.h>
+#include <ad9862.h>
+#include <string.h>
+#include <cstdio>
+
+using namespace ad9862;
+
+#define NELEM(x) (sizeof (x) / sizeof (x[0]))
+
+// These set the buffer size used for each end point using the fast
+// usb interface.  The kernel ends up locking down this much memory.
+
+static const int FUSB_BUFFER_SIZE = fusb_sysconfig::default_buffer_size();
+static const int FUSB_BLOCK_SIZE = fusb_sysconfig::max_block_size();
+static const int FUSB_NBLOCKS    = FUSB_BUFFER_SIZE / FUSB_BLOCK_SIZE;
+
+
+static const double POLLING_INTERVAL = 0.1;    // seconds
+
+////////////////////////////////////////////////////////////////
+
+static struct usb_dev_handle *
+open_rx_interface (struct usb_device *dev)
+{
+  struct usb_dev_handle *udh = usrp_open_rx_interface (dev);
+  if (udh == 0){
+    fprintf (stderr, "usrp_basic_rx: can't open rx interface\n");
+    usb_strerror ();
+  }
+  return udh;
+}
+
+static struct usb_dev_handle *
+open_tx_interface (struct usb_device *dev)
+{
+  struct usb_dev_handle *udh = usrp_open_tx_interface (dev);
+  if (udh == 0){
+    fprintf (stderr, "usrp_basic_tx: can't open tx interface\n");
+    usb_strerror ();
+  }
+  return udh;
+}
+
+
+//////////////////////////////////////////////////////////////////
+//
+//                     usrp_basic
+//
+////////////////////////////////////////////////////////////////
+
+
+// Given:
+//   CLKIN = 64 MHz
+//   CLKSEL pin = high 
+//
+// These settings give us:
+//   CLKOUT1 = CLKIN = 64 MHz
+//   CLKOUT2 = CLKIN = 64 MHz
+//   ADC is clocked at  64 MHz
+//   DAC is clocked at 128 MHz
+
+static unsigned char common_regs[] = {
+  REG_GENERAL,         0,
+  REG_DLL,             (DLL_DISABLE_INTERNAL_XTAL_OSC
+                        | DLL_MULT_2X
+                        | DLL_FAST),
+  REG_CLKOUT,          CLKOUT2_EQ_DLL_OVER_2,
+  REG_AUX_ADC_CLK,     AUX_ADC_CLK_CLK_OVER_4
+};
+
+
+usrp_basic::usrp_basic (int which_board, 
+                       struct usb_dev_handle *
+                       open_interface (struct usb_device *dev),
+                       const std::string fpga_filename,
+                       const std::string firmware_filename)
+  : d_udh (0),
+    d_usb_data_rate (16000000),        // SWAG, see below
+    d_bytes_per_poll ((int) (POLLING_INTERVAL * d_usb_data_rate)),
+    d_verbose (false), d_fpga_master_clock_freq(64000000), d_db(2)
+{
+  /*
+   * SWAG: Scientific Wild Ass Guess.
+   *
+   * d_usb_data_rate is used only to determine how often to poll for over- and under-runs.
+   * We defualt it to 1/2  of our best case.  Classes derived from usrp_basic (e.g., 
+   * usrp_standard_tx and usrp_standard_rx) call set_usb_data_rate() to tell us the
+   * actual rate.  This doesn't change our throughput, that's determined by the signal
+   * processing code in the FPGA (which we know nothing about), and the system limits
+   * determined by libusb, fusb_*, and the underlying drivers.
+   */
+  memset (d_fpga_shadows, 0, sizeof (d_fpga_shadows));
+
+  usrp_one_time_init ();
+
+  if (!usrp_load_standard_bits (which_board, false, fpga_filename, firmware_filename))
+    throw std::runtime_error ("usrp_basic/usrp_load_standard_bits");
+
+  struct usb_device *dev = usrp_find_device (which_board);
+  if (dev == 0){
+    fprintf (stderr, "usrp_basic: can't find usrp[%d]\n", which_board);
+    throw std::runtime_error ("usrp_basic/usrp_find_device");
+  }
+
+  if (!(usrp_usrp_p(dev) && usrp_hw_rev(dev) >= 1)){
+    fprintf (stderr, "usrp_basic: sorry, this code only works with USRP revs >= 1\n");
+    throw std::runtime_error ("usrp_basic/bad_rev");
+  }
+
+  if ((d_udh = open_interface (dev)) == 0)
+    throw std::runtime_error ("usrp_basic/open_interface");
+
+  // initialize registers that are common to rx and tx
+
+  if (!usrp_9862_write_many_all (d_udh, common_regs, sizeof (common_regs))){
+    fprintf (stderr, "usrp_basic: failed to init common AD9862 regs\n");
+    throw std::runtime_error ("usrp_basic/init_9862");
+  }
+
+  _write_fpga_reg (FR_MODE, 0);                // ensure we're in normal mode
+  _write_fpga_reg (FR_DEBUG_EN, 0);    // disable debug outputs
+}
+
+void
+usrp_basic::shutdown_daughterboards()
+{
+  // nuke d'boards before we close down USB in ~usrp_basic
+  // shutdown() will do any board shutdown while the USRP can still
+  // be talked to
+  for(size_t i = 0; i < d_db.size(); i++) 
+    for(size_t j = 0; j < d_db[i].size(); j++) 
+      d_db[i][j]->shutdown();
+}
+
+usrp_basic::~usrp_basic ()
+{
+  // shutdown_daughterboards();                // call from ~usrp_basic_{tx,rx}
+
+  d_db.resize(0); // forget db shared ptrs
+
+  if (d_udh)
+    usb_close (d_udh);
+}
+
+void
+usrp_basic::init_db(usrp_basic_sptr u)
+{
+  if (u.get() != this)
+    throw std::invalid_argument("u is not this");
+
+  d_db[0] = instantiate_dbs(d_dbid[0], u, 0);
+  d_db[1] = instantiate_dbs(d_dbid[1], u, 1);
+}
+
+std::vector<db_base_sptr> 
+usrp_basic::db(int which_side)
+{
+  which_side &= 0x1;   // clamp it to avoid any reporting any errors
+  return d_db[which_side];
+}
+
+bool
+usrp_basic::is_valid(const usrp_subdev_spec &ss)
+{
+  if (ss.side < 0 || ss.side > 1)
+    return false;
+
+  if (ss.subdev < 0 || ss.subdev >= d_db[ss.side].size())
+    return false;
+
+  return true;
+}
+
+db_base_sptr
+usrp_basic::selected_subdev(const usrp_subdev_spec &ss)
+{
+  if (!is_valid(ss))
+    throw std::invalid_argument("invalid subdev_spec");
+
+  return d_db[ss.side][ss.subdev];
+}
+
+bool
+usrp_basic::start ()
+{
+  return true;         // nop
+}
+
+bool
+usrp_basic::stop ()
+{
+  return true;         // nop
+}
+
+void
+usrp_basic::set_usb_data_rate (int usb_data_rate)
+{
+  d_usb_data_rate = usb_data_rate;
+  d_bytes_per_poll = (int) (usb_data_rate * POLLING_INTERVAL);
+}
+
+bool
+usrp_basic::_write_aux_dac (int slot, int which_dac, int value)
+{
+  return usrp_write_aux_dac (d_udh, slot, which_dac, value);
+}
+
+bool
+usrp_basic::_read_aux_adc (int slot, int which_adc, int *value)
+{
+  return usrp_read_aux_adc (d_udh, slot, which_adc, value);
+}
+
+int
+usrp_basic::_read_aux_adc (int slot, int which_adc)
+{
+  int  value;
+  if (!_read_aux_adc (slot, which_adc, &value))
+    return READ_FAILED;
+
+  return value;
+}
+
+bool
+usrp_basic::write_eeprom (int i2c_addr, int eeprom_offset, const std::string buf)
+{
+  return usrp_eeprom_write (d_udh, i2c_addr, eeprom_offset, buf.data (), buf.size ());
+}
+
+std::string
+usrp_basic::read_eeprom (int i2c_addr, int eeprom_offset, int len)
+{
+  if (len <= 0)
+    return "";
+
+  char buf[len];
+
+  if (!usrp_eeprom_read (d_udh, i2c_addr, eeprom_offset, buf, len))
+    return "";
+
+  return std::string (buf, len);
+}
+
+bool
+usrp_basic::write_i2c (int i2c_addr, const std::string buf)
+{
+  return usrp_i2c_write (d_udh, i2c_addr, buf.data (), buf.size ());
+}
+
+std::string
+usrp_basic::read_i2c (int i2c_addr, int len)
+{
+  if (len <= 0)
+    return "";
+
+  char buf[len];
+
+  if (!usrp_i2c_read (d_udh, i2c_addr, buf, len))
+    return "";
+
+  return std::string (buf, len);
+}
+
+std::string
+usrp_basic::serial_number()
+{
+  return usrp_serial_number(d_udh);
+}
+
+// ----------------------------------------------------------------
+
+bool
+usrp_basic::set_adc_offset (int which_adc, int offset)
+{
+  if (which_adc < 0 || which_adc > 3)
+    return false;
+
+  return _write_fpga_reg (FR_ADC_OFFSET_0 + which_adc, offset);
+}
+
+bool
+usrp_basic::set_dac_offset (int which_dac, int offset, int offset_pin)
+{
+  if (which_dac < 0 || which_dac > 3)
+    return false;
+
+  int which_codec = which_dac >> 1;
+  int tx_a = (which_dac & 0x1) == 0;
+  int lo = ((offset & 0x3) << 6) | (offset_pin & 0x1);
+  int hi = (offset >> 2);
+  bool ok;
+
+  if (tx_a){
+    ok =  _write_9862 (which_codec, REG_TX_A_OFFSET_LO, lo);
+    ok &= _write_9862 (which_codec, REG_TX_A_OFFSET_HI, hi);
+  }
+  else {
+    ok =  _write_9862 (which_codec, REG_TX_B_OFFSET_LO, lo);
+    ok &= _write_9862 (which_codec, REG_TX_B_OFFSET_HI, hi);
+  }
+  return ok;
+}
+
+bool
+usrp_basic::set_adc_buffer_bypass (int which_adc, bool bypass)
+{
+  if (which_adc < 0 || which_adc > 3)
+    return false;
+
+  int codec = which_adc >> 1;
+  int reg = (which_adc & 1) == 0 ? REG_RX_A : REG_RX_B;
+
+  unsigned char cur_rx;
+  unsigned char cur_pwr_dn;
+
+  // If the input buffer is bypassed, we need to power it down too.
+
+  bool ok = _read_9862 (codec, reg, &cur_rx);
+  ok &= _read_9862 (codec, REG_RX_PWR_DN, &cur_pwr_dn);
+  if (!ok)
+    return false;
+
+  if (bypass){
+    cur_rx |= RX_X_BYPASS_INPUT_BUFFER;
+    cur_pwr_dn |= ((which_adc & 1) == 0) ? RX_PWR_DN_BUF_A : RX_PWR_DN_BUF_B;
+  }
+  else {
+    cur_rx &= ~RX_X_BYPASS_INPUT_BUFFER;
+    cur_pwr_dn &= ~(((which_adc & 1) == 0) ? RX_PWR_DN_BUF_A : RX_PWR_DN_BUF_B);
+  }
+
+  ok &= _write_9862 (codec, reg, cur_rx);
+  ok &= _write_9862 (codec, REG_RX_PWR_DN, cur_pwr_dn);
+  return ok;
+}
+
+bool
+usrp_basic::set_dc_offset_cl_enable(int bits, int mask)
+{
+  return _write_fpga_reg(FR_DC_OFFSET_CL_EN, 
+                        (d_fpga_shadows[FR_DC_OFFSET_CL_EN] & ~mask) | (bits & mask));
+}
+
+// ----------------------------------------------------------------
+
+bool
+usrp_basic::_write_fpga_reg (int regno, int value)
+{
+  if (d_verbose){
+    fprintf (stdout, "_write_fpga_reg(%3d, 0x%08x)\n", regno, value);
+    fflush (stdout);
+  }
+
+  if (regno >= 0 && regno < MAX_REGS)
+    d_fpga_shadows[regno] = value;
+
+  return usrp_write_fpga_reg (d_udh, regno, value);
+}
+
+bool
+usrp_basic::_write_fpga_reg_masked (int regno, int value, int mask)
+{
+  //Only use this for registers who actually use a mask in the verilog firmware, like FR_RX_MASTER_SLAVE
+  //value is a 16 bits value and mask is a 16 bits mask
+  if (d_verbose){
+    fprintf (stdout, "_write_fpga_reg_masked(%3d, 0x%04x,0x%04x)\n", regno, value, mask);
+    fflush (stdout);
+  }
+
+  if (regno >= 0 && regno < MAX_REGS)
+    d_fpga_shadows[regno] = value;
+
+  return usrp_write_fpga_reg (d_udh, regno, (value & 0xffff) | ((mask & 0xffff)<<16));
+}
+
+
+bool
+usrp_basic::_read_fpga_reg (int regno, int *value)
+{
+  return usrp_read_fpga_reg (d_udh, regno, value);
+}
+
+int
+usrp_basic::_read_fpga_reg (int regno)
+{
+  int value;
+  if (!_read_fpga_reg (regno, &value))
+    return READ_FAILED;
+  return value;
+}
+
+bool
+usrp_basic::_write_9862 (int which_codec, int regno, unsigned char value)
+{
+  if (0 && d_verbose){
+    // FIXME really want to enable logging in usrp_prims:usrp_9862_write
+    fprintf(stdout, "_write_9862(codec = %d, regno = %2d, val = 0x%02x)\n", which_codec, regno, value);
+    fflush(stdout);
+  }
+
+  return usrp_9862_write (d_udh, which_codec, regno, value);
+}
+
+
+bool
+usrp_basic::_read_9862 (int which_codec, int regno, unsigned char *value) const
+{
+  return usrp_9862_read (d_udh, which_codec, regno, value);
+}
+
+int
+usrp_basic::_read_9862 (int which_codec, int regno) const
+{
+  unsigned char value;
+  if (!_read_9862 (which_codec, regno, &value))
+    return READ_FAILED;
+  return value;
+}
+
+bool
+usrp_basic::_write_spi (int optional_header, int enables, int format, std::string buf)
+{
+  return usrp_spi_write (d_udh, optional_header, enables, format,
+                        buf.data(), buf.size());
+}
+
+std::string
+usrp_basic::_read_spi (int optional_header, int enables, int format, int len)
+{
+  if (len <= 0)
+    return "";
+  
+  char buf[len];
+
+  if (!usrp_spi_read (d_udh, optional_header, enables, format, buf, len))
+    return "";
+
+  return std::string (buf, len);
+}
+
+
+bool
+usrp_basic::_set_led (int which_led, bool on)
+{
+  return usrp_set_led (d_udh, which_led, on);
+}
+
+bool
+usrp_basic::write_atr_tx_delay(int value)
+{
+  return _write_fpga_reg(FR_ATR_TX_DELAY, value);
+}
+
+bool
+usrp_basic::write_atr_rx_delay(int value)
+{
+  return _write_fpga_reg(FR_ATR_RX_DELAY, value);
+}
+
+/*
+ * ----------------------------------------------------------------
+ * Routines to access and control daughterboard specific i/o
+ * ----------------------------------------------------------------
+ */
+static int
+slot_id_to_oe_reg (int slot_id)
+{
+  static int reg[4]  = { FR_OE_0, FR_OE_1, FR_OE_2, FR_OE_3 };
+  assert (0 <= slot_id && slot_id < 4);
+  return reg[slot_id];
+}
+
+static int
+slot_id_to_io_reg (int slot_id)
+{
+  static int reg[4]  = { FR_IO_0, FR_IO_1, FR_IO_2, FR_IO_3 };
+  assert (0 <= slot_id && slot_id < 4);
+  return reg[slot_id];
+}
+
+static int
+slot_id_to_refclk_reg(int slot_id)
+{
+  static int reg[4]  = { FR_TX_A_REFCLK, FR_RX_A_REFCLK, FR_TX_B_REFCLK, FR_RX_B_REFCLK };
+  assert (0 <= slot_id && slot_id < 4);
+  return reg[slot_id];
+}
+
+static int
+slot_id_to_atr_mask_reg(int slot_id)
+{
+  static int reg[4]  = { FR_ATR_MASK_0, FR_ATR_MASK_1, FR_ATR_MASK_2, FR_ATR_MASK_3 };
+  assert (0 <= slot_id && slot_id < 4);
+  return reg[slot_id];
+}
+
+static int
+slot_id_to_atr_txval_reg(int slot_id)
+{
+  static int reg[4]  = { FR_ATR_TXVAL_0, FR_ATR_TXVAL_1, FR_ATR_TXVAL_2, FR_ATR_TXVAL_3 };
+  assert (0 <= slot_id && slot_id < 4);
+  return reg[slot_id];
+}
+
+static int
+slot_id_to_atr_rxval_reg(int slot_id)
+{
+  static int reg[4]  = { FR_ATR_RXVAL_0, FR_ATR_RXVAL_1, FR_ATR_RXVAL_2, FR_ATR_RXVAL_3 };
+  assert (0 <= slot_id && slot_id < 4);
+  return reg[slot_id];
+}
+
+static int
+to_slot(txrx_t txrx, int which_side)
+{
+  // TX_A = 0
+  // RX_A = 1
+  // TX_B = 2
+  // RX_B = 3
+  return ((which_side & 0x1) << 1) | ((txrx & 0x1) == C_RX);
+}
+
+bool
+usrp_basic::common_set_pga(txrx_t txrx, int which_amp, double gain)
+{
+  if (which_amp < 0 || which_amp > 3)
+    return false;
+
+  gain = std::min(common_pga_max(txrx),
+                 std::max(common_pga_min(txrx), gain));
+
+  int codec = which_amp >> 1;  
+  int int_gain = (int) rint((gain - common_pga_min(txrx)) / common_pga_db_per_step(txrx));
+
+  if (txrx == C_TX){           // 0 and 1 are same, as are 2 and 3
+    return _write_9862(codec, REG_TX_PGA, int_gain);
+  }
+  else {
+    int reg = (which_amp & 1) == 0 ? REG_RX_A : REG_RX_B;
+
+    // read current value to get input buffer bypass flag.
+    unsigned char cur_rx;
+    if (!_read_9862(codec, reg, &cur_rx))
+      return false;
+
+    cur_rx = (cur_rx & RX_X_BYPASS_INPUT_BUFFER) | (int_gain & 0x7f);
+    return _write_9862(codec, reg, cur_rx);
+  }
+}
+
+double
+usrp_basic::common_pga(txrx_t txrx, int which_amp) const
+{
+  if (which_amp < 0 || which_amp > 3)
+    return READ_FAILED;
+
+  if (txrx == C_TX){
+    int codec = which_amp >> 1;
+    unsigned char v;
+    bool ok = _read_9862 (codec, REG_TX_PGA, &v);
+    if (!ok)
+      return READ_FAILED;
+
+    return (pga_db_per_step() * v) + pga_min();
+  }
+  else {
+    int codec = which_amp >> 1;
+    int reg = (which_amp & 1) == 0 ? REG_RX_A : REG_RX_B;
+    unsigned char v;
+    bool ok = _read_9862 (codec, reg, &v);
+    if (!ok)
+      return READ_FAILED;
+
+    return (pga_db_per_step() * (v & 0x1f)) + pga_min();
+  }
+}
+
+double
+usrp_basic::common_pga_min(txrx_t txrx) const
+{
+  if (txrx == C_TX)
+    return -20.0;
+  else
+    return   0.0;
+}
+
+double
+usrp_basic::common_pga_max(txrx_t txrx) const
+{
+  if (txrx == C_TX)
+    return   0.0;
+  else
+    return  20.0;
+}
+
+double
+usrp_basic::common_pga_db_per_step(txrx_t txrx) const
+{
+  if (txrx == C_TX)
+    return  20.0 / 255;
+  else
+    return  20.0 / 20;
+}
+
+bool
+usrp_basic::_common_write_oe(txrx_t txrx, int which_side, int value, int mask)
+{
+  if (! (0 <= which_side && which_side <= 1))
+    return false;
+
+  return _write_fpga_reg(slot_id_to_oe_reg(to_slot(txrx, which_side)),
+                        (mask << 16) | (value & 0xffff));
+}
+
+bool
+usrp_basic::common_write_io(txrx_t txrx, int which_side, int value, int mask)
+{
+  if (! (0 <= which_side && which_side <= 1))
+    return false;
+
+  return _write_fpga_reg(slot_id_to_io_reg(to_slot(txrx, which_side)),
+                        (mask << 16) | (value & 0xffff));
+}
+
+bool
+usrp_basic::common_read_io(txrx_t txrx, int which_side, int *value)
+{
+  if (! (0 <= which_side && which_side <= 1))
+    return false;
+
+  int t;
+  int reg = which_side + 1;    // FIXME, *very* magic number (fix in serial_io.v)
+  bool ok = _read_fpga_reg(reg, &t);
+  if (!ok)
+    return false;
+
+  if (txrx == C_TX){
+    *value = t & 0xffff;               // FIXME, more magic
+    return true;
+  }
+  else {
+    *value = (t >> 16) & 0xffff;       // FIXME, more magic
+    return true;
+  }
+}
+
+int
+usrp_basic::common_read_io(txrx_t txrx, int which_side)
+{
+  int  value;
+  if (!common_read_io(txrx, which_side, &value))
+    return READ_FAILED;
+  return value;
+}
+
+bool
+usrp_basic::common_write_refclk(txrx_t txrx, int which_side, int value)
+{
+  if (! (0 <= which_side && which_side <= 1))
+    return false;
+
+  return _write_fpga_reg(slot_id_to_refclk_reg(to_slot(txrx, which_side)),
+                        value);
+}
+
+bool
+usrp_basic::common_write_atr_mask(txrx_t txrx, int which_side, int value)
+{
+  if (! (0 <= which_side && which_side <= 1))
+    return false;
+
+  return _write_fpga_reg(slot_id_to_atr_mask_reg(to_slot(txrx, which_side)),
+                        value);
+}
+
+bool
+usrp_basic::common_write_atr_txval(txrx_t txrx, int which_side, int value)
+{
+  if (! (0 <= which_side && which_side <= 1))
+    return false;
+
+  return _write_fpga_reg(slot_id_to_atr_txval_reg(to_slot(txrx, which_side)),
+                        value);
+}
+
+bool
+usrp_basic::common_write_atr_rxval(txrx_t txrx, int which_side, int value)
+{
+  if (! (0 <= which_side && which_side <= 1))
+    return false;
+
+  return _write_fpga_reg(slot_id_to_atr_rxval_reg(to_slot(txrx, which_side)),
+                        value);
+}
+
+bool
+usrp_basic::common_write_aux_dac(txrx_t txrx, int which_side, int which_dac, int value)
+{
+  return _write_aux_dac(to_slot(txrx, which_side), which_dac, value);
+}
+
+bool
+usrp_basic::common_read_aux_adc(txrx_t txrx, int which_side, int which_adc, int *value)
+{
+  return _read_aux_adc(to_slot(txrx, which_side), which_adc, value);
+}
+
+int
+usrp_basic::common_read_aux_adc(txrx_t txrx, int which_side, int which_adc)
+{
+  return _read_aux_adc(to_slot(txrx, which_side), which_adc);
+}
+
+
+////////////////////////////////////////////////////////////////
+//
+//                        usrp_basic_rx
+//
+////////////////////////////////////////////////////////////////
+
+static unsigned char rx_init_regs[] = {
+  REG_RX_PWR_DN,       0,
+  REG_RX_A,            0,      // minimum gain = 0x00 (max gain = 0x14)
+  REG_RX_B,            0,      // minimum gain = 0x00 (max gain = 0x14)
+  REG_RX_MISC,         (RX_MISC_HS_DUTY_CYCLE | RX_MISC_CLK_DUTY),
+  REG_RX_IF,           (RX_IF_USE_CLKOUT1
+                        | RX_IF_2S_COMP),
+  REG_RX_DIGITAL,      (RX_DIGITAL_2_CHAN)
+};
+
+
+usrp_basic_rx::usrp_basic_rx (int which_board, int fusb_block_size, int fusb_nblocks,
+                             const std::string fpga_filename,
+                             const std::string firmware_filename
+                             )
+  : usrp_basic (which_board, open_rx_interface, fpga_filename, firmware_filename),
+    d_devhandle (0), d_ephandle (0),
+    d_bytes_seen (0), d_first_read (true),
+    d_rx_enable (false)
+{
+  // initialize rx specific registers
+
+  if (!usrp_9862_write_many_all (d_udh, rx_init_regs, sizeof (rx_init_regs))){
+    fprintf (stderr, "usrp_basic_rx: failed to init AD9862 RX regs\n");
+    throw std::runtime_error ("usrp_basic_rx/init_9862");
+  }
+
+  if (0){
+    // FIXME power down 2nd codec rx path
+    usrp_9862_write (d_udh, 1, REG_RX_PWR_DN, 0x1);    // power down everything
+  }
+
+  // Reset the rx path and leave it disabled.
+  set_rx_enable (false);
+  usrp_set_fpga_rx_reset (d_udh, true);
+  usrp_set_fpga_rx_reset (d_udh, false);
+
+  set_fpga_rx_sample_rate_divisor (2); // usually correct
+
+  set_dc_offset_cl_enable(0xf, 0xf);   // enable DC offset removal control loops
+
+  probe_rx_slots (false);
+
+  //d_db[0] = instantiate_dbs(d_dbid[0], this, 0);
+  //d_db[1] = instantiate_dbs(d_dbid[1], this, 1);
+
+  // check fusb buffering parameters
+
+  if (fusb_block_size < 0 || fusb_block_size > FUSB_BLOCK_SIZE)
+    throw std::out_of_range ("usrp_basic_rx: invalid fusb_block_size");
+
+  if (fusb_nblocks < 0)
+    throw std::out_of_range ("usrp_basic_rx: invalid fusb_nblocks");
+  
+  if (fusb_block_size == 0)
+    fusb_block_size = fusb_sysconfig::default_block_size();
+
+  if (fusb_nblocks == 0)
+    fusb_nblocks = std::max (1, FUSB_BUFFER_SIZE / fusb_block_size);
+
+  d_devhandle = fusb_sysconfig::make_devhandle (d_udh);
+  d_ephandle = d_devhandle->make_ephandle (USRP_RX_ENDPOINT, true,
+                                          fusb_block_size, fusb_nblocks);
+
+  write_atr_mask(0, 0);                // zero Rx A Auto Transmit/Receive regs
+  write_atr_txval(0, 0);
+  write_atr_rxval(0, 0);
+  write_atr_mask(1, 0);                // zero Rx B Auto Transmit/Receive regs
+  write_atr_txval(1, 0);
+  write_atr_rxval(1, 0);
+}
+
+static unsigned char rx_fini_regs[] = {
+  REG_RX_PWR_DN,       0x1                             // power down everything
+};
+
+usrp_basic_rx::~usrp_basic_rx ()
+{
+  if (!set_rx_enable (false)){
+    fprintf (stderr, "usrp_basic_rx: set_fpga_rx_enable failed\n");
+    usb_strerror ();
+  }
+
+  d_ephandle->stop ();
+  delete d_ephandle;
+  delete d_devhandle;
+
+  if (!usrp_9862_write_many_all (d_udh, rx_fini_regs, sizeof (rx_fini_regs))){
+    fprintf (stderr, "usrp_basic_rx: failed to fini AD9862 RX regs\n");
+  }
+
+  shutdown_daughterboards();
+}
+
+
+bool
+usrp_basic_rx::start ()
+{
+  if (!usrp_basic::start ())   // invoke parent's method
+    return false;
+
+  // fire off reads before asserting rx_enable
+
+  if (!d_ephandle->start ()){
+    fprintf (stderr, "usrp_basic_rx: failed to start end point streaming");
+    usb_strerror ();
+    return false;
+  }
+
+  if (!set_rx_enable (true)){
+    fprintf (stderr, "usrp_basic_rx: set_rx_enable failed\n");
+    usb_strerror ();
+    return false;
+  }
+  
+  return true;
+}
+
+bool
+usrp_basic_rx::stop ()
+{
+  bool ok = usrp_basic::stop();
+
+  if (!set_rx_enable(false)){
+    fprintf (stderr, "usrp_basic_rx: set_rx_enable(false) failed\n");
+    usb_strerror ();
+    ok = false;
+  }
+
+  if (!d_ephandle->stop()){
+    fprintf (stderr, "usrp_basic_rx: failed to stop end point streaming");
+    usb_strerror ();
+    ok = false;
+  }
+
+  return ok;
+}
+
+usrp_basic_rx *
+usrp_basic_rx::make (int which_board, int fusb_block_size, int fusb_nblocks,
+                    const std::string fpga_filename,
+                    const std::string firmware_filename)
+{
+  usrp_basic_rx *u = 0;
+  
+  try {
+    u = new usrp_basic_rx (which_board, fusb_block_size, fusb_nblocks,
+                          fpga_filename, firmware_filename);
+    return u;
+  }
+  catch (...){
+    delete u;
+    return 0;
+  }
+
+  return u;
+}
+
+bool
+usrp_basic_rx::set_fpga_rx_sample_rate_divisor (unsigned int div)
+{
+  return _write_fpga_reg (FR_RX_SAMPLE_RATE_DIV, div - 1);
+}
+
+
+/*
+ * \brief read data from the D/A's via the FPGA.
+ * \p len must be a multiple of 512 bytes.
+ *
+ * \returns the number of bytes read, or -1 on error.
+ *
+ * If overrun is non-NULL it will be set true iff an RX overrun is detected.
+ */
+int
+usrp_basic_rx::read (void *buf, int len, bool *overrun)
+{
+  int  r;
+  
+  if (overrun)
+    *overrun = false;
+  
+  if (len < 0 || (len % 512) != 0){
+    fprintf (stderr, "usrp_basic_rx::read: invalid length = %d\n", len);
+    return -1;
+  }
+
+  r = d_ephandle->read (buf, len);
+  if (r > 0)
+    d_bytes_seen += r;
+
+  /*
+   * In many cases, the FPGA reports an rx overrun right after we
+   * enable the Rx path.  If this is our first read, check for the
+   * overrun to clear the condition, then ignore the result.
+   */
+  if (0 && d_first_read){      // FIXME
+    d_first_read = false;
+    bool bogus_overrun;
+    usrp_check_rx_overrun (d_udh, &bogus_overrun);
+  }
+
+  if (overrun != 0 && d_bytes_seen >= d_bytes_per_poll){
+    d_bytes_seen = 0;
+    if (!usrp_check_rx_overrun (d_udh, overrun)){
+      fprintf (stderr, "usrp_basic_rx: usrp_check_rx_overrun failed\n");
+      usb_strerror ();
+    }
+  }
+    
+  return r;
+}
+
+bool
+usrp_basic_rx::set_rx_enable (bool on)
+{
+  d_rx_enable = on;
+  return usrp_set_fpga_rx_enable (d_udh, on);
+}
+
+// conditional disable, return prev state
+bool
+usrp_basic_rx::disable_rx ()
+{
+  bool enabled = rx_enable ();
+  if (enabled)
+    set_rx_enable (false);
+  return enabled;
+}
+
+// conditional set
+void
+usrp_basic_rx::restore_rx (bool on)
+{
+  if (on != rx_enable ())
+    set_rx_enable (on);
+}
+
+void
+usrp_basic_rx::probe_rx_slots (bool verbose)
+{
+  struct usrp_dboard_eeprom    eeprom;
+  static int slot_id_map[2] = { SLOT_RX_A, SLOT_RX_B };
+  static const char *slot_name[2] = { "RX d'board A", "RX d'board B" };
+
+  for (int i = 0; i < 2; i++){
+    int slot_id = slot_id_map [i];
+    const char *msg = 0;
+    usrp_dbeeprom_status_t s = usrp_read_dboard_eeprom (d_udh, slot_id, &eeprom);
+
+    switch (s){
+    case UDBE_OK:
+      d_dbid[i] = eeprom.id;
+      msg = usrp_dbid_to_string (eeprom.id).c_str ();
+      set_adc_offset (2*i+0, eeprom.offset[0]);
+      set_adc_offset (2*i+1, eeprom.offset[1]);
+      _write_fpga_reg (slot_id_to_oe_reg(slot_id), (0xffff << 16) | eeprom.oe);
+      _write_fpga_reg (slot_id_to_io_reg(slot_id), (0xffff << 16) | 0x0000);
+      break;
+      
+    case UDBE_NO_EEPROM:
+      d_dbid[i] = -1;
+      msg = "<none>";
+      _write_fpga_reg (slot_id_to_oe_reg(slot_id), (0xffff << 16) | 0x0000);
+      _write_fpga_reg (slot_id_to_io_reg(slot_id), (0xffff << 16) | 0x0000);
+      break;
+      
+    case UDBE_INVALID_EEPROM:
+      d_dbid[i] = -2;
+      msg = "Invalid EEPROM contents";
+      _write_fpga_reg (slot_id_to_oe_reg(slot_id), (0xffff << 16) | 0x0000);
+      _write_fpga_reg (slot_id_to_io_reg(slot_id), (0xffff << 16) | 0x0000);
+      break;
+      
+    case UDBE_BAD_SLOT:
+    default:
+      assert (0);
+    }
+
+    if (verbose){
+      fflush (stdout);
+      fprintf (stderr, "%s: %s\n", slot_name[i], msg);
+    }
+  }
+}
+
+bool
+usrp_basic_rx::set_pga (int which_amp, double gain)
+{
+  return common_set_pga(C_RX, which_amp, gain);
+}
+
+double
+usrp_basic_rx::pga(int which_amp) const
+{
+  return common_pga(C_RX, which_amp);
+}
+
+double
+usrp_basic_rx::pga_min() const
+{
+  return common_pga_min(C_RX);
+}
+
+double
+usrp_basic_rx::pga_max() const
+{
+  return common_pga_max(C_RX);
+}
+
+double
+usrp_basic_rx::pga_db_per_step() const
+{
+  return common_pga_db_per_step(C_RX);
+}
+
+bool
+usrp_basic_rx::_write_oe (int which_side, int value, int mask)
+{
+  return _common_write_oe(C_RX, which_side, value, mask);
+}
+
+bool
+usrp_basic_rx::write_io (int which_side, int value, int mask)
+{
+  return common_write_io(C_RX, which_side, value, mask);
+}
+
+bool
+usrp_basic_rx::read_io (int which_side, int *value)
+{
+  return common_read_io(C_RX, which_side, value);
+}
+
+int
+usrp_basic_rx::read_io (int which_side)
+{
+  return common_read_io(C_RX, which_side);
+}
+
+bool
+usrp_basic_rx::write_refclk(int which_side, int value)
+{
+  return common_write_refclk(C_RX, which_side, value);
+}
+
+bool
+usrp_basic_rx::write_atr_mask(int which_side, int value)
+{
+  return common_write_atr_mask(C_RX, which_side, value);
+}
+
+bool
+usrp_basic_rx::write_atr_txval(int which_side, int value)
+{
+  return common_write_atr_txval(C_RX, which_side, value);
+}
+
+bool
+usrp_basic_rx::write_atr_rxval(int which_side, int value)
+{
+  return common_write_atr_rxval(C_RX, which_side, value);
+}
+
+bool
+usrp_basic_rx::write_aux_dac (int which_side, int which_dac, int value)
+{
+  return common_write_aux_dac(C_RX, which_side, which_dac, value);
+}
+
+bool
+usrp_basic_rx::read_aux_adc (int which_side, int which_adc, int *value)
+{
+  return common_read_aux_adc(C_RX, which_side, which_adc, value);
+}
+
+int
+usrp_basic_rx::read_aux_adc (int which_side, int which_adc)
+{
+  return common_read_aux_adc(C_RX, which_side, which_adc);
+}
+
+int
+usrp_basic_rx::block_size () const { return d_ephandle->block_size(); }
+
+////////////////////////////////////////////////////////////////
+//
+//                        usrp_basic_tx
+//
+////////////////////////////////////////////////////////////////
+
+
+//
+// DAC input rate 64 MHz interleaved for a total input rate of 128 MHz
+// DAC input is latched on rising edge of CLKOUT2
+// NCO is disabled
+// interpolate 2x
+// coarse modulator disabled
+//
+
+static unsigned char tx_init_regs[] = {
+  REG_TX_PWR_DN,       0,
+  REG_TX_A_OFFSET_LO,  0,
+  REG_TX_A_OFFSET_HI,  0,
+  REG_TX_B_OFFSET_LO,  0,
+  REG_TX_B_OFFSET_HI,  0,
+  REG_TX_A_GAIN,       (TX_X_GAIN_COARSE_FULL | 0),
+  REG_TX_B_GAIN,       (TX_X_GAIN_COARSE_FULL | 0),
+  REG_TX_PGA,          0xff,                   // maximum gain (0 dB)
+  REG_TX_MISC,         0,
+  REG_TX_IF,           (TX_IF_USE_CLKOUT1
+                        | TX_IF_I_FIRST
+                        | TX_IF_INV_TX_SYNC
+                        | TX_IF_2S_COMP
+                        | TX_IF_INTERLEAVED),
+  REG_TX_DIGITAL,      (TX_DIGITAL_2_DATA_PATHS
+                        | TX_DIGITAL_INTERPOLATE_4X),
+  REG_TX_MODULATOR,    (TX_MODULATOR_DISABLE_NCO
+                        | TX_MODULATOR_COARSE_MODULATION_NONE),
+  REG_TX_NCO_FTW_7_0,  0,
+  REG_TX_NCO_FTW_15_8, 0,
+  REG_TX_NCO_FTW_23_16,        0
+};
+
+usrp_basic_tx::usrp_basic_tx (int which_board, int fusb_block_size, int fusb_nblocks,
+                             const std::string fpga_filename,
+                             const std::string firmware_filename)
+  : usrp_basic (which_board, open_tx_interface, fpga_filename, firmware_filename),
+    d_devhandle (0), d_ephandle (0),
+    d_bytes_seen (0), d_first_write (true),
+    d_tx_enable (false)
+{
+  if (!usrp_9862_write_many_all (d_udh, tx_init_regs, sizeof (tx_init_regs))){
+    fprintf (stderr, "usrp_basic_tx: failed to init AD9862 TX regs\n");
+    throw std::runtime_error ("usrp_basic_tx/init_9862");
+  }
+
+  if (0){
+    // FIXME power down 2nd codec tx path
+    usrp_9862_write (d_udh, 1, REG_TX_PWR_DN,
+                    (TX_PWR_DN_TX_DIGITAL
+                     | TX_PWR_DN_TX_ANALOG_BOTH));
+  }
+
+  // Reset the tx path and leave it disabled.
+  set_tx_enable (false);
+  usrp_set_fpga_tx_reset (d_udh, true);
+  usrp_set_fpga_tx_reset (d_udh, false);
+
+  set_fpga_tx_sample_rate_divisor (4); // we're using interp x4
+
+  probe_tx_slots (false);
+
+  //d_db[0] = instantiate_dbs(d_dbid[0], this, 0);
+  //d_db[1] = instantiate_dbs(d_dbid[1], this, 1);
+
+  // check fusb buffering parameters
+
+  if (fusb_block_size < 0 || fusb_block_size > FUSB_BLOCK_SIZE)
+    throw std::out_of_range ("usrp_basic_rx: invalid fusb_block_size");
+
+  if (fusb_nblocks < 0)
+    throw std::out_of_range ("usrp_basic_rx: invalid fusb_nblocks");
+  
+  if (fusb_block_size == 0)
+    fusb_block_size = FUSB_BLOCK_SIZE;
+
+  if (fusb_nblocks == 0)
+    fusb_nblocks = std::max (1, FUSB_BUFFER_SIZE / fusb_block_size);
+
+  d_devhandle = fusb_sysconfig::make_devhandle (d_udh);
+  d_ephandle = d_devhandle->make_ephandle (USRP_TX_ENDPOINT, false,
+                                          fusb_block_size, fusb_nblocks);
+
+  write_atr_mask(0, 0);                // zero Tx A Auto Transmit/Receive regs
+  write_atr_txval(0, 0);
+  write_atr_rxval(0, 0);
+  write_atr_mask(1, 0);                // zero Tx B Auto Transmit/Receive regs
+  write_atr_txval(1, 0);
+  write_atr_rxval(1, 0);
+}
+
+
+static unsigned char tx_fini_regs[] = {
+  REG_TX_PWR_DN,       (TX_PWR_DN_TX_DIGITAL
+                        | TX_PWR_DN_TX_ANALOG_BOTH),
+  REG_TX_MODULATOR,    (TX_MODULATOR_DISABLE_NCO
+                        | TX_MODULATOR_COARSE_MODULATION_NONE)
+};
+
+usrp_basic_tx::~usrp_basic_tx ()
+{
+  d_ephandle->stop ();
+  delete d_ephandle;
+  delete d_devhandle;
+
+  if (!usrp_9862_write_many_all (d_udh, tx_fini_regs, sizeof (tx_fini_regs))){
+    fprintf (stderr, "usrp_basic_tx: failed to fini AD9862 TX regs\n");
+  }
+
+  shutdown_daughterboards();
+}
+
+bool
+usrp_basic_tx::start ()
+{
+  if (!usrp_basic::start ())
+    return false;
+
+  if (!set_tx_enable (true)){
+    fprintf (stderr, "usrp_basic_tx: set_tx_enable failed\n");
+    usb_strerror ();
+    return false;
+  }
+  
+  if (!d_ephandle->start ()){
+    fprintf (stderr, "usrp_basic_tx: failed to start end point streaming");
+    usb_strerror ();
+    return false;
+  }
+
+  return true;
+}
+
+bool
+usrp_basic_tx::stop ()
+{
+  bool ok = usrp_basic::stop ();
+
+  if (!d_ephandle->stop ()){
+    fprintf (stderr, "usrp_basic_tx: failed to stop end point streaming");
+    usb_strerror ();
+    ok = false;
+  }
+
+  if (!set_tx_enable (false)){
+    fprintf (stderr, "usrp_basic_tx: set_tx_enable(false) failed\n");
+    usb_strerror ();
+    ok = false;
+  }
+
+  return ok;
+}
+
+usrp_basic_tx *
+usrp_basic_tx::make (int which_board, int fusb_block_size, int fusb_nblocks,
+                    const std::string fpga_filename,
+                    const std::string firmware_filename)
+{
+  usrp_basic_tx *u = 0;
+  
+  try {
+    u = new usrp_basic_tx (which_board, fusb_block_size, fusb_nblocks,
+                          fpga_filename, firmware_filename);
+    return u;
+  }
+  catch (...){
+    delete u;
+    return 0;
+  }
+
+  return u;
+}
+
+bool
+usrp_basic_tx::set_fpga_tx_sample_rate_divisor (unsigned int div)
+{
+  return _write_fpga_reg (FR_TX_SAMPLE_RATE_DIV, div - 1);
+}
+
+/*!
+ * \brief Write data to the A/D's via the FPGA.
+ *
+ * \p len must be a multiple of 512 bytes.
+ * \returns number of bytes written or -1 on error.
+ *
+ * if \p underrun is non-NULL, it will be set to true iff
+ * a transmit underrun condition is detected.
+ */
+int
+usrp_basic_tx::write (const void *buf, int len, bool *underrun)
+{
+  int  r;
+  
+  if (underrun)
+    *underrun = false;
+  
+  if (len < 0 || (len % 512) != 0){
+    fprintf (stderr, "usrp_basic_tx::write: invalid length = %d\n", len);
+    return -1;
+  }
+
+  r = d_ephandle->write (buf, len);
+  if (r > 0)
+    d_bytes_seen += r;
+    
+  /*
+   * In many cases, the FPGA reports an tx underrun right after we
+   * enable the Tx path.  If this is our first write, check for the
+   * underrun to clear the condition, then ignore the result.
+   */
+  if (d_first_write && d_bytes_seen >= 4 * FUSB_BLOCK_SIZE){
+    d_first_write = false;
+    bool bogus_underrun;
+    usrp_check_tx_underrun (d_udh, &bogus_underrun);
+  }
+
+  if (underrun != 0 && d_bytes_seen >= d_bytes_per_poll){
+    d_bytes_seen = 0;
+    if (!usrp_check_tx_underrun (d_udh, underrun)){
+      fprintf (stderr, "usrp_basic_tx: usrp_check_tx_underrun failed\n");
+      usb_strerror ();
+    }
+  }
+
+  return r;
+}
+
+void
+usrp_basic_tx::wait_for_completion ()
+{
+  d_ephandle->wait_for_completion ();
+}
+
+bool
+usrp_basic_tx::set_tx_enable (bool on)
+{
+  d_tx_enable = on;
+  // fprintf (stderr, "set_tx_enable %d\n", on);
+  return usrp_set_fpga_tx_enable (d_udh, on);
+}
+
+// conditional disable, return prev state
+bool
+usrp_basic_tx::disable_tx ()
+{
+  bool enabled = tx_enable ();
+  if (enabled)
+    set_tx_enable (false);
+  return enabled;
+}
+
+// conditional set
+void
+usrp_basic_tx::restore_tx (bool on)
+{
+  if (on != tx_enable ())
+    set_tx_enable (on);
+}
+
+void
+usrp_basic_tx::probe_tx_slots (bool verbose)
+{
+  struct usrp_dboard_eeprom    eeprom;
+  static int slot_id_map[2] = { SLOT_TX_A, SLOT_TX_B };
+  static const char *slot_name[2] = { "TX d'board A", "TX d'board B" };
+
+  for (int i = 0; i < 2; i++){
+    int slot_id = slot_id_map [i];
+    const char *msg = 0;
+    usrp_dbeeprom_status_t s = usrp_read_dboard_eeprom (d_udh, slot_id, &eeprom);
+
+    switch (s){
+    case UDBE_OK:
+      d_dbid[i] = eeprom.id;
+      msg = usrp_dbid_to_string (eeprom.id).c_str ();
+      // FIXME, figure out interpretation of dc offset for TX d'boards
+      // offset = (eeprom.offset[1] << 16) | (eeprom.offset[0] & 0xffff);
+      _write_fpga_reg (slot_id_to_oe_reg(slot_id), (0xffff << 16) | eeprom.oe);
+      _write_fpga_reg (slot_id_to_io_reg(slot_id), (0xffff << 16) | 0x0000);
+      break;
+      
+    case UDBE_NO_EEPROM:
+      d_dbid[i] = -1;
+      msg = "<none>";
+      _write_fpga_reg (slot_id_to_oe_reg(slot_id), (0xffff << 16) | 0x0000);
+      _write_fpga_reg (slot_id_to_io_reg(slot_id), (0xffff << 16) | 0x0000);
+      break;
+      
+    case UDBE_INVALID_EEPROM:
+      d_dbid[i] = -2;
+      msg = "Invalid EEPROM contents";
+      _write_fpga_reg (slot_id_to_oe_reg(slot_id), (0xffff << 16) | 0x0000);
+      _write_fpga_reg (slot_id_to_io_reg(slot_id), (0xffff << 16) | 0x0000);
+      break;
+      
+    case UDBE_BAD_SLOT:
+    default:
+      assert (0);
+    }
+
+    if (verbose){
+      fflush (stdout);
+      fprintf (stderr, "%s: %s\n", slot_name[i], msg);
+    }
+  }
+}
+
+bool
+usrp_basic_tx::set_pga (int which_amp, double gain)
+{
+  return common_set_pga(C_TX, which_amp, gain);
+}
+
+double
+usrp_basic_tx::pga (int which_amp) const
+{
+  return common_pga(C_TX, which_amp);
+}
+
+double
+usrp_basic_tx::pga_min() const
+{
+  return common_pga_min(C_TX);
+}
+
+double
+usrp_basic_tx::pga_max() const
+{
+  return common_pga_max(C_TX);
+}
+
+double
+usrp_basic_tx::pga_db_per_step() const
+{
+  return common_pga_db_per_step(C_TX);
+}
+
+bool
+usrp_basic_tx::_write_oe (int which_side, int value, int mask)
+{
+  return _common_write_oe(C_TX, which_side, value, mask);
+}
+
+bool
+usrp_basic_tx::write_io (int which_side, int value, int mask)
+{
+  return common_write_io(C_TX, which_side, value, mask);
+}
+
+bool
+usrp_basic_tx::read_io (int which_side, int *value)
+{
+  return common_read_io(C_TX, which_side, value);
+}
+
+int
+usrp_basic_tx::read_io (int which_side)
+{
+  return common_read_io(C_TX, which_side);
+}
+
+bool
+usrp_basic_tx::write_refclk(int which_side, int value)
+{
+  return common_write_refclk(C_TX, which_side, value);
+}
+
+bool
+usrp_basic_tx::write_atr_mask(int which_side, int value)
+{
+  return common_write_atr_mask(C_TX, which_side, value);
+}
+
+bool
+usrp_basic_tx::write_atr_txval(int which_side, int value)
+{
+  return common_write_atr_txval(C_TX, which_side, value);
+}
+
+bool
+usrp_basic_tx::write_atr_rxval(int which_side, int value)
+{
+  return common_write_atr_rxval(C_TX, which_side, value);
+}
+
+bool
+usrp_basic_tx::write_aux_dac (int which_side, int which_dac, int value)
+{
+  return common_write_aux_dac(C_TX, which_side, which_dac, value);
+}
+
+bool
+usrp_basic_tx::read_aux_adc (int which_side, int which_adc, int *value)
+{
+  return common_read_aux_adc(C_TX, which_side, which_adc, value);
+}
+
+int
+usrp_basic_tx::read_aux_adc (int which_side, int which_adc)
+{
+  return common_read_aux_adc(C_TX, which_side, which_adc);
+}
+
+int
+usrp_basic_tx::block_size () const { return d_ephandle->block_size(); }
+
diff --git a/usrp/host/lib/legacy/usrp_basic.h b/usrp/host/lib/legacy/usrp_basic.h
new file mode 100644 (file)
index 0000000..86c4863
--- /dev/null
@@ -0,0 +1,991 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2003,2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * ----------------------------------------------------------------------
+ * Mid level interface to the Universal Software Radio Peripheral (Rev 1)
+ *
+ * These classes implement the basic functionality for talking to the
+ * USRP.  They try to be as independent of the signal processing code
+ * in FPGA as possible.  They implement access to the low level
+ * peripherals on the board, provide a common way for reading and
+ * writing registers in the FPGA, and provide the high speed interface
+ * to streaming data across the USB.
+ *
+ * It is expected that subclasses will be derived that provide
+ * access to the functionality to a particular FPGA configuration.
+ * ----------------------------------------------------------------------
+ */
+
+#ifndef INCLUDED_USRP_BASIC_H
+#define INCLUDED_USRP_BASIC_H
+
+#include <db_base.h>
+#include <usrp_slots.h>
+#include <string>
+#include <vector>
+#include <boost/utility.hpp>
+#include <usrp_subdev_spec.h>
+
+struct usb_dev_handle;
+class  fusb_devhandle;
+class  fusb_ephandle;
+
+enum txrx_t {
+  C_RX = 0,
+  C_TX = 1
+};
+
+/*!
+ * \brief abstract base class for usrp operations
+ * \ingroup usrp
+ */
+class usrp_basic : boost::noncopyable
+{
+protected:
+  void shutdown_daughterboards();
+
+protected:
+  struct usb_dev_handle        *d_udh;
+  int                   d_usb_data_rate;       // bytes/sec
+  int                   d_bytes_per_poll;      // how often to poll for overruns
+  bool                  d_verbose;
+  long                   d_fpga_master_clock_freq;
+
+  static const int      MAX_REGS = 128;
+  unsigned int          d_fpga_shadows[MAX_REGS];
+
+  int                   d_dbid[2];             // daughterboard ID's (side A, side B)
+
+  /*!
+   * Shared pointers to subclasses of db_base.
+   *
+   * The outer vector is of length 2 (0 = side A, 1 = side B).  The
+   * inner vectors are of length 1, 2 or 3 depending on the number of
+   * subdevices implemented by the daugherboard.  At this time, only
+   * the Basic Rx and LF Rx implement more than 1 subdevice.
+   */
+  std::vector< std::vector<db_base_sptr> > d_db;
+
+  //! One time call, made only only from usrp_standard_*::make after shared_ptr is created.
+  void init_db(usrp_basic_sptr u);
+
+
+  usrp_basic (int which_board,
+             struct usb_dev_handle *open_interface (struct usb_device *dev),
+             const std::string fpga_filename = "",
+             const std::string firmware_filename = "");
+
+  /*!
+   * \brief advise usrp_basic of usb data rate (bytes/sec)
+   *
+   * N.B., this doesn't tweak any hardware.  Derived classes
+   * should call this to inform us of the data rate whenever it's
+   * first set or if it changes.
+   *
+   * \param usb_data_rate      bytes/sec
+   */
+  void set_usb_data_rate (int usb_data_rate);
+  
+  /*!
+   * \brief Write auxiliary digital to analog converter.
+   *
+   * \param slot       Which Tx or Rx slot to write.
+   *                   N.B., SLOT_TX_A and SLOT_RX_A share the same AUX DAC's.
+   *                   SLOT_TX_B and SLOT_RX_B share the same AUX DAC's.
+   * \param which_dac  [0,3] RX slots must use only 0 and 1.  TX slots must use only 2 and 3.
+   * \param value      [0,4095]
+   * \returns true iff successful
+   */
+  bool _write_aux_dac (int slot, int which_dac, int value);
+
+  /*!
+   * \brief Read auxiliary analog to digital converter.
+   *
+   * \param slot       2-bit slot number. E.g., SLOT_TX_A
+   * \param which_adc  [0,1]
+   * \param value      return 12-bit value [0,4095]
+   * \returns true iff successful
+   */
+  bool _read_aux_adc (int slot, int which_adc, int *value);
+
+  /*!
+   * \brief Read auxiliary analog to digital converter.
+   *
+   * \param slot       2-bit slot number. E.g., SLOT_TX_A
+   * \param which_adc  [0,1]
+   * \returns value in the range [0,4095] if successful, else READ_FAILED.
+   */
+  int _read_aux_adc (int slot, int which_adc);
+
+
+public:
+  virtual ~usrp_basic ();
+
+
+  /*!
+   * Return a vector of vectors that contain shared pointers
+   * to the daughterboard instance(s) associated with the specified side.
+   *
+   * It is an error to use the returned objects after the usrp_basic
+   * object has been destroyed.
+   */
+  std::vector<std::vector<db_base_sptr> > db() const { return d_db; }
+
+  /*!
+   * Return a vector of size >= 1 that contains shared pointers
+   * to the daughterboard instance(s) associated with the specified side.
+   *
+   * \param which_side [0,1] which daughterboard
+   *
+   * It is an error to use the returned objects after the usrp_basic
+   * object has been destroyed.
+   */
+  std::vector<db_base_sptr> db(int which_side);
+  /*!
+   * \brief is the subdev_spec valid?
+   */
+  bool is_valid(const usrp_subdev_spec &ss);
+
+  /*!
+   * \brief given a subdev_spec, return the corresponding daughterboard object.
+   * \throws std::invalid_ argument if ss is invalid.
+   *
+   * \param ss specifies the side and subdevice
+   */
+  db_base_sptr selected_subdev(const usrp_subdev_spec &ss);
+
+  /*!
+   * \brief return frequency of master oscillator on USRP
+   */
+  long fpga_master_clock_freq () const { return d_fpga_master_clock_freq; }
+
+  /*!
+   * Tell API that the master oscillator on the USRP is operating at a non-standard 
+   * fixed frequency. This is only needed for custom USRP hardware modified to 
+   * operate at a different frequency from the default factory configuration. This
+   * function must be called prior to any other API function.
+   * \param master_clock USRP2 FPGA master clock frequency in Hz (10..64 MHz)
+   */
+  void set_fpga_master_clock_freq (long master_clock) { d_fpga_master_clock_freq = master_clock; }
+
+  /*!
+   * \returns usb data rate in bytes/sec
+   */
+  int usb_data_rate () const { return d_usb_data_rate; }
+
+  void set_verbose (bool on) { d_verbose = on; }
+
+  //! magic value used on alternate register read interfaces
+  static const int READ_FAILED = -99999;
+
+  /*!
+   * \brief Write EEPROM on motherboard or any daughterboard.
+   * \param i2c_addr           I2C bus address of EEPROM
+   * \param eeprom_offset      byte offset in EEPROM to begin writing
+   * \param buf                        the data to write
+   * \returns true iff sucessful
+   */
+  bool write_eeprom (int i2c_addr, int eeprom_offset, const std::string buf);
+
+  /*!
+   * \brief Read EEPROM on motherboard or any daughterboard.
+   * \param i2c_addr           I2C bus address of EEPROM
+   * \param eeprom_offset      byte offset in EEPROM to begin reading
+   * \param len                        number of bytes to read
+   * \returns the data read if successful, else a zero length string.
+   */
+  std::string read_eeprom (int i2c_addr, int eeprom_offset, int len);
+
+  /*!
+   * \brief Write to I2C peripheral
+   * \param i2c_addr           I2C bus address (7-bits)
+   * \param buf                        the data to write
+   * \returns true iff successful
+   * Writes are limited to a maximum of of 64 bytes.
+   */
+  bool write_i2c (int i2c_addr, const std::string buf);
+
+  /*!
+   * \brief Read from I2C peripheral
+   * \param i2c_addr           I2C bus address (7-bits)
+   * \param len                        number of bytes to read
+   * \returns the data read if successful, else a zero length string.
+   * Reads are limited to a maximum of 64 bytes.
+   */
+  std::string read_i2c (int i2c_addr, int len);
+
+  /*!
+   * \brief Set ADC offset correction
+   * \param which_adc  which ADC[0,3]: 0 = RX_A I, 1 = RX_A Q...
+   * \param offset     16-bit value to subtract from raw ADC input.
+   */
+  bool set_adc_offset (int which_adc, int offset);
+
+  /*!
+   * \brief Set DAC offset correction
+   * \param which_dac  which DAC[0,3]: 0 = TX_A I, 1 = TX_A Q...
+   * \param offset     10-bit offset value (ambiguous format:  See AD9862 datasheet).
+   * \param offset_pin 1-bit value.  If 0 offset applied to -ve differential pin;
+   *                                  If 1 offset applied to +ve differential pin.
+   */
+  bool set_dac_offset (int which_dac, int offset, int offset_pin);
+
+  /*!
+   * \brief Control ADC input buffer
+   * \param which_adc  which ADC[0,3]
+   * \param bypass     if non-zero, bypass input buffer and connect input
+   *                   directly to switched cap SHA input of RxPGA.
+   */
+  bool set_adc_buffer_bypass (int which_adc, bool bypass);
+
+  /*!
+   * \brief Enable/disable automatic DC offset removal control loop in FPGA
+   *
+   * \param bits  which control loops to enable
+   * \param mask  which \p bits to pay attention to
+   *
+   * If the corresponding bit is set, enable the automatic DC
+   * offset correction control loop.
+   *
+   * <pre>
+   * The 4 low bits are significant:
+   *
+   *   ADC0 = (1 << 0)
+   *   ADC1 = (1 << 1)
+   *   ADC2 = (1 << 2)
+   *   ADC3 = (1 << 3)
+   * </pre>
+   *
+   * By default the control loop is enabled on all ADC's.
+   */
+  bool set_dc_offset_cl_enable(int bits, int mask);
+
+  /*!
+   * \brief return the usrp's serial number.
+   *
+   * \returns non-zero length string iff successful.
+   */
+  std::string serial_number();
+
+  /*!
+   * \brief Return daughterboard ID for given side [0,1].
+   *
+   * \param which_side [0,1] which daughterboard
+   *
+   * \return daughterboard id >= 0 if successful
+   * \return -1 if no daugherboard
+   * \return -2 if invalid EEPROM on daughterboard
+   */
+  virtual int daughterboard_id (int which_side) const = 0;
+
+  /*!
+   * \brief Clock ticks to delay rising of T/R signal
+   * \sa write_atr_mask, write_atr_txval, write_atr_rxval
+   */
+  bool write_atr_tx_delay(int value);
+
+  /*!
+   * \brief Clock ticks to delay falling edge of T/R signal
+   * \sa write_atr_mask, write_atr_txval, write_atr_rxval
+   */
+  bool write_atr_rx_delay(int value);
+
+
+\f  // ================================================================
+  // Routines to access and control daughterboard specific i/o
+  //
+  // Those with a common_ prefix access either the Tx or Rx side depending
+  // on the txrx parameter.  Those without the common_ prefix are virtual
+  // and are overriden in usrp_basic_rx and usrp_basic_tx to access the
+  // the Rx or Tx sides automatically.  We provide the common_ versions
+  // for those daughterboards such as the WBX and XCVR2450 that share
+  // h/w resources (such as the LO) between the Tx and Rx sides.
+
+  // ----------------------------------------------------------------
+  // BEGIN common_  daughterboard control functions
+
+  /*!
+   * \brief Set Programmable Gain Amplifier(PGA)
+   *
+   * \param txrx       Tx or Rx?
+   * \param which_amp  which amp [0,3]
+   * \param gain_in_db gain value(linear in dB)
+   *
+   * gain is rounded to closest setting supported by hardware.
+   *
+   * \returns true iff sucessful.
+   *
+   * \sa pga_min(), pga_max(), pga_db_per_step()
+   */
+  bool common_set_pga(txrx_t txrx, int which_amp, double gain_in_db);
+
+  /*!
+   * \brief Return programmable gain amplifier gain setting in dB.
+   *
+   * \param txrx       Tx or Rx?
+   * \param which_amp  which amp [0,3]
+   */
+  double common_pga(txrx_t txrx, int which_amp) const;
+
+  /*!
+   * \brief Return minimum legal PGA gain in dB.
+   * \param txrx       Tx or Rx?
+   */
+  double common_pga_min(txrx_t txrx) const;
+
+  /*!
+   * \brief Return maximum legal PGA gain in dB.
+   * \param txrx       Tx or Rx?
+   */
+  double common_pga_max(txrx_t txrx) const;
+
+  /*!
+   * \brief Return hardware step size of PGA(linear in dB).
+   * \param txrx       Tx or Rx?
+   */
+  double common_pga_db_per_step(txrx_t txrx) const;
+
+  /*!
+   * \brief Write direction register(output enables) for pins that go to daughterboard.
+   *
+   * \param txrx       Tx or Rx?
+   * \param which_side [0,1] which size
+   * \param value      value to write into register
+   * \param mask       which bits of value to write into reg
+   *
+   * Each d'board has 16-bits of general purpose i/o.
+   * Setting the bit makes it an output from the FPGA to the d'board.
+   *
+   * This register is initialized based on a value stored in the
+   * d'board EEPROM.  In general, you shouldn't be using this routine
+   * without a very good reason.  Using this method incorrectly will
+   * kill your USRP motherboard and/or daughterboard.
+   */
+  bool _common_write_oe(txrx_t txrx, int which_side, int value, int mask);
+
+  /*!
+   * \brief Write daughterboard i/o pin value
+   *
+   * \param txrx       Tx or Rx?
+   * \param which_side [0,1] which d'board
+   * \param value      value to write into register
+   * \param mask       which bits of value to write into reg
+   */
+  bool common_write_io(txrx_t txrx, int which_side, int value, int mask);
+
+  /*!
+   * \brief Read daughterboard i/o pin value
+   *
+   * \param txrx       Tx or Rx?
+   * \param which_side [0,1] which d'board
+   * \param value      output
+   */
+  bool common_read_io(txrx_t txrx, int which_side, int *value);
+
+  /*!
+   * \brief Read daughterboard i/o pin value
+   *
+   * \param txrx       Tx or Rx?
+   * \param which_side [0,1] which d'board
+   * \returns register value if successful, else READ_FAILED
+   */
+  int common_read_io(txrx_t txrx, int which_side);
+
+  /*!
+   * \brief Write daughterboard refclk config register
+   *
+   * \param txrx       Tx or Rx?
+   * \param which_side [0,1] which d'board
+   * \param value      value to write into register, see below
+   *
+   * <pre>
+   * Control whether a reference clock is sent to the daughterboards,
+   * and what frequency.  The refclk is sent on d'board i/o pin 0.
+   * 
+   *     3                   2                   1                       
+   *   1 0 9 8 7 6 5 4 3 2 1 0 9 8 7 6 5 4 3 2 1 0 9 8 7 6 5 4 3 2 1 0
+   *  +-----------------------------------------------+-+------------+
+   *  |             Reserved (Must be zero)           |E|   DIVISOR  |
+   *  +-----------------------------------------------+-+------------+
+   * 
+   *  Bit 7  -- 1 turns on refclk, 0 allows IO use
+   *  Bits 6:0 Divider value
+   * </pre>
+   */
+  bool common_write_refclk(txrx_t txrx, int which_side, int value);
+
+  /*!
+   * \brief Automatic Transmit/Receive switching
+   * <pre>
+   *
+   * If automatic transmit/receive (ATR) switching is enabled in the
+   * FR_ATR_CTL register, the presence or absence of data in the FPGA
+   * transmit fifo selects between two sets of values for each of the 4
+   * banks of daughterboard i/o pins.
+   *
+   * Each daughterboard slot has 3 16-bit registers associated with it:
+   *   FR_ATR_MASK_*, FR_ATR_TXVAL_* and FR_ATR_RXVAL_*
+   *
+   * FR_ATR_MASK_{0,1,2,3}: 
+   *
+   *   These registers determine which of the daugherboard i/o pins are
+   *   affected by ATR switching.  If a bit in the mask is set, the
+   *   corresponding i/o bit is controlled by ATR, else it's output
+   *   value comes from the normal i/o pin output register:
+   *   FR_IO_{0,1,2,3}.
+   *
+   * FR_ATR_TXVAL_{0,1,2,3}:
+   * FR_ATR_RXVAL_{0,1,2,3}:
+   *
+   *   If the Tx fifo contains data, then the bits from TXVAL that are
+   *   selected by MASK are output.  Otherwise, the bits from RXVAL that
+   *   are selected by MASK are output.
+   * </pre>
+   */
+  bool common_write_atr_mask(txrx_t txrx, int which_side, int value);
+  bool common_write_atr_txval(txrx_t txrx, int which_side, int value);
+  bool common_write_atr_rxval(txrx_t txrx, int which_side, int value);
+
+  /*!
+   * \brief Write auxiliary digital to analog converter.
+   *
+   * \param txrx       Tx or Rx?
+   * \param which_side [0,1] which d'board
+   *                   N.B., SLOT_TX_A and SLOT_RX_A share the same AUX DAC's.
+   *                   SLOT_TX_B and SLOT_RX_B share the same AUX DAC's.
+   * \param which_dac  [2,3] TX slots must use only 2 and 3.
+   * \param value      [0,4095]
+   * \returns true iff successful
+   */
+  bool common_write_aux_dac(txrx_t txrx, int which_side, int which_dac, int value);
+
+  /*!
+   * \brief Read auxiliary analog to digital converter.
+   *
+   * \param txrx       Tx or Rx?
+   * \param which_side [0,1] which d'board
+   * \param which_adc  [0,1]
+   * \param value      return 12-bit value [0,4095]
+   * \returns true iff successful
+   */
+  bool common_read_aux_adc(txrx_t txrx, int which_side, int which_adc, int *value);
+
+  /*!
+   * \brief Read auxiliary analog to digital converter.
+   *
+   * \param txrx       Tx or Rx?
+   * \param which_side [0,1] which d'board
+   * \param which_adc  [0,1]
+   * \returns value in the range [0,4095] if successful, else READ_FAILED.
+   */
+  int common_read_aux_adc(txrx_t txrx, int which_side, int which_adc);
+
+  // END common_ daughterboard control functions\f
+  // ----------------------------------------------------------------
+  // BEGIN virtual daughterboard control functions
+
+  /*!
+   * \brief Set Programmable Gain Amplifier (PGA)
+   *
+   * \param which_amp  which amp [0,3]
+   * \param gain_in_db gain value (linear in dB)
+   *
+   * gain is rounded to closest setting supported by hardware.
+   *
+   * \returns true iff sucessful.
+   *
+   * \sa pga_min(), pga_max(), pga_db_per_step()
+   */
+  virtual bool set_pga (int which_amp, double gain_in_db) = 0;
+
+  /*!
+   * \brief Return programmable gain amplifier gain setting in dB.
+   *
+   * \param which_amp  which amp [0,3]
+   */
+  virtual double pga (int which_amp) const = 0;
+
+  /*!
+   * \brief Return minimum legal PGA gain in dB.
+   */
+  virtual double pga_min () const = 0;
+
+  /*!
+   * \brief Return maximum legal PGA gain in dB.
+   */
+  virtual double pga_max () const = 0;
+
+  /*!
+   * \brief Return hardware step size of PGA (linear in dB).
+   */
+  virtual double pga_db_per_step () const = 0;
+
+  /*!
+   * \brief Write direction register (output enables) for pins that go to daughterboard.
+   *
+   * \param which_side [0,1] which size
+   * \param value      value to write into register
+   * \param mask       which bits of value to write into reg
+   *
+   * Each d'board has 16-bits of general purpose i/o.
+   * Setting the bit makes it an output from the FPGA to the d'board.
+   *
+   * This register is initialized based on a value stored in the
+   * d'board EEPROM.  In general, you shouldn't be using this routine
+   * without a very good reason.  Using this method incorrectly will
+   * kill your USRP motherboard and/or daughterboard.
+   */
+  virtual bool _write_oe (int which_side, int value, int mask) = 0;
+
+  /*!
+   * \brief Write daughterboard i/o pin value
+   *
+   * \param which_side [0,1] which d'board
+   * \param value      value to write into register
+   * \param mask       which bits of value to write into reg
+   */
+  virtual bool write_io (int which_side, int value, int mask) = 0;
+
+  /*!
+   * \brief Read daughterboard i/o pin value
+   *
+   * \param which_side [0,1] which d'board
+   * \param value      output
+   */
+  virtual bool read_io (int which_side, int *value) = 0;
+
+  /*!
+   * \brief Read daughterboard i/o pin value
+   *
+   * \param which_side [0,1] which d'board
+   * \returns register value if successful, else READ_FAILED
+   */
+  virtual int read_io (int which_side) = 0;
+
+  /*!
+   * \brief Write daughterboard refclk config register
+   *
+   * \param which_side [0,1] which d'board
+   * \param value      value to write into register, see below
+   *
+   * <pre>
+   * Control whether a reference clock is sent to the daughterboards,
+   * and what frequency.  The refclk is sent on d'board i/o pin 0.
+   * 
+   *     3                   2                   1                       
+   *   1 0 9 8 7 6 5 4 3 2 1 0 9 8 7 6 5 4 3 2 1 0 9 8 7 6 5 4 3 2 1 0
+   *  +-----------------------------------------------+-+------------+
+   *  |             Reserved (Must be zero)           |E|   DIVISOR  |
+   *  +-----------------------------------------------+-+------------+
+   * 
+   *  Bit 7  -- 1 turns on refclk, 0 allows IO use
+   *  Bits 6:0 Divider value
+   * </pre>
+   */
+  virtual bool write_refclk(int which_side, int value) = 0;
+
+  virtual bool write_atr_mask(int which_side, int value) = 0;
+  virtual bool write_atr_txval(int which_side, int value) = 0;
+  virtual bool write_atr_rxval(int which_side, int value) = 0;
+
+  /*!
+   * \brief Write auxiliary digital to analog converter.
+   *
+   * \param which_side [0,1] which d'board
+   *                   N.B., SLOT_TX_A and SLOT_RX_A share the same AUX DAC's.
+   *                   SLOT_TX_B and SLOT_RX_B share the same AUX DAC's.
+   * \param which_dac  [2,3] TX slots must use only 2 and 3.
+   * \param value      [0,4095]
+   * \returns true iff successful
+   */
+  virtual bool write_aux_dac (int which_side, int which_dac, int value) = 0;
+
+  /*!
+   * \brief Read auxiliary analog to digital converter.
+   *
+   * \param which_side [0,1] which d'board
+   * \param which_adc  [0,1]
+   * \param value      return 12-bit value [0,4095]
+   * \returns true iff successful
+   */
+  virtual bool read_aux_adc (int which_side, int which_adc, int *value) = 0;
+
+  /*!
+   * \brief Read auxiliary analog to digital converter.
+   *
+   * \param which_side [0,1] which d'board
+   * \param which_adc  [0,1]
+   * \returns value in the range [0,4095] if successful, else READ_FAILED.
+   */
+  virtual int read_aux_adc (int which_side, int which_adc) = 0;
+
+  /*!
+   * \brief returns current fusb block size
+   */
+  virtual int block_size() const = 0;
+
+  /*!
+   * \brief returns A/D or D/A converter rate in Hz
+   */
+  virtual long converter_rate() const = 0;
+
+  // END virtual daughterboard control functions\f
+
+  // ----------------------------------------------------------------
+  // Low level implementation routines.
+  // You probably shouldn't be using these...
+  //
+
+  bool _set_led (int which_led, bool on);
+
+  /*!
+   * \brief Write FPGA register.
+   * \param regno      7-bit register number
+   * \param value      32-bit value
+   * \returns true iff successful
+   */
+  bool _write_fpga_reg (int regno, int value); //< 7-bit regno, 32-bit value
+
+  /*!
+   * \brief Read FPGA register.
+   * \param regno      7-bit register number
+   * \param value      32-bit value
+   * \returns true iff successful
+   */
+  bool _read_fpga_reg (int regno, int *value); //< 7-bit regno, 32-bit value
+
+  /*!
+   * \brief Read FPGA register.
+   * \param regno      7-bit register number
+   * \returns register value if successful, else READ_FAILED
+   */
+  int  _read_fpga_reg (int regno);
+
+  /*!
+   * \brief Write FPGA register with mask.
+   * \param regno      7-bit register number
+   * \param value      16-bit value
+   * \param mask       16-bit value
+   * \returns true if successful
+   * Only use this for registers who actually implement a mask in the verilog firmware, like FR_RX_MASTER_SLAVE
+   */
+  bool _write_fpga_reg_masked (int regno, int value, int mask);
+
+  /*!
+   * \brief Write AD9862 register.
+   * \param which_codec 0 or 1
+   * \param regno      6-bit register number
+   * \param value      8-bit value
+   * \returns true iff successful
+   */
+  bool _write_9862 (int which_codec, int regno, unsigned char value);
+
+  /*!
+   * \brief Read AD9862 register.
+   * \param which_codec 0 or 1
+   * \param regno      6-bit register number
+   * \param value      8-bit value
+   * \returns true iff successful
+   */
+  bool _read_9862 (int which_codec, int regno, unsigned char *value) const;
+
+  /*!
+   * \brief Read AD9862 register.
+   * \param which_codec 0 or 1
+   * \param regno      6-bit register number
+   * \returns register value if successful, else READ_FAILED
+   */
+  int  _read_9862 (int which_codec, int regno) const;
+
+  /*!
+   * \brief Write data to SPI bus peripheral.
+   *
+   * \param optional_header    0,1 or 2 bytes to write before buf.
+   * \param enables            bitmask of peripherals to write. See usrp_spi_defs.h
+   * \param format             transaction format.  See usrp_spi_defs.h SPI_FMT_*
+   * \param buf                        the data to write
+   * \returns true iff successful
+   * Writes are limited to a maximum of 64 bytes.
+   *
+   * If \p format specifies that optional_header bytes are present, they are
+   * written to the peripheral immediately prior to writing \p buf.
+   */
+  bool _write_spi (int optional_header, int enables, int format, std::string buf);
+
+  /*
+   * \brief Read data from SPI bus peripheral.
+   *
+   * \param optional_header    0,1 or 2 bytes to write before buf.
+   * \param enables            bitmask of peripheral to read. See usrp_spi_defs.h
+   * \param format             transaction format.  See usrp_spi_defs.h SPI_FMT_*
+   * \param len                        number of bytes to read.  Must be in [0,64].
+   * \returns the data read if sucessful, else a zero length string.
+   *
+   * Reads are limited to a maximum of 64 bytes.
+   *
+   * If \p format specifies that optional_header bytes are present, they
+   * are written to the peripheral first.  Then \p len bytes are read from
+   * the peripheral and returned.
+   */
+  std::string _read_spi (int optional_header, int enables, int format, int len);
+
+  /*!
+   * \brief Start data transfers.
+   * Called in base class to derived class order.
+   */
+  bool start ();
+
+  /*!
+   * \brief Stop data transfers.
+   * Called in base class to derived class order.
+   */
+  bool stop ();
+};
+
+\f/*!
+ * \brief class for accessing the receive side of the USRP
+ * \ingroup usrp
+ */
+class usrp_basic_rx : public usrp_basic 
+{
+private:
+  fusb_devhandle       *d_devhandle;
+  fusb_ephandle                *d_ephandle;
+  int                   d_bytes_seen;          // how many bytes we've seen
+  bool                  d_first_read;
+  bool                  d_rx_enable;
+
+protected:
+  /*!
+   * \param which_board             Which USRP board on usb (not particularly useful; use 0)
+   * \param fusb_block_size  fast usb xfer block size.  Must be a multiple of 512. 
+   *                         Use zero for a reasonable default.
+   * \param fusb_nblocks     number of fast usb URBs to allocate.  Use zero for a reasonable default. 
+   * \param fpga_filename    name of the rbf file to load
+   * \param firmware_filename name of ihx file to load
+   */
+  usrp_basic_rx (int which_board,
+                int fusb_block_size=0,
+                int fusb_nblocks=0,
+                const std::string fpga_filename = "",
+                const std::string firmware_filename = ""
+                );  // throws if trouble
+
+  bool set_rx_enable (bool on);
+  bool rx_enable () const { return d_rx_enable; }
+
+  bool disable_rx ();          // conditional disable, return prev state
+  void restore_rx (bool on);   // conditional set
+
+  void probe_rx_slots (bool verbose);
+
+public:
+  ~usrp_basic_rx ();
+
+  /*!
+   * \brief invokes constructor, returns instance or 0 if trouble
+   *
+   * \param which_board             Which USRP board on usb (not particularly useful; use 0)
+   * \param fusb_block_size  fast usb xfer block size.  Must be a multiple of 512. 
+   *                         Use zero for a reasonable default.
+   * \param fusb_nblocks     number of fast usb URBs to allocate.  Use zero for a reasonable default. 
+   * \param fpga_filename    name of file that contains image to load into FPGA
+   * \param firmware_filename  name of file that contains image to load into FX2
+   */
+  static usrp_basic_rx *make (int which_board,
+                             int fusb_block_size=0,
+                             int fusb_nblocks=0,
+                             const std::string fpga_filename = "",
+                             const std::string firmware_filename = ""
+                             );
+
+  /*!
+   * \brief tell the fpga the rate rx samples are coming from the A/D's
+   *
+   * div = fpga_master_clock_freq () / sample_rate
+   *
+   * sample_rate is determined by a myriad of registers
+   * in the 9862.  That's why you have to tell us, so
+   * we can tell the fpga.
+   */
+  bool set_fpga_rx_sample_rate_divisor (unsigned int div);
+
+  /*!
+   * \brief read data from the D/A's via the FPGA.
+   * \p len must be a multiple of 512 bytes.
+   *
+   * \returns the number of bytes read, or -1 on error.
+   *
+   * If overrun is non-NULL it will be set true iff an RX overrun is detected.
+   */
+  int read (void *buf, int len, bool *overrun);
+
+
+  //! sampling rate of A/D converter
+  virtual long converter_rate() const { return fpga_master_clock_freq(); } // 64M
+  long adc_rate() const { return converter_rate(); }
+  int daughterboard_id (int which_side) const { return d_dbid[which_side & 0x1]; }
+
+  bool set_pga (int which_amp, double gain_in_db);
+  double pga (int which_amp) const;
+  double pga_min () const;
+  double pga_max () const;
+  double pga_db_per_step () const;
+
+  bool _write_oe (int which_side, int value, int mask);
+  bool write_io (int which_side, int value, int mask);
+  bool read_io (int which_side, int *value);
+  int read_io (int which_side);
+  bool write_refclk(int which_side, int value);
+  bool write_atr_mask(int which_side, int value);
+  bool write_atr_txval(int which_side, int value);
+  bool write_atr_rxval(int which_side, int value);
+
+  bool write_aux_dac (int which_side, int which_dac, int value);
+  bool read_aux_adc (int which_side, int which_adc, int *value);
+  int  read_aux_adc (int which_side, int which_adc);
+
+  int block_size() const;
+
+  // called in base class to derived class order
+  bool start ();
+  bool stop ();
+};
+
+\f/*!
+ * \brief class for accessing the transmit side of the USRP
+ * \ingroup usrp
+ */
+class usrp_basic_tx : public usrp_basic 
+{
+private:
+  fusb_devhandle       *d_devhandle;
+  fusb_ephandle                *d_ephandle;
+  int                   d_bytes_seen;          // how many bytes we've seen
+  bool                  d_first_write;
+  bool                  d_tx_enable;
+
+ protected:
+  /*!
+   * \param which_board             Which USRP board on usb (not particularly useful; use 0)
+   * \param fusb_block_size  fast usb xfer block size.  Must be a multiple of 512.
+   *                         Use zero for a reasonable default.
+   * \param fusb_nblocks     number of fast usb URBs to allocate.  Use zero for a reasonable default.
+   * \param fpga_filename    name of file that contains image to load into FPGA
+   * \param firmware_filename  name of file that contains image to load into FX2
+   */
+  usrp_basic_tx (int which_board,
+                int fusb_block_size=0,
+                int fusb_nblocks=0,
+                const std::string fpga_filename = "",
+                const std::string firmware_filename = ""
+                );             // throws if trouble
+
+  bool set_tx_enable (bool on);
+  bool tx_enable () const { return d_tx_enable; }
+
+  bool disable_tx ();          // conditional disable, return prev state
+  void restore_tx (bool on);   // conditional set
+
+  void probe_tx_slots (bool verbose);
+
+public:
+
+  ~usrp_basic_tx ();
+
+  /*!
+   * \brief invokes constructor, returns instance or 0 if trouble
+   *
+   * \param which_board             Which USRP board on usb (not particularly useful; use 0)
+   * \param fusb_block_size  fast usb xfer block size.  Must be a multiple of 512. 
+   *                         Use zero for a reasonable default.
+   * \param fusb_nblocks     number of fast usb URBs to allocate.  Use zero for a reasonable default. 
+   * \param fpga_filename    name of file that contains image to load into FPGA
+   * \param firmware_filename  name of file that contains image to load into FX2
+   */
+  static usrp_basic_tx *make (int which_board, int fusb_block_size=0, int fusb_nblocks=0,
+                             const std::string fpga_filename = "",
+                             const std::string firmware_filename = ""
+                             );
+
+  /*!
+   * \brief tell the fpga the rate tx samples are going to the D/A's
+   *
+   * div = fpga_master_clock_freq () * 2
+   *
+   * sample_rate is determined by a myriad of registers
+   * in the 9862.  That's why you have to tell us, so
+   * we can tell the fpga.
+   */
+  bool set_fpga_tx_sample_rate_divisor (unsigned int div);
+
+  /*!
+   * \brief Write data to the A/D's via the FPGA.
+   *
+   * \p len must be a multiple of 512 bytes.
+   * \returns number of bytes written or -1 on error.
+   *
+   * if \p underrun is non-NULL, it will be set to true iff
+   * a transmit underrun condition is detected.
+   */
+  int write (const void *buf, int len, bool *underrun);
+
+  /*
+   * Block until all outstanding writes have completed.
+   * This is typically used to assist with benchmarking
+   */
+  void wait_for_completion ();
+
+  //! sampling rate of D/A converter
+  virtual long converter_rate() const { return fpga_master_clock_freq () * 2; } // 128M
+  long dac_rate() const { return converter_rate(); }
+  int daughterboard_id (int which_side) const { return d_dbid[which_side & 0x1]; }
+
+  bool set_pga (int which_amp, double gain_in_db);
+  double pga (int which_amp) const;
+  double pga_min () const;
+  double pga_max () const;
+  double pga_db_per_step () const;
+
+  bool _write_oe (int which_side, int value, int mask);
+  bool write_io (int which_side, int value, int mask);
+  bool read_io (int which_side, int *value);
+  int read_io (int which_side);
+  bool write_refclk(int which_side, int value);
+  bool write_atr_mask(int which_side, int value);
+  bool write_atr_txval(int which_side, int value);
+  bool write_atr_rxval(int which_side, int value);
+
+  bool write_aux_dac (int which_side, int which_dac, int value);
+  bool read_aux_adc (int which_side, int which_adc, int *value);
+  int read_aux_adc (int which_side, int which_adc);
+
+  int block_size() const;
+
+  // called in base class to derived class order
+  bool start ();
+  bool stop ();
+};
+
+#endif
diff --git a/usrp/host/lib/legacy/usrp_bytesex.h b/usrp/host/lib/legacy/usrp_bytesex.h
new file mode 100644 (file)
index 0000000..331db31
--- /dev/null
@@ -0,0 +1,108 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+#ifndef INCLUDED_USRP_BYTESEX_H
+#define INCLUDED_USRP_BYTESEX_H
+
+/*!
+ * \brief routines for convertering between host and usrp byte order
+ *
+ * Prior to including this file, the user must include "config.h"
+ * which will or won't define WORDS_BIGENDIAN based on the
+ * result of the AC_C_BIGENDIAN autoconf test.
+ */
+
+#ifdef HAVE_BYTESWAP_H
+#include <byteswap.h>
+#else
+
+#warning Using non-portable code (likely wrong other than ILP32).
+
+static inline unsigned short int
+bswap_16 (unsigned short int x)
+{
+  return ((((x) >> 8) & 0xff) | (((x) & 0xff) << 8));
+}
+
+static inline unsigned int
+bswap_32 (unsigned int x)
+{
+  return ((((x) & 0xff000000) >> 24) | (((x) & 0x00ff0000) >>  8) \
+        | (((x) & 0x0000ff00) <<  8) | (((x) & 0x000000ff) << 24));
+}
+#endif
+
+
+#ifdef WORDS_BIGENDIAN
+
+static inline unsigned int
+host_to_usrp_u32 (unsigned int x)
+{
+  return bswap_32(x);
+}
+
+static inline unsigned int
+usrp_to_host_u32 (unsigned int x)
+{
+  return bswap_32(x);
+}
+
+static inline short int
+host_to_usrp_short (short int x)
+{
+  return bswap_16 (x);
+}
+
+static inline short int
+usrp_to_host_short (short int x)
+{
+  return bswap_16 (x);
+}
+
+#else
+
+static inline unsigned int
+host_to_usrp_u32 (unsigned int x)
+{
+  return x;
+}
+
+static inline unsigned int
+usrp_to_host_u32 (unsigned int x)
+{
+  return x;
+}
+
+static inline short int
+host_to_usrp_short (short int x)
+{
+  return x;
+}
+
+static inline short int
+usrp_to_host_short (unsigned short int x)
+{
+  return x;
+}
+
+#endif
+
+#endif /* INCLUDED_USRP_BYTESEX_H */
diff --git a/usrp/host/lib/legacy/usrp_config.cc b/usrp/host/lib/legacy/usrp_config.cc
new file mode 100644 (file)
index 0000000..fcf207f
--- /dev/null
@@ -0,0 +1,35 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2003 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#include "usrp_config.h"
+
+int
+usrp_rx_config_stream_count (unsigned int usrp_rx_config)
+{
+  return 1;
+}
+
+int
+usrp_tx_config_stream_count (unsigned int usrp_tx_config)
+{
+  return 1;
+}
diff --git a/usrp/host/lib/legacy/usrp_config.h b/usrp/host/lib/legacy/usrp_config.h
new file mode 100644 (file)
index 0000000..ee5cb63
--- /dev/null
@@ -0,0 +1,67 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2003 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifndef _USRP_CONFIG_H_
+#define _USRP_CONFIG_H_
+
+/*
+ * ----------------------------------------------------------------
+ * USRP Rx configurations.
+ *
+ * For now this is a placeholder, but will eventually specify the
+ * mapping from A/D outputs to DDC inputs (I & Q).
+ *
+ * What's implemented today is a single DDC that has its I input
+ * connected to ADC0 and its Q input connected to ADC1
+ * ----------------------------------------------------------------
+ */
+
+#define        USRP_RX_CONFIG_DEFAULT  0
+
+/*!
+ * given a usrp_rx_config word, return the number of I & Q streams that
+ * are interleaved on the USB.
+ */
+
+int usrp_rx_config_stream_count (unsigned int usrp_rx_config);
+
+/*
+ * USRP Tx configurations.
+ *
+ * For now this is a placeholder, but will eventually specify the
+ * mapping from DUC outputs to D/A inputs.
+ *
+ * What's implemented today is a single DUC that has its I output
+ * connected to DAC0 and its Q output connected to DAC1
+ */
+
+#define        USRP_TX_CONFIG_DEFAULT  0
+
+/*!
+ * given a usrp_tx_config word, return the number of I & Q streams that
+ * are interleaved on the USB.
+ */
+
+int usrp_tx_config_stream_count (unsigned int usrp_tx_config);
+
+
+#endif /* _USRP_CONFIG_H_ */
diff --git a/usrp/host/lib/legacy/usrp_dbid.cc b/usrp/host/lib/legacy/usrp_dbid.cc
new file mode 100644 (file)
index 0000000..f33cab2
--- /dev/null
@@ -0,0 +1,102 @@
+//
+// Machine generated by gen_usrp_dbid.py from usrp_dbid.dat
+// Do not edit by hand.  All edits will be overwritten.
+//
+
+/*
+ * Copyright 2005 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#include <usrp_prims.h>
+#include <usrp_dbid.h>
+#include <stdio.h>
+
+#define NELEM(x) sizeof(x)/sizeof(x[0])
+
+static struct {
+  unsigned short       dbid;
+  const char          *name;
+} dbid_map[] = {
+  { USRP_DBID_BASIC_TX,         "Basic Tx" },
+  { USRP_DBID_BASIC_RX,         "Basic Rx" },
+  { USRP_DBID_DBS_RX,           "DBS Rx" },
+  { USRP_DBID_TV_RX,            "TV Rx" },
+  { USRP_DBID_FLEX_400_RX,      "Flex 400 Rx" },
+  { USRP_DBID_FLEX_900_RX,      "Flex 900 Rx" },
+  { USRP_DBID_FLEX_1200_RX,     "Flex 1200 Rx" },
+  { USRP_DBID_FLEX_2400_RX,     "Flex 2400 Rx" },
+  { USRP_DBID_FLEX_400_TX,      "Flex 400 Tx" },
+  { USRP_DBID_FLEX_900_TX,      "Flex 900 Tx" },
+  { USRP_DBID_FLEX_1200_TX,     "Flex 1200 Tx" },
+  { USRP_DBID_FLEX_2400_TX,     "Flex 2400 Tx" },
+  { USRP_DBID_TV_RX_REV_2,      "TV Rx Rev 2" },
+  { USRP_DBID_DBS_RX_CLKMOD,    "DBS Rx ClkMod" },
+  { USRP_DBID_LF_TX,            "LF Tx" },
+  { USRP_DBID_LF_RX,            "LF Rx" },
+  { USRP_DBID_FLEX_400_RX_MIMO_A, "Flex 400 Rx MIMO A" },
+  { USRP_DBID_FLEX_900_RX_MIMO_A, "Flex 900 Rx MIMO A" },
+  { USRP_DBID_FLEX_1200_RX_MIMO_A, "Flex 1200 Rx MIMO A" },
+  { USRP_DBID_FLEX_2400_RX_MIMO_A, "Flex 2400 Rx MIMO A" },
+  { USRP_DBID_FLEX_400_TX_MIMO_A, "Flex 400 Tx MIMO A" },
+  { USRP_DBID_FLEX_900_TX_MIMO_A, "Flex 900 Tx MIMO A" },
+  { USRP_DBID_FLEX_1200_TX_MIMO_A, "Flex 1200 Tx MIMO A" },
+  { USRP_DBID_FLEX_2400_TX_MIMO_A, "Flex 2400 Tx MIMO A" },
+  { USRP_DBID_FLEX_400_RX_MIMO_B, "Flex 400 Rx MIMO B" },
+  { USRP_DBID_FLEX_900_RX_MIMO_B, "Flex 900 Rx MIMO B" },
+  { USRP_DBID_FLEX_1200_RX_MIMO_B, "Flex 1200 Rx MIMO B" },
+  { USRP_DBID_FLEX_2400_RX_MIMO_B, "Flex 2400 Rx MIMO B" },
+  { USRP_DBID_FLEX_400_TX_MIMO_B, "Flex 400 Tx MIMO B" },
+  { USRP_DBID_FLEX_900_TX_MIMO_B, "Flex 900 Tx MIMO B" },
+  { USRP_DBID_FLEX_1200_TX_MIMO_B, "Flex 1200 Tx MIMO B" },
+  { USRP_DBID_FLEX_2400_TX_MIMO_B, "Flex 2400 Tx MIMO B" },
+  { USRP_DBID_FLEX_1800_RX,     "Flex 1800 Rx" },
+  { USRP_DBID_FLEX_1800_TX,     "Flex 1800 Tx" },
+  { USRP_DBID_FLEX_1800_RX_MIMO_A, "Flex 1800 Rx MIMO A" },
+  { USRP_DBID_FLEX_1800_TX_MIMO_A, "Flex 1800 Tx MIMO A" },
+  { USRP_DBID_FLEX_1800_RX_MIMO_B, "Flex 1800 Rx MIMO B" },
+  { USRP_DBID_FLEX_1800_TX_MIMO_B, "Flex 1800 Tx MIMO B" },
+  { USRP_DBID_TV_RX_REV_3,      "TV Rx Rev 3" },
+  { USRP_DBID_DTT754,           "DTT754" },
+  { USRP_DBID_DTT768,           "DTT768" },
+  { USRP_DBID_WBX_LO_TX,        "WBX LO TX" },
+  { USRP_DBID_WBX_LO_RX,        "WBX LO RX" },
+  { USRP_DBID_XCVR2450_TX,      "XCVR2450 Tx" },
+  { USRP_DBID_XCVR2450_RX,      "XCVR2450 Rx" },
+  { USRP_DBID_EXPERIMENTAL_TX,  "Experimental Tx" },
+  { USRP_DBID_EXPERIMENTAL_RX,  "Experimental Rx" },
+};
+
+const std::string
+usrp_dbid_to_string (int dbid)
+{
+  if (dbid == -1)
+    return "<none>";
+
+  if (dbid == -2)
+    return "<invalid EEPROM contents>";
+
+  for (unsigned i = 0; i < NELEM (dbid_map); i++)
+    if (dbid == dbid_map[i].dbid)
+      return dbid_map[i].name;
+
+  char tmp[64];
+  snprintf (tmp, sizeof (tmp), "Unknown (0x%04x)", dbid);
+  return tmp;
+}
diff --git a/usrp/host/lib/legacy/usrp_dbid.dat b/usrp/host/lib/legacy/usrp_dbid.dat
new file mode 100644 (file)
index 0000000..bd7fd7e
--- /dev/null
@@ -0,0 +1,82 @@
+#
+# Copyright 2005,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License along
+# with this program; if not, write to the Free Software Foundation, Inc.,
+# 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+#
+
+# This file is used to generate usrp_dbid.h, usrp_dbid.cc and usrp_dbid.py
+
+"Basic Tx"             0x0000
+"Basic Rx"             0x0001
+"DBS Rx"               0x0002
+"TV Rx"                        0x0003
+
+"Flex 400 Rx"          0x0004
+"Flex 900 Rx"          0x0005
+"Flex 1200 Rx"         0x0006
+"Flex 2400 Rx"         0x0007
+
+"Flex 400 Tx"          0x0008
+"Flex 900 Tx"          0x0009
+"Flex 1200 Tx"         0x000a
+"Flex 2400 Tx"         0x000b
+
+"TV Rx Rev 2"          0x000c
+"DBS Rx ClkMod"                0x000d
+
+"LF Tx"                        0x000e
+"LF Rx"                        0x000f
+
+"Flex 400 Rx MIMO A"   0x0014
+"Flex 900 Rx MIMO A"   0x0015
+"Flex 1200 Rx MIMO A"  0x0016
+"Flex 2400 Rx MIMO A"  0x0017
+
+"Flex 400 Tx MIMO A"   0x0018
+"Flex 900 Tx MIMO A"   0x0019
+"Flex 1200 Tx MIMO A"  0x001a
+"Flex 2400 Tx MIMO A"  0x001b
+
+"Flex 400 Rx MIMO B"   0x0024
+"Flex 900 Rx MIMO B"   0x0025
+"Flex 1200 Rx MIMO B"  0x0026
+"Flex 2400 Rx MIMO B"  0x0027
+
+"Flex 400 Tx MIMO B"   0x0028
+"Flex 900 Tx MIMO B"   0x0029
+"Flex 1200 Tx MIMO B"  0x002a
+"Flex 2400 Tx MIMO B"  0x002b
+
+"Flex 1800 Rx"         0x0030
+"Flex 1800 Tx"         0x0031
+"Flex 1800 Rx MIMO A"  0x0032
+"Flex 1800 Tx MIMO A"  0x0033
+"Flex 1800 Rx MIMO B"  0x0034
+"Flex 1800 Tx MIMO B"  0x0035
+
+"TV Rx Rev 3"          0x0040
+"DTT754"               0x0041
+"DTT768"               0x0042
+
+"WBX LO TX"            0x0050
+"WBX LO RX"            0x0051
+
+"XCVR2450 Tx"          0x0060
+"XCVR2450 Rx"          0x0061
+
+"Experimental Tx"      0xfffe
+"Experimental Rx"      0xffff
diff --git a/usrp/host/lib/legacy/usrp_dbid.h b/usrp/host/lib/legacy/usrp_dbid.h
new file mode 100644 (file)
index 0000000..10ce62a
--- /dev/null
@@ -0,0 +1,61 @@
+//
+// Machine generated by gen_usrp_dbid.py from usrp_dbid.dat
+// Do not edit by hand.  All edits will be overwritten.
+//
+
+//
+// USRP Daughterboard ID's
+//
+
+#ifndef INCLUDED_USRP_DBID_H
+#define INCLUDED_USRP_DBID_H
+
+#define USRP_DBID_BASIC_TX        0x0000
+#define USRP_DBID_BASIC_RX        0x0001
+#define USRP_DBID_DBS_RX          0x0002
+#define USRP_DBID_TV_RX           0x0003
+#define USRP_DBID_FLEX_400_RX     0x0004
+#define USRP_DBID_FLEX_900_RX     0x0005
+#define USRP_DBID_FLEX_1200_RX    0x0006
+#define USRP_DBID_FLEX_2400_RX    0x0007
+#define USRP_DBID_FLEX_400_TX     0x0008
+#define USRP_DBID_FLEX_900_TX     0x0009
+#define USRP_DBID_FLEX_1200_TX    0x000a
+#define USRP_DBID_FLEX_2400_TX    0x000b
+#define USRP_DBID_TV_RX_REV_2     0x000c
+#define USRP_DBID_DBS_RX_CLKMOD   0x000d
+#define USRP_DBID_LF_TX           0x000e
+#define USRP_DBID_LF_RX           0x000f
+#define USRP_DBID_FLEX_400_RX_MIMO_A 0x0014
+#define USRP_DBID_FLEX_900_RX_MIMO_A 0x0015
+#define USRP_DBID_FLEX_1200_RX_MIMO_A 0x0016
+#define USRP_DBID_FLEX_2400_RX_MIMO_A 0x0017
+#define USRP_DBID_FLEX_400_TX_MIMO_A 0x0018
+#define USRP_DBID_FLEX_900_TX_MIMO_A 0x0019
+#define USRP_DBID_FLEX_1200_TX_MIMO_A 0x001a
+#define USRP_DBID_FLEX_2400_TX_MIMO_A 0x001b
+#define USRP_DBID_FLEX_400_RX_MIMO_B 0x0024
+#define USRP_DBID_FLEX_900_RX_MIMO_B 0x0025
+#define USRP_DBID_FLEX_1200_RX_MIMO_B 0x0026
+#define USRP_DBID_FLEX_2400_RX_MIMO_B 0x0027
+#define USRP_DBID_FLEX_400_TX_MIMO_B 0x0028
+#define USRP_DBID_FLEX_900_TX_MIMO_B 0x0029
+#define USRP_DBID_FLEX_1200_TX_MIMO_B 0x002a
+#define USRP_DBID_FLEX_2400_TX_MIMO_B 0x002b
+#define USRP_DBID_FLEX_1800_RX    0x0030
+#define USRP_DBID_FLEX_1800_TX    0x0031
+#define USRP_DBID_FLEX_1800_RX_MIMO_A 0x0032
+#define USRP_DBID_FLEX_1800_TX_MIMO_A 0x0033
+#define USRP_DBID_FLEX_1800_RX_MIMO_B 0x0034
+#define USRP_DBID_FLEX_1800_TX_MIMO_B 0x0035
+#define USRP_DBID_TV_RX_REV_3     0x0040
+#define USRP_DBID_DTT754          0x0041
+#define USRP_DBID_DTT768          0x0042
+#define USRP_DBID_WBX_LO_TX       0x0050
+#define USRP_DBID_WBX_LO_RX       0x0051
+#define USRP_DBID_XCVR2450_TX     0x0060
+#define USRP_DBID_XCVR2450_RX     0x0061
+#define USRP_DBID_EXPERIMENTAL_TX 0xfffe
+#define USRP_DBID_EXPERIMENTAL_RX 0xffff
+
+#endif /* INCLUDED_USRP_DBID_H */
diff --git a/usrp/host/lib/legacy/usrp_dbid.py b/usrp/host/lib/legacy/usrp_dbid.py
new file mode 100644 (file)
index 0000000..4c2c651
--- /dev/null
@@ -0,0 +1,56 @@
+#
+# Machine generated by gen_usrp_dbid.py from usrp_dbid.dat
+# Do not edit by hand.  All edits will be overwritten.
+#
+
+#
+# USRP Daughterboard ID's
+#
+
+BASIC_TX         = 0x0000
+BASIC_RX         = 0x0001
+DBS_RX           = 0x0002
+TV_RX            = 0x0003
+FLEX_400_RX      = 0x0004
+FLEX_900_RX      = 0x0005
+FLEX_1200_RX     = 0x0006
+FLEX_2400_RX     = 0x0007
+FLEX_400_TX      = 0x0008
+FLEX_900_TX      = 0x0009
+FLEX_1200_TX     = 0x000a
+FLEX_2400_TX     = 0x000b
+TV_RX_REV_2      = 0x000c
+DBS_RX_CLKMOD    = 0x000d
+LF_TX            = 0x000e
+LF_RX            = 0x000f
+FLEX_400_RX_MIMO_A = 0x0014
+FLEX_900_RX_MIMO_A = 0x0015
+FLEX_1200_RX_MIMO_A = 0x0016
+FLEX_2400_RX_MIMO_A = 0x0017
+FLEX_400_TX_MIMO_A = 0x0018
+FLEX_900_TX_MIMO_A = 0x0019
+FLEX_1200_TX_MIMO_A = 0x001a
+FLEX_2400_TX_MIMO_A = 0x001b
+FLEX_400_RX_MIMO_B = 0x0024
+FLEX_900_RX_MIMO_B = 0x0025
+FLEX_1200_RX_MIMO_B = 0x0026
+FLEX_2400_RX_MIMO_B = 0x0027
+FLEX_400_TX_MIMO_B = 0x0028
+FLEX_900_TX_MIMO_B = 0x0029
+FLEX_1200_TX_MIMO_B = 0x002a
+FLEX_2400_TX_MIMO_B = 0x002b
+FLEX_1800_RX     = 0x0030
+FLEX_1800_TX     = 0x0031
+FLEX_1800_RX_MIMO_A = 0x0032
+FLEX_1800_TX_MIMO_A = 0x0033
+FLEX_1800_RX_MIMO_B = 0x0034
+FLEX_1800_TX_MIMO_B = 0x0035
+TV_RX_REV_3      = 0x0040
+DTT754           = 0x0041
+DTT768           = 0x0042
+WBX_LO_TX        = 0x0050
+WBX_LO_RX        = 0x0051
+XCVR2450_TX      = 0x0060
+XCVR2450_RX      = 0x0061
+EXPERIMENTAL_TX  = 0xfffe
+EXPERIMENTAL_RX  = 0xffff
diff --git a/usrp/host/lib/legacy/usrp_local_sighandler.cc b/usrp/host/lib/legacy/usrp_local_sighandler.cc
new file mode 100644 (file)
index 0000000..69cde0b
--- /dev/null
@@ -0,0 +1,191 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * This is actually the same as gr_local_signhandler, but with a different name.
+ * We don't have a common library to put this in, so...
+ */
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include <usrp_local_sighandler.h>
+#include <stdexcept>
+#include <stdio.h>
+#include <string.h>
+
+usrp_local_sighandler::usrp_local_sighandler (int signum,
+                                             void (*new_handler)(int))
+  : d_signum (signum)
+{
+#ifdef HAVE_SIGACTION
+  struct sigaction new_action;
+  memset (&new_action, 0, sizeof (new_action));
+
+  new_action.sa_handler = new_handler;
+  sigemptyset (&new_action.sa_mask);
+  new_action.sa_flags = 0;
+
+  if (sigaction (d_signum, &new_action, &d_old_action) < 0){
+    perror ("sigaction (install new)");
+    throw std::runtime_error ("sigaction");
+  }
+#endif
+}
+
+usrp_local_sighandler::~usrp_local_sighandler ()
+{
+#ifdef HAVE_SIGACTION
+  if (sigaction (d_signum, &d_old_action, 0) < 0){
+    perror ("sigaction (restore old)");
+    throw std::runtime_error ("sigaction");
+  }
+#endif
+}
+
+void
+usrp_local_sighandler::throw_signal(int signum) throw(usrp_signal)
+{
+  throw usrp_signal (signum);
+}
+
+/*
+ * Semi-hideous way to may a signal number into a signal name
+ */
+
+#define SIGNAME(x) case x: return #x
+
+std::string
+usrp_signal::name () const
+{
+  char tmp[128];
+
+  switch (signal ()){
+#ifdef SIGHUP
+    SIGNAME (SIGHUP);
+#endif
+#ifdef SIGINT
+    SIGNAME (SIGINT);
+#endif
+#ifdef SIGQUIT
+    SIGNAME (SIGQUIT);
+#endif
+#ifdef SIGILL
+    SIGNAME (SIGILL);
+#endif
+#ifdef SIGTRAP
+    SIGNAME (SIGTRAP);
+#endif
+#ifdef SIGABRT
+    SIGNAME (SIGABRT);
+#endif
+#ifdef SIGBUS
+    SIGNAME (SIGBUS);
+#endif
+#ifdef SIGFPE
+    SIGNAME (SIGFPE);
+#endif
+#ifdef SIGKILL
+    SIGNAME (SIGKILL);
+#endif
+#ifdef SIGUSR1
+    SIGNAME (SIGUSR1);
+#endif
+#ifdef SIGSEGV
+    SIGNAME (SIGSEGV);
+#endif
+#ifdef SIGUSR2
+    SIGNAME (SIGUSR2);
+#endif
+#ifdef SIGPIPE
+    SIGNAME (SIGPIPE);
+#endif
+#ifdef SIGALRM
+    SIGNAME (SIGALRM);
+#endif
+#ifdef SIGTERM
+    SIGNAME (SIGTERM);
+#endif
+#ifdef SIGSTKFLT
+    SIGNAME (SIGSTKFLT);
+#endif
+#ifdef SIGCHLD
+    SIGNAME (SIGCHLD);
+#endif
+#ifdef SIGCONT
+    SIGNAME (SIGCONT);
+#endif
+#ifdef SIGSTOP
+    SIGNAME (SIGSTOP);
+#endif
+#ifdef SIGTSTP
+    SIGNAME (SIGTSTP);
+#endif
+#ifdef SIGTTIN
+    SIGNAME (SIGTTIN);
+#endif
+#ifdef SIGTTOU
+    SIGNAME (SIGTTOU);
+#endif
+#ifdef SIGURG
+    SIGNAME (SIGURG);
+#endif
+#ifdef SIGXCPU
+    SIGNAME (SIGXCPU);
+#endif
+#ifdef SIGXFSZ
+    SIGNAME (SIGXFSZ);
+#endif
+#ifdef SIGVTALRM
+    SIGNAME (SIGVTALRM);
+#endif
+#ifdef SIGPROF
+    SIGNAME (SIGPROF);
+#endif
+#ifdef SIGWINCH
+    SIGNAME (SIGWINCH);
+#endif
+#ifdef SIGIO
+    SIGNAME (SIGIO);
+#endif
+#ifdef SIGPWR
+    SIGNAME (SIGPWR);
+#endif
+#ifdef SIGSYS
+    SIGNAME (SIGSYS);
+#endif
+  default:
+#if defined (HAVE_SNPRINTF)
+#if defined (SIGRTMIN) && defined (SIGRTMAX) 
+    if (signal () >= SIGRTMIN && signal () <= SIGRTMAX){
+      snprintf (tmp, sizeof (tmp), "SIGRTMIN + %d", signal ());
+      return tmp;
+    }
+#endif
+    snprintf (tmp, sizeof (tmp), "SIGNAL %d", signal ());
+    return tmp;
+#else
+    return "Unknown signal";
+#endif
+  }
+}
diff --git a/usrp/host/lib/legacy/usrp_local_sighandler.h b/usrp/host/lib/legacy/usrp_local_sighandler.h
new file mode 100644 (file)
index 0000000..ee33675
--- /dev/null
@@ -0,0 +1,61 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifndef INCLUDED_USRP_LOCAL_SIGHANDLER_H
+#define INCLUDED_USRP_LOCAL_SIGHANDLER_H
+
+#include <signal.h>
+#include <string>
+
+/*!
+ * \brief Representation of signal.
+ */
+class usrp_signal
+{
+  int  d_signum;
+public:
+  usrp_signal (int signum) : d_signum (signum) {}
+  int signal () const { return d_signum; }
+  std::string name () const;
+};
+
+
+/*!
+ * \brief Get and set signal handler.
+ *
+ * Constructor installs new handler, destructor reinstalls
+ * original value.
+ */
+class usrp_local_sighandler {
+  int                  d_signum;
+#ifdef HAVE_SIGACTION
+  struct sigaction     d_old_action;
+#endif
+public:
+  usrp_local_sighandler (int signum, void (*new_handler)(int));
+  ~usrp_local_sighandler ();
+
+  /* throw usrp_signal (signum) */
+  static void throw_signal (int signum) throw (usrp_signal);
+};
+
+#endif /* INCLUDED_USRP_LOCAL_SIGHANDLER_H */
diff --git a/usrp/host/lib/legacy/usrp_prims.cc b/usrp/host/lib/legacy/usrp_prims.cc
new file mode 100644 (file)
index 0000000..c2f74f5
--- /dev/null
@@ -0,0 +1,1357 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2003,2004,2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include "config.h"
+#endif
+
+#include "usrp_prims.h"
+#include "usrp_commands.h"
+#include "usrp_ids.h"
+#include "usrp_i2c_addr.h"
+#include "fpga_regs_common.h"
+#include "fpga_regs_standard.h"
+#include <usb.h>
+#include <errno.h>
+#include <stdio.h>
+#include <unistd.h>
+#include <stdlib.h>
+#include <string.h>
+#include <ctype.h>
+#include <time.h>              // FIXME should check with autoconf (nanosleep)
+#include <algorithm>
+#include <ad9862.h>
+#include <assert.h>
+
+extern "C" {
+#include "md5.h"
+};
+
+#define VERBOSE 0
+
+using namespace ad9862;
+
+static const int FIRMWARE_HASH_SLOT    = 0;
+static const int FPGA_HASH_SLOT        = 1;
+
+static const int hash_slot_addr[2] = {
+  USRP_HASH_SLOT_0_ADDR,
+  USRP_HASH_SLOT_1_ADDR
+};
+
+static const char *default_firmware_filename = "std.ihx";
+static const char *default_fpga_filename     = "std_2rxhb_2tx.rbf";
+
+#include "std_paths.h"
+#include <stdio.h>
+
+static char *
+find_file (const char *filename, int hw_rev)
+{
+  const char **sp = std_paths;
+  static char path[1000];
+  char *s;
+
+  s = getenv("USRP_PATH");
+  if (s) {
+    snprintf (path, sizeof (path), "%s/rev%d/%s", s, hw_rev, filename);
+    if (access (path, R_OK) == 0)
+      return path;
+  }
+
+  while (*sp){
+    snprintf (path, sizeof (path), "%s/rev%d/%s", *sp, hw_rev, filename);
+    if (access (path, R_OK) == 0)
+      return path;
+    sp++;
+  }
+  return 0;
+}
+
+static const char *
+get_proto_filename(const std::string user_filename, const char *env_var, const char *def)
+{
+  if (user_filename.length() != 0)
+    return user_filename.c_str();
+
+  char *s = getenv(env_var);
+  if (s && *s)
+    return s;
+
+  return def;
+}
+
+
+static void power_down_9862s (struct usb_dev_handle *udh);
+
+void
+usrp_one_time_init ()
+{
+  static bool first = true;
+
+  if (first){
+    first = false;
+    usb_init ();                       // usb library init
+    usb_find_busses ();
+    usb_find_devices ();
+  }
+}
+
+void
+usrp_rescan ()
+{
+  usb_find_busses ();
+  usb_find_devices ();
+}
+
+
+// ----------------------------------------------------------------
+// Danger, big, fragile KLUDGE.  The problem is that we want to be
+// able to get from a usb_dev_handle back to a usb_device, and the
+// right way to do this is buried in a non-installed include file.
+
+static struct usb_device *
+dev_handle_to_dev (usb_dev_handle *udh)
+{
+  struct usb_dev_handle_kludge {
+    int                         fd;
+    struct usb_bus     *bus;
+    struct usb_device  *device;
+  };
+
+  return ((struct usb_dev_handle_kludge *) udh)->device;
+}
+
+// ----------------------------------------------------------------
+
+/*
+ * q must be a real USRP, not an FX2.  Return its hardware rev number.
+ */
+int
+usrp_hw_rev (struct usb_device *q)
+{
+  return q->descriptor.bcdDevice & 0x00FF;
+}
+
+/*
+ * q must be a real USRP, not an FX2.  Return true if it's configured.
+ */
+static bool
+_usrp_configured_p (struct usb_device *q)
+{
+  return (q->descriptor.bcdDevice & 0xFF00) != 0;
+}
+
+bool
+usrp_usrp_p (struct usb_device *q)
+{
+  return (q->descriptor.idVendor == USB_VID_FSF
+         && q->descriptor.idProduct == USB_PID_FSF_USRP);
+}
+
+bool
+usrp_fx2_p (struct usb_device *q)
+{
+  return (q->descriptor.idVendor == USB_VID_CYPRESS
+         && q->descriptor.idProduct == USB_PID_CYPRESS_FX2);
+}
+
+bool
+usrp_usrp0_p (struct usb_device *q)
+{
+  return usrp_usrp_p (q) && usrp_hw_rev (q) == 0;
+}
+
+bool
+usrp_usrp1_p (struct usb_device *q)
+{
+  return usrp_usrp_p (q) && usrp_hw_rev (q) == 1;
+}
+
+bool
+usrp_usrp2_p (struct usb_device *q)
+{
+  return usrp_usrp_p (q) && usrp_hw_rev (q) == 2;
+}
+
+
+bool
+usrp_unconfigured_usrp_p (struct usb_device *q)
+{
+  return usrp_usrp_p (q) && !_usrp_configured_p (q);
+}
+
+bool
+usrp_configured_usrp_p (struct usb_device *q)
+{
+  return usrp_usrp_p (q) && _usrp_configured_p (q);
+}
+
+// ----------------------------------------------------------------
+
+struct usb_device *
+usrp_find_device (int nth, bool fx2_ok_p)
+{
+  struct usb_bus *p;
+  struct usb_device *q;
+  int   n_found = 0;
+
+  usrp_one_time_init ();
+  
+  p = usb_get_busses();
+  while (p != NULL){
+    q = p->devices;
+    while (q != NULL){
+      if (usrp_usrp_p (q) || (fx2_ok_p && usrp_fx2_p (q))){
+       if (n_found == nth)     // return this one
+         return q;
+       n_found++;              // keep looking
+      }
+      q = q->next;
+    }
+    p = p->next;
+  }
+  return 0;    // not found
+}
+
+static struct usb_dev_handle *
+usrp_open_interface (struct usb_device *dev, int interface, int altinterface)
+{
+  struct usb_dev_handle *udh = usb_open (dev);
+  if (udh == 0)
+    return 0;
+
+  if (dev != dev_handle_to_dev (udh)){
+    fprintf (stderr, "%s:%d: internal error!\n", __FILE__, __LINE__);
+    abort ();
+  }
+
+#if defined(_WIN32) || defined(__WIN32__) || defined(__CYGWIN__)
+  // There's no get get_configuration function, and with some of the newer kernels
+  // setting the configuration, even if to the same value, hoses any other processes
+  // that have it open.  Hence we opt to not set it at all (We've only
+  // got a single configuration anyway).  This may hose the win32 stuff...
+
+  // Appears to be required for libusb-win32 and Cygwin -- dew 09/20/06
+  if (usb_set_configuration (udh, 1) < 0){
+    /*
+     * Ignore this error.  
+     *
+     * Seems that something changed in drivers/usb/core/devio.c:proc_setconfig such that
+     * it returns -EBUSY if _any_ of the interfaces of a device are open.
+     * We've only got a single configuration, so setting it doesn't even seem
+     * like it should be required.
+     */
+  }
+#endif
+
+  if (usb_claim_interface (udh, interface) < 0){
+    fprintf (stderr, "%s:usb_claim_interface: failed interface %d\n", __FUNCTION__,interface);
+    fprintf (stderr, "%s\n", usb_strerror());
+    usb_close (udh);
+    return 0;
+  }
+
+  if (usb_set_altinterface (udh, altinterface) < 0){
+    fprintf (stderr, "%s:usb_set_alt_interface: failed\n", __FUNCTION__);
+    fprintf (stderr, "%s\n", usb_strerror());
+    usb_release_interface (udh, interface);
+    usb_close (udh);
+    return 0;
+  }
+
+  return udh;
+}
+
+struct usb_dev_handle *
+usrp_open_cmd_interface (struct usb_device *dev)
+{
+  return usrp_open_interface (dev, USRP_CMD_INTERFACE, USRP_CMD_ALTINTERFACE);
+}
+
+struct usb_dev_handle *
+usrp_open_rx_interface (struct usb_device *dev)
+{
+  return usrp_open_interface (dev, USRP_RX_INTERFACE, USRP_RX_ALTINTERFACE);
+}
+
+struct usb_dev_handle *
+usrp_open_tx_interface (struct usb_device *dev)
+{
+  return usrp_open_interface (dev, USRP_TX_INTERFACE, USRP_TX_ALTINTERFACE);
+}
+
+bool
+usrp_close_interface (struct usb_dev_handle *udh)
+{
+  // we're assuming that closing an interface automatically releases it.
+  return usb_close (udh) == 0;
+}
+
+// ----------------------------------------------------------------
+// write internal ram using Cypress vendor extension
+
+static bool
+write_internal_ram (struct usb_dev_handle *udh, unsigned char *buf,
+                   int start_addr, size_t len)
+{
+  int addr;
+  int n;
+  int a;
+  int quanta = MAX_EP0_PKTSIZE;
+
+  for (addr = start_addr; addr < start_addr + (int) len; addr += quanta){
+    n = len + start_addr - addr;
+    if (n > quanta)
+      n = quanta;
+
+    a = usb_control_msg (udh, 0x40, 0xA0,
+                        addr, 0, (char *)(buf + (addr - start_addr)), n, 1000);
+
+    if (a < 0){
+      fprintf(stderr,"write_internal_ram failed: %s\n", usb_strerror());
+      return false;
+    }
+  }
+  return true;
+}
+
+// ----------------------------------------------------------------
+// whack the CPUCS register using the upload RAM vendor extension
+
+static bool
+reset_cpu (struct usb_dev_handle *udh, bool reset_p)
+{
+  unsigned char v;
+
+  if (reset_p)
+    v = 1;             // hold processor in reset
+  else
+    v = 0;             // release reset
+
+  return write_internal_ram (udh, &v, 0xE600, 1);
+}
+
+// ----------------------------------------------------------------
+// Load intel format file into cypress FX2 (8051)
+
+static bool
+_usrp_load_firmware (struct usb_dev_handle *udh, const char *filename,
+                    unsigned char hash[USRP_HASH_SIZE])
+{
+  FILE *f = fopen (filename, "ra");
+  if (f == 0){
+    perror (filename);
+    return false;
+  }
+
+  if (!reset_cpu (udh, true))  // hold CPU in reset while loading firmware
+    goto fail;
+
+  
+  char s[1024];
+  int length;
+  int addr;
+  int type;
+  unsigned char data[256];
+  unsigned char checksum, a;
+  unsigned int b;
+  int i;
+
+  while (!feof(f)){
+    fgets(s, sizeof (s), f); /* we should not use more than 263 bytes normally */
+    if(s[0]!=':'){
+      fprintf(stderr,"%s: invalid line: \"%s\"\n", filename, s);
+      goto fail;
+    }
+    sscanf(s+1, "%02x", &length);
+    sscanf(s+3, "%04x", &addr);
+    sscanf(s+7, "%02x", &type);
+
+    if(type==0){
+
+      a=length+(addr &0xff)+(addr>>8)+type;
+      for(i=0;i<length;i++){
+       sscanf (s+9+i*2,"%02x", &b);
+       data[i]=b;
+       a=a+data[i];
+      }
+
+      sscanf (s+9+length*2,"%02x", &b);
+      checksum=b;
+      if (((a+checksum)&0xff)!=0x00){
+       fprintf (stderr, "  ** Checksum failed: got 0x%02x versus 0x%02x\n", (-a)&0xff, checksum);
+       goto fail;
+      }
+      if (!write_internal_ram (udh, data, addr, length))
+       goto fail;
+    }
+    else if (type == 0x01){      // EOF
+      break;
+    }
+    else if (type == 0x02){
+      fprintf(stderr, "Extended address: whatever I do with it?\n");
+      fprintf (stderr, "%s: invalid line: \"%s\"\n", filename, s);
+      goto fail;
+    }
+  }
+
+  // we jam the hash value into the FX2 memory before letting
+  // the cpu out of reset.  When it comes out of reset it
+  // may renumerate which will invalidate udh.
+
+  if (!usrp_set_hash (udh, FIRMWARE_HASH_SLOT, hash))
+    fprintf (stderr, "usrp: failed to write firmware hash slot\n");
+
+  if (!reset_cpu (udh, false))         // take CPU out of reset
+    goto fail;
+
+  fclose (f);
+  return true;
+
+ fail:
+  fclose (f);
+  return false;
+}
+
+// ----------------------------------------------------------------
+// write vendor extension command to USRP
+
+static int
+write_cmd (struct usb_dev_handle *udh,
+          int request, int value, int index,
+          unsigned char *bytes, int len)
+{
+  int  requesttype = (request & 0x80) ? VRT_VENDOR_IN : VRT_VENDOR_OUT;
+
+  int r = usb_control_msg (udh, requesttype, request, value, index,
+                          (char *) bytes, len, 1000);
+  if (r < 0){
+    // we get EPIPE if the firmware stalls the endpoint.
+    if (errno != EPIPE)
+      fprintf (stderr, "usb_control_msg failed: %s\n", usb_strerror ());
+  }
+
+  return r;
+}
+
+// ----------------------------------------------------------------
+// load fpga
+
+static bool
+_usrp_load_fpga (struct usb_dev_handle *udh, const char *filename,
+                unsigned char hash[USRP_HASH_SIZE])
+{
+  bool ok = true;
+
+  FILE *fp = fopen (filename, "rb");
+  if (fp == 0){
+    perror (filename);
+    return false;
+  }
+
+  unsigned char buf[MAX_EP0_PKTSIZE];  // 64 is max size of EP0 packet on FX2
+  int n;
+
+  usrp_set_led (udh, 1, 1);            // led 1 on
+
+
+  // reset FPGA (and on rev1 both AD9862's, thus killing clock)
+  usrp_set_fpga_reset (udh, 1);                // hold fpga in reset
+
+  if (write_cmd (udh, VRQ_FPGA_LOAD, 0, FL_BEGIN, 0, 0) != 0)
+    goto fail;
+  
+  while ((n = fread (buf, 1, sizeof (buf), fp)) > 0){
+    if (write_cmd (udh, VRQ_FPGA_LOAD, 0, FL_XFER, buf, n) != n)
+      goto fail;
+  }
+
+  if (write_cmd (udh, VRQ_FPGA_LOAD, 0, FL_END, 0, 0) != 0)
+    goto fail;
+  
+  fclose (fp);
+
+  if (!usrp_set_hash (udh, FPGA_HASH_SLOT, hash))
+    fprintf (stderr, "usrp: failed to write fpga hash slot\n");
+
+  // On the rev1 USRP, the {tx,rx}_{enable,reset} bits are
+  // controlled over the serial bus, and hence aren't observed until
+  // we've got a good fpga bitstream loaded.
+
+  usrp_set_fpga_reset (udh, 0);                // fpga out of master reset
+
+  // now these commands will work
+  
+  ok &= usrp_set_fpga_tx_enable (udh, 0);
+  ok &= usrp_set_fpga_rx_enable (udh, 0);
+
+  ok &= usrp_set_fpga_tx_reset (udh, 1);       // reset tx and rx paths
+  ok &= usrp_set_fpga_rx_reset (udh, 1);
+  ok &= usrp_set_fpga_tx_reset (udh, 0);       // reset tx and rx paths
+  ok &= usrp_set_fpga_rx_reset (udh, 0);
+
+  if (!ok)
+    fprintf (stderr, "usrp: failed to reset tx and/or rx path\n");
+
+  // Manually reset all regs except master control to zero.
+  // FIXME may want to remove this when we rework FPGA reset strategy.
+  // In the mean while, this gets us reproducible behavior.
+  for (int i = 0; i < FR_USER_0; i++){
+    if (i == FR_MASTER_CTRL)
+      continue;
+    usrp_write_fpga_reg(udh, i, 0);
+  }
+
+  power_down_9862s (udh);              // on the rev1, power these down!
+  usrp_set_led (udh, 1, 0);            // led 1 off
+
+  return true;
+
+ fail:
+  power_down_9862s (udh);              // on the rev1, power these down!
+  fclose (fp);
+  return false;
+}
+
+// ----------------------------------------------------------------
+
+bool 
+usrp_set_led (struct usb_dev_handle *udh, int which, bool on)
+{
+  int r = write_cmd (udh, VRQ_SET_LED, on, which, 0, 0);
+
+  return r == 0;
+}
+
+bool
+usrp_set_hash (struct usb_dev_handle *udh, int which,
+              const unsigned char hash[USRP_HASH_SIZE])
+{
+  which &= 1;
+  
+  // we use the Cypress firmware down load command to jam it in.
+  int r = usb_control_msg (udh, 0x40, 0xa0, hash_slot_addr[which], 0,
+                          (char *) hash, USRP_HASH_SIZE, 1000);
+  return r == USRP_HASH_SIZE;
+}
+
+bool
+usrp_get_hash (struct usb_dev_handle *udh, int which, 
+              unsigned char hash[USRP_HASH_SIZE])
+{
+  which &= 1;
+  
+  // we use the Cypress firmware upload command to fetch it.
+  int r = usb_control_msg (udh, 0xc0, 0xa0, hash_slot_addr[which], 0,
+                          (char *) hash, USRP_HASH_SIZE, 1000);
+  return r == USRP_HASH_SIZE;
+}
+
+static bool
+usrp_set_switch (struct usb_dev_handle *udh, int cmd_byte, bool on)
+{
+  return write_cmd (udh, cmd_byte, on, 0, 0, 0) == 0;
+}
+
+
+static bool
+usrp1_fpga_write (struct usb_dev_handle *udh,
+                 int regno, int value)
+{
+  // on the rev1 usrp, we use the generic spi_write interface
+
+  unsigned char buf[4];
+
+  buf[0] = (value >> 24) & 0xff;       // MSB first
+  buf[1] = (value >> 16) & 0xff;
+  buf[2] = (value >>  8) & 0xff;
+  buf[3] = (value >>  0) & 0xff;
+  
+  return usrp_spi_write (udh, 0x00 | (regno & 0x7f),
+                        SPI_ENABLE_FPGA,
+                        SPI_FMT_MSB | SPI_FMT_HDR_1,
+                        buf, sizeof (buf));
+}
+
+static bool
+usrp1_fpga_read (struct usb_dev_handle *udh,
+                int regno, int *value)
+{
+  *value = 0;
+  unsigned char buf[4];
+
+  bool ok = usrp_spi_read (udh, 0x80 | (regno & 0x7f),
+                          SPI_ENABLE_FPGA,
+                          SPI_FMT_MSB | SPI_FMT_HDR_1,
+                          buf, sizeof (buf));
+
+  if (ok)
+    *value = (buf[0] << 24) | (buf[1] << 16) | (buf[2] << 8) | buf[3];
+
+  return ok;
+}
+
+
+bool
+usrp_write_fpga_reg (struct usb_dev_handle *udh, int reg, int value)
+{
+  switch (usrp_hw_rev (dev_handle_to_dev (udh))){
+  case 0:                      // not supported ;)
+    abort();   
+
+  default:
+    return usrp1_fpga_write (udh, reg, value);
+  }
+}
+
+bool
+usrp_read_fpga_reg (struct usb_dev_handle *udh, int reg, int *value)
+{
+  switch (usrp_hw_rev (dev_handle_to_dev (udh))){
+  case 0:              // not supported ;)
+    abort();
+    
+  default:
+    return usrp1_fpga_read (udh, reg, value);
+  }
+}
+
+bool 
+usrp_set_fpga_reset (struct usb_dev_handle *udh, bool on)
+{
+  return usrp_set_switch (udh, VRQ_FPGA_SET_RESET, on);
+}
+
+bool 
+usrp_set_fpga_tx_enable (struct usb_dev_handle *udh, bool on)
+{
+  return usrp_set_switch (udh, VRQ_FPGA_SET_TX_ENABLE, on);
+}
+
+bool 
+usrp_set_fpga_rx_enable (struct usb_dev_handle *udh, bool on)
+{
+  return usrp_set_switch (udh, VRQ_FPGA_SET_RX_ENABLE, on);
+}
+
+bool 
+usrp_set_fpga_tx_reset (struct usb_dev_handle *udh, bool on)
+{
+  return usrp_set_switch (udh, VRQ_FPGA_SET_TX_RESET, on);
+}
+
+bool 
+usrp_set_fpga_rx_reset (struct usb_dev_handle *udh, bool on)
+{
+  return usrp_set_switch (udh, VRQ_FPGA_SET_RX_RESET, on);
+}
+
+
+// ----------------------------------------------------------------
+// conditional load stuff
+
+static bool
+compute_hash (const char *filename, unsigned char hash[USRP_HASH_SIZE])
+{
+  assert (USRP_HASH_SIZE == 16);
+  memset (hash, 0, USRP_HASH_SIZE);
+
+  FILE *fp = fopen (filename, "rb");
+  if (fp == 0){
+    perror (filename);
+    return false;
+  }
+  int r = md5_stream (fp, hash);
+  fclose (fp);
+  
+  return r == 0;
+}
+
+static usrp_load_status_t
+usrp_conditionally_load_something (struct usb_dev_handle *udh,
+                                  const char *filename,
+                                  bool force,
+                                  int slot,
+                                  bool loader (struct usb_dev_handle *,
+                                               const char *,
+                                               unsigned char [USRP_HASH_SIZE]))
+{
+  unsigned char file_hash[USRP_HASH_SIZE];
+  unsigned char usrp_hash[USRP_HASH_SIZE];
+  
+  if (access (filename, R_OK) != 0){
+    perror (filename);
+    return ULS_ERROR;
+  }
+
+  if (!compute_hash (filename, file_hash))
+    return ULS_ERROR;
+
+  if (!force
+      && usrp_get_hash (udh, slot, usrp_hash)
+      && memcmp (file_hash, usrp_hash, USRP_HASH_SIZE) == 0)
+    return ULS_ALREADY_LOADED;
+
+  bool r = loader (udh, filename, file_hash);
+
+  if (!r)
+    return ULS_ERROR;
+
+  return ULS_OK;
+}
+
+usrp_load_status_t
+usrp_load_firmware (struct usb_dev_handle *udh,
+                   const char *filename,
+                   bool force)
+{
+  return usrp_conditionally_load_something (udh, filename, force,
+                                           FIRMWARE_HASH_SLOT,
+                                           _usrp_load_firmware);
+}
+
+usrp_load_status_t
+usrp_load_fpga (struct usb_dev_handle *udh,
+               const char *filename,
+               bool force)
+{
+  return usrp_conditionally_load_something (udh, filename, force,
+                                           FPGA_HASH_SLOT,
+                                           _usrp_load_fpga);
+}
+
+static usb_dev_handle *
+open_nth_cmd_interface (int nth)
+{
+  struct usb_device *udev = usrp_find_device (nth);
+  if (udev == 0){
+    fprintf (stderr, "usrp: failed to find usrp[%d]\n", nth);
+    return 0;
+  }
+
+  struct usb_dev_handle *udh;
+
+  udh = usrp_open_cmd_interface (udev);
+  if (udh == 0){
+    // FIXME this could be because somebody else has it open.
+    // We should delay and retry...
+    fprintf (stderr, "open_nth_cmd_interface: open_cmd_interface failed\n");
+    usb_strerror ();
+    return 0;
+  }
+
+  return udh;
+ }
+
+static bool
+our_nanosleep (const struct timespec *delay)
+{
+  struct timespec      new_delay = *delay;
+  struct timespec      remainder;
+
+  while (1){
+    int r = nanosleep (&new_delay, &remainder);
+    if (r == 0)
+      return true;
+    if (errno == EINTR)
+      new_delay = remainder;
+    else {
+      perror ("nanosleep");
+      return false;
+    }
+  }
+}
+
+static bool
+mdelay (int millisecs)
+{
+  struct timespec      ts;
+  ts.tv_sec = millisecs / 1000;
+  ts.tv_nsec = (millisecs - (1000 * ts.tv_sec)) * 1000000;
+  return our_nanosleep (&ts);
+}
+
+usrp_load_status_t
+usrp_load_firmware_nth (int nth, const char *filename, bool force){
+  struct usb_dev_handle *udh = open_nth_cmd_interface (nth);
+  if (udh == 0)
+    return ULS_ERROR;
+
+  usrp_load_status_t s = usrp_load_firmware (udh, filename, force);
+  usrp_close_interface (udh);
+
+  switch (s){
+
+  case ULS_ALREADY_LOADED:             // nothing changed...
+    return ULS_ALREADY_LOADED;
+    break;
+
+  case ULS_OK:
+    // we loaded firmware successfully.
+
+    // It's highly likely that the board will renumerate (simulate a
+    // disconnect/reconnect sequence), invalidating our current
+    // handle.
+
+    // FIXME.  Turn this into a loop that rescans until we refind ourselves
+    
+    struct timespec    t;      // delay for 1 second
+    t.tv_sec = 2;
+    t.tv_nsec = 0;
+    our_nanosleep (&t);
+
+    usb_find_busses ();                // rescan busses and devices
+    usb_find_devices ();
+
+    return ULS_OK;
+
+  default:
+  case ULS_ERROR:              // some kind of problem
+    return ULS_ERROR;
+  }
+}
+
+static void
+load_status_msg (usrp_load_status_t s, const char *type, const char *filename)
+{
+  char *e = getenv("USRP_VERBOSE");
+  bool verbose = e != 0;
+  
+  switch (s){
+  case ULS_ERROR:
+    fprintf (stderr, "usrp: failed to load %s %s.\n", type, filename);
+    break;
+    
+  case ULS_ALREADY_LOADED:
+    if (verbose)
+      fprintf (stderr, "usrp: %s %s already loaded.\n", type, filename);
+    break;
+
+  case ULS_OK:
+    if (verbose)
+      fprintf (stderr, "usrp: %s %s loaded successfully.\n", type, filename);
+    break;
+  }
+}
+
+bool
+usrp_load_standard_bits (int nth, bool force,
+                        const std::string fpga_filename,
+                        const std::string firmware_filename)
+{
+  usrp_load_status_t   s;
+  const char           *filename;
+  const char           *proto_filename;
+  int hw_rev;
+
+  // first, figure out what hardware rev we're dealing with
+  {
+    struct usb_device *udev = usrp_find_device (nth);
+    if (udev == 0){
+      fprintf (stderr, "usrp: failed to find usrp[%d]\n", nth);
+      return false;
+    }
+    hw_rev = usrp_hw_rev (udev);
+  }
+
+  // start by loading the firmware
+
+  proto_filename = get_proto_filename(firmware_filename, "USRP_FIRMWARE",
+                                     default_firmware_filename);
+  filename = find_file(proto_filename, hw_rev);
+  if (filename == 0){
+    fprintf (stderr, "Can't find firmware: %s\n", proto_filename);
+    return false;
+  }
+
+  s = usrp_load_firmware_nth (nth, filename, force);
+  load_status_msg (s, "firmware", filename);
+
+  if (s == ULS_ERROR)
+    return false;
+
+  // if we actually loaded firmware, we must reload fpga ...
+  if (s == ULS_OK)
+    force = true;
+
+  // now move on to the fpga configuration bitstream
+
+  proto_filename = get_proto_filename(fpga_filename, "USRP_FPGA",
+                                     default_fpga_filename);
+  filename = find_file (proto_filename, hw_rev);
+  if (filename == 0){
+    fprintf (stderr, "Can't find fpga bitstream: %s\n", proto_filename);
+    return false;
+  }
+
+  struct usb_dev_handle *udh = open_nth_cmd_interface (nth);
+  if (udh == 0)
+    return false;
+  
+  s = usrp_load_fpga (udh, filename, force);
+  usrp_close_interface (udh);
+  load_status_msg (s, "fpga bitstream", filename);
+
+  if (s == ULS_ERROR)
+    return false;
+
+  return true;
+}
+
+bool
+_usrp_get_status (struct usb_dev_handle *udh, int which, bool *trouble)
+{
+  unsigned char        status;
+  *trouble = true;
+  
+  if (write_cmd (udh, VRQ_GET_STATUS, 0, which,
+                &status, sizeof (status)) != sizeof (status))
+    return false;
+
+  *trouble = status;
+  return true;
+}
+
+bool
+usrp_check_rx_overrun (struct usb_dev_handle *udh, bool *overrun_p)
+{
+  return _usrp_get_status (udh, GS_RX_OVERRUN, overrun_p);
+}
+
+bool
+usrp_check_tx_underrun (struct usb_dev_handle *udh, bool *underrun_p)
+{
+  return _usrp_get_status (udh, GS_TX_UNDERRUN, underrun_p);
+}
+
+
+bool
+usrp_i2c_write (struct usb_dev_handle *udh, int i2c_addr,
+               const void *buf, int len)
+{
+  if (len < 1 || len > MAX_EP0_PKTSIZE)
+    return false;
+
+  return write_cmd (udh, VRQ_I2C_WRITE, i2c_addr, 0,
+                   (unsigned char *) buf, len) == len;
+}
+
+
+bool
+usrp_i2c_read (struct usb_dev_handle *udh, int i2c_addr,
+              void *buf, int len)
+{
+  if (len < 1 || len > MAX_EP0_PKTSIZE)
+    return false;
+
+  return write_cmd (udh, VRQ_I2C_READ, i2c_addr, 0,
+                   (unsigned char *) buf, len) == len;
+}
+
+bool
+usrp_spi_write (struct usb_dev_handle *udh,
+               int optional_header, int enables, int format,
+               const void *buf, int len)
+{
+  if (len < 0 || len > MAX_EP0_PKTSIZE)
+    return false;
+
+  return write_cmd (udh, VRQ_SPI_WRITE,
+                   optional_header,
+                   ((enables & 0xff) << 8) | (format & 0xff),
+                   (unsigned char *) buf, len) == len;
+}
+
+
+bool
+usrp_spi_read (struct usb_dev_handle *udh,
+              int optional_header, int enables, int format,
+              void *buf, int len)
+{
+  if (len < 0 || len > MAX_EP0_PKTSIZE)
+    return false;
+
+  return write_cmd (udh, VRQ_SPI_READ,
+                   optional_header,
+                   ((enables & 0xff) << 8) | (format & 0xff),
+                   (unsigned char *) buf, len) == len;
+}
+
+bool
+usrp_9862_write (struct usb_dev_handle *udh, int which_codec,
+                int regno, int value)
+{
+  if (0)
+    fprintf (stderr, "usrp_9862_write which = %d, reg = %2d, val = %3d (0x%02x)\n",
+            which_codec, regno, value, value);
+
+  unsigned char buf[1];
+
+  buf[0] = value;
+  
+  return usrp_spi_write (udh, 0x00 | (regno & 0x3f),
+                        which_codec == 0 ? SPI_ENABLE_CODEC_A : SPI_ENABLE_CODEC_B,
+                        SPI_FMT_MSB | SPI_FMT_HDR_1,
+                        buf, 1);
+}
+
+bool
+usrp_9862_read (struct usb_dev_handle *udh, int which_codec,
+               int regno, unsigned char *value)
+{
+  return usrp_spi_read (udh, 0x80 | (regno & 0x3f),
+                       which_codec == 0 ? SPI_ENABLE_CODEC_A : SPI_ENABLE_CODEC_B,
+                       SPI_FMT_MSB | SPI_FMT_HDR_1,
+                       value, 1);
+}
+
+bool
+usrp_9862_write_many (struct usb_dev_handle *udh,
+                     int which_codec,
+                     const unsigned char *buf,
+                     int len)
+{
+  if (len & 0x1)
+    return false;              // must be even
+
+  bool result = true;
+
+  while (len > 0){
+    result &= usrp_9862_write (udh, which_codec, buf[0], buf[1]);
+    len -= 2;
+    buf += 2;
+  }
+
+  return result;
+}
+
+
+bool
+usrp_9862_write_many_all (struct usb_dev_handle *udh,
+                          const unsigned char *buf, int len)
+{
+  // FIXME handle 2/2 and 4/4 versions
+
+  bool result;
+  result  = usrp_9862_write_many (udh, 0, buf, len);
+  result &= usrp_9862_write_many (udh, 1, buf, len);
+  return result;
+}
+
+static void
+power_down_9862s (struct usb_dev_handle *udh)
+{
+  static const unsigned char regs[] = {
+    REG_RX_PWR_DN,     0x01,                   // everything
+    REG_TX_PWR_DN,     0x0f,                   // pwr dn digital and analog_both
+    REG_TX_MODULATOR,  0x00                    // coarse & fine modulators disabled
+  };
+
+  switch (usrp_hw_rev (dev_handle_to_dev (udh))){
+  case 0:
+    break;
+
+  default:
+    usrp_9862_write_many_all (udh, regs, sizeof (regs));
+    break;
+  }
+}
+
+
+
+static const int EEPROM_PAGESIZE = 16;
+
+bool
+usrp_eeprom_write (struct usb_dev_handle *udh, int i2c_addr,
+                  int eeprom_offset, const void *buf, int len)
+{
+  unsigned char cmd[2];
+  const unsigned char *p = (unsigned char *) buf;
+  
+  // The simplest thing that could possibly work:
+  //   all writes are single byte writes.
+  //
+  // We could speed this up using the page write feature,
+  // but we write so infrequently, why bother...
+
+  while (len-- > 0){
+    cmd[0] = eeprom_offset++;
+    cmd[1] = *p++;
+    bool r = usrp_i2c_write (udh, i2c_addr, cmd, sizeof (cmd));
+    mdelay (10);               // delay 10ms worst case write time
+    if (!r)
+      return false;
+  }
+  
+  return true;
+}
+
+bool
+usrp_eeprom_read (struct usb_dev_handle *udh, int i2c_addr,
+                 int eeprom_offset, void *buf, int len)
+{
+  unsigned char *p = (unsigned char *) buf;
+
+  // We setup a random read by first doing a "zero byte write".
+  // Writes carry an address.  Reads use an implicit address.
+
+  unsigned char cmd[1];
+  cmd[0] = eeprom_offset;
+  if (!usrp_i2c_write (udh, i2c_addr, cmd, sizeof (cmd)))
+    return false;
+
+  while (len > 0){
+    int n = std::min (len, MAX_EP0_PKTSIZE);
+    if (!usrp_i2c_read (udh, i2c_addr, p, n))
+      return false;
+    len -= n;
+    p += n;
+  }
+  return true;
+}
+// ----------------------------------------------------------------
+
+static bool
+slot_to_codec (int slot, int *which_codec)
+{
+  *which_codec = 0;
+  
+  switch (slot){
+  case SLOT_TX_A:
+  case SLOT_RX_A:
+    *which_codec = 0;
+    break;
+
+  case SLOT_TX_B:
+  case SLOT_RX_B:
+    *which_codec = 1;
+    break;
+
+  default:
+    fprintf (stderr, "usrp_prims:slot_to_codec: invalid slot = %d\n", slot);
+    return false;
+  }
+  return true;
+}
+
+static bool
+tx_slot_p (int slot)
+{
+  switch (slot){
+  case SLOT_TX_A:
+  case SLOT_TX_B:
+    return true;
+
+  default:
+    return false;
+  }
+}
+
+bool
+usrp_write_aux_dac (struct usb_dev_handle *udh, int slot,
+                   int which_dac, int value)
+{
+  int which_codec;
+  
+  if (!slot_to_codec (slot, &which_codec))
+    return false;
+
+  if (!(0 <= which_dac && which_dac < 4)){
+    fprintf (stderr, "usrp_write_aux_dac: invalid dac = %d\n", which_dac);
+    return false;
+  }
+
+  value &= 0x0fff;     // mask to 12-bits
+  
+  if (which_dac == 3){
+    // dac 3 is really 12-bits.  Use value as is.
+    bool r = true;
+    r &= usrp_9862_write (udh, which_codec, 43, (value >> 4));       // most sig
+    r &= usrp_9862_write (udh, which_codec, 42, (value & 0xf) << 4); // least sig
+    return r;
+  }
+  else {
+    // dac 0, 1, and 2 are really 8 bits.  
+    value = value >> 4;                // shift value appropriately
+    return usrp_9862_write (udh, which_codec, 36 + which_dac, value);
+  }
+}
+
+
+bool
+usrp_read_aux_adc (struct usb_dev_handle *udh, int slot,
+                  int which_adc, int *value)
+{
+  *value = 0;
+  int  which_codec;
+
+  if (!slot_to_codec (slot, &which_codec))
+    return false;
+
+  if (!(0 <= which_codec && which_codec < 2)){
+    fprintf (stderr, "usrp_read_aux_adc: invalid adc = %d\n", which_adc);
+    return false;
+  }
+
+  unsigned char aux_adc_control =
+    AUX_ADC_CTRL_REFSEL_A              // on chip reference
+    | AUX_ADC_CTRL_REFSEL_B;           // on chip reference
+
+  int  rd_reg = 26;    // base address of two regs to read for result
+  
+  // program the ADC mux bits
+  if (tx_slot_p (slot))
+    aux_adc_control |= AUX_ADC_CTRL_SELECT_A2 | AUX_ADC_CTRL_SELECT_B2;
+  else {
+    rd_reg += 2;
+    aux_adc_control |= AUX_ADC_CTRL_SELECT_A1 | AUX_ADC_CTRL_SELECT_B1;
+  }
+  
+  // I'm not sure if we can set the mux and issue a start conversion
+  // in the same cycle, so let's do them one at a time.
+
+  usrp_9862_write (udh, which_codec, 34, aux_adc_control);
+
+  if (which_adc == 0)
+    aux_adc_control |= AUX_ADC_CTRL_START_A;
+  else {
+    rd_reg += 4;
+    aux_adc_control |= AUX_ADC_CTRL_START_B;
+  }
+
+  // start the conversion
+  usrp_9862_write (udh, which_codec, 34, aux_adc_control);
+
+  // read the 10-bit result back
+  unsigned char v_lo = 0;
+  unsigned char v_hi = 0;
+  bool r = usrp_9862_read (udh, which_codec, rd_reg, &v_lo);
+  r &= usrp_9862_read (udh, which_codec, rd_reg + 1, &v_hi);
+
+  if (r)
+    *value = ((v_hi << 2) | ((v_lo >> 6) & 0x3)) << 2; // format as 12-bit
+  
+  return r;
+}
+
+// ----------------------------------------------------------------
+
+static int slot_to_i2c_addr (int slot)
+{
+  switch (slot){
+  case SLOT_TX_A:      return I2C_ADDR_TX_A;
+  case SLOT_RX_A:      return I2C_ADDR_RX_A;
+  case SLOT_TX_B:      return I2C_ADDR_TX_B;
+  case SLOT_RX_B:      return I2C_ADDR_RX_B;
+  default:             return -1;
+  }
+}
+
+static void
+set_chksum (unsigned char *buf)
+{
+  int sum = 0;
+  unsigned int i;
+  for (i = 0; i < DB_EEPROM_CLEN - 1; i++)
+    sum += buf[i];
+  buf[i] = -sum;
+}
+
+static usrp_dbeeprom_status_t
+read_dboard_eeprom (struct usb_dev_handle *udh,
+                   int slot_id, unsigned char *buf)
+{
+  int i2c_addr = slot_to_i2c_addr (slot_id);
+  if (i2c_addr == -1)
+    return UDBE_BAD_SLOT;
+
+  if (!usrp_eeprom_read (udh, i2c_addr, 0, buf, DB_EEPROM_CLEN))
+    return UDBE_NO_EEPROM;
+
+  if (buf[DB_EEPROM_MAGIC] != DB_EEPROM_MAGIC_VALUE)
+    return UDBE_INVALID_EEPROM;
+
+  int sum = 0;
+  for (unsigned int i = 0; i < DB_EEPROM_CLEN; i++)
+    sum += buf[i];
+
+  if ((sum & 0xff) != 0)
+    return UDBE_INVALID_EEPROM;
+
+  return UDBE_OK;
+}
+
+usrp_dbeeprom_status_t
+usrp_read_dboard_eeprom (struct usb_dev_handle *udh,
+                        int slot_id, usrp_dboard_eeprom *eeprom)
+{
+  unsigned char buf[DB_EEPROM_CLEN];
+
+  memset (eeprom, 0, sizeof (*eeprom));
+
+  usrp_dbeeprom_status_t s = read_dboard_eeprom (udh, slot_id, buf);
+  if (s != UDBE_OK)
+    return s;
+
+  eeprom->id = (buf[DB_EEPROM_ID_MSB] << 8) | buf[DB_EEPROM_ID_LSB];
+  eeprom->oe = (buf[DB_EEPROM_OE_MSB] << 8) | buf[DB_EEPROM_OE_LSB];
+  eeprom->offset[0] = (buf[DB_EEPROM_OFFSET_0_MSB] << 8) | buf[DB_EEPROM_OFFSET_0_LSB];
+  eeprom->offset[1] = (buf[DB_EEPROM_OFFSET_1_MSB] << 8) | buf[DB_EEPROM_OFFSET_1_LSB];
+
+  return UDBE_OK;
+}
+
+bool
+usrp_write_dboard_offsets (struct usb_dev_handle *udh, int slot_id,
+                          short offset0, short offset1)
+{
+  unsigned char buf[DB_EEPROM_CLEN];
+
+  usrp_dbeeprom_status_t s = read_dboard_eeprom (udh, slot_id, buf);
+  if (s != UDBE_OK)
+    return false;
+
+  buf[DB_EEPROM_OFFSET_0_LSB] = (offset0 >> 0) & 0xff;
+  buf[DB_EEPROM_OFFSET_0_MSB] = (offset0 >> 8) & 0xff;
+  buf[DB_EEPROM_OFFSET_1_LSB] = (offset1 >> 0) & 0xff;
+  buf[DB_EEPROM_OFFSET_1_MSB] = (offset1 >> 8) & 0xff;
+  set_chksum (buf);
+
+  return usrp_eeprom_write (udh, slot_to_i2c_addr (slot_id),
+                           0, buf, sizeof (buf));
+}
+
+std::string
+usrp_serial_number(struct usb_dev_handle *udh)
+{
+  unsigned char iserial = usb_device(udh)->descriptor.iSerialNumber;
+  if (iserial == 0)
+    return "";
+
+  char buf[1024];
+  if (usb_get_string_simple(udh, iserial, buf, sizeof(buf)) < 0)
+    return "";
+
+  return buf;
+}
diff --git a/usrp/host/lib/legacy/usrp_prims.h b/usrp/host/lib/legacy/usrp_prims.h
new file mode 100644 (file)
index 0000000..aa13474
--- /dev/null
@@ -0,0 +1,294 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2003,2004,2006 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+/*
+ * Low level primitives for directly messing with USRP hardware.
+ *
+ * If you're trying to use the USRP, you'll probably want to take a look
+ * at the usrp_rx and usrp_tx classes.  They hide a bunch of low level details
+ * and provide high performance streaming i/o.
+ *
+ * This interface is built on top of libusb, which allegedly works under
+ * Linux, *BSD and Mac OS/X.  http://libusb.sourceforge.net
+ */
+
+#ifndef _USRP_PRIMS_H_
+#define _USRP_PRIMS_H_
+
+#include <usrp_slots.h>
+#include <string>
+
+static const int USRP_HASH_SIZE = 16;
+
+enum usrp_load_status_t { ULS_ERROR = 0, ULS_OK, ULS_ALREADY_LOADED };
+
+struct usb_dev_handle;
+struct usb_device;
+
+/*!
+ * \brief initialize libusb; probe busses and devices.
+ * Safe to call more than once.
+ */
+void usrp_one_time_init ();
+
+/*
+ * force a rescan of the buses and devices
+ */
+void usrp_rescan ();
+
+/*!
+ * \brief locate Nth (zero based) USRP device in system.
+ * Return pointer or 0 if not found.
+ *
+ * The following kinds of devices are considered USRPs:
+ *
+ *   unconfigured USRP (no firwmare loaded)
+ *   configured USRP (firmware loaded)
+ *   unconfigured Cypress FX2 (only if fx2_ok_p is true)
+ */
+struct usb_device *usrp_find_device (int nth, bool fx2_ok_p = false);
+
+bool usrp_usrp_p (struct usb_device *q);               //< is this a USRP
+bool usrp_usrp0_p (struct usb_device *q);              //< is this a USRP Rev 0
+bool usrp_usrp1_p (struct usb_device *q);              //< is this a USRP Rev 1
+bool usrp_usrp2_p (struct usb_device *q);              //< is this a USRP Rev 2
+int  usrp_hw_rev (struct usb_device *q);               //< return h/w rev code
+
+bool usrp_fx2_p (struct usb_device *q);                        //< is this an unconfigured Cypress FX2
+
+bool usrp_unconfigured_usrp_p (struct usb_device *q);  //< some kind of unconfigured USRP
+bool usrp_configured_usrp_p (struct usb_device *q);    //< some kind of configured USRP
+
+/*!
+ * \brief given a usb_device return an instance of the appropriate usb_dev_handle
+ *
+ * These routines claim the specified interface and select the
+ * correct alternate interface.  (USB nomenclature is totally screwed!)
+ *
+ * If interface can't be opened, or is already claimed by some other
+ * process, 0 is returned.
+ */
+struct usb_dev_handle *usrp_open_cmd_interface (struct usb_device *dev);
+struct usb_dev_handle *usrp_open_rx_interface (struct usb_device *dev);
+struct usb_dev_handle *usrp_open_tx_interface (struct usb_device *dev);
+
+/*!
+ * \brief close interface.
+ */
+bool usrp_close_interface (struct usb_dev_handle *udh);
+
+/*!
+ * \brief load intel hex format file into USRP/Cypress FX2 (8051).
+ *
+ * The filename extension is typically *.ihx
+ *
+ * Note that loading firmware may cause the device to renumerate.  I.e.,
+ * change its configuration, invalidating the current device handle.
+ */
+
+usrp_load_status_t 
+usrp_load_firmware (struct usb_dev_handle *udh, const char *filename, bool force);
+
+/*!
+ * \brief load intel hex format file into USRP FX2 (8051).
+ *
+ * The filename extension is typically *.ihx
+ *
+ * Note that loading firmware may cause the device to renumerate.  I.e.,
+ * change its configuration, invalidating the current device handle.
+ * If the result is ULS_OK, usrp_load_firmware_nth delays 1 second
+ * then rescans the busses and devices.
+ */
+usrp_load_status_t
+usrp_load_firmware_nth (int nth, const char *filename, bool force);
+
+/*!
+ * \brief load fpga configuration bitstream
+ */
+usrp_load_status_t
+usrp_load_fpga (struct usb_dev_handle *udh, const char *filename, bool force);
+
+/*!
+ * \brief load the regular firmware and fpga bitstream in the Nth USRP.
+ *
+ * This is the normal starting point...
+ */
+bool usrp_load_standard_bits (int nth, bool force,
+                             const std::string fpga_filename = "",
+                             const std::string firmware_filename = "");
+
+/*!
+ * \brief copy the given \p hash into the USRP hash slot \p which.
+ * The usrp implements two hash slots, 0 and 1.
+ */
+bool usrp_set_hash (struct usb_dev_handle *udh, int which,
+                   const unsigned char hash[USRP_HASH_SIZE]);
+
+/*!
+ * \brief retrieve the \p hash from the USRP hash slot \p which.
+ * The usrp implements two hash slots, 0 and 1.
+ */
+bool usrp_get_hash (struct usb_dev_handle *udh, int which,
+                   unsigned char hash[USRP_HASH_SIZE]);
+
+bool usrp_write_fpga_reg (struct usb_dev_handle *udh, int reg, int value);
+bool usrp_read_fpga_reg (struct usb_dev_handle *udh, int reg, int *value);
+bool usrp_set_fpga_reset (struct usb_dev_handle *udh, bool on);
+bool usrp_set_fpga_tx_enable (struct usb_dev_handle *udh, bool on);
+bool usrp_set_fpga_rx_enable (struct usb_dev_handle *udh, bool on);
+bool usrp_set_fpga_tx_reset (struct usb_dev_handle *udh, bool on);
+bool usrp_set_fpga_rx_reset (struct usb_dev_handle *udh, bool on);
+bool usrp_set_led (struct usb_dev_handle *udh, int which, bool on);
+
+bool usrp_check_rx_overrun (struct usb_dev_handle *udh, bool *overrun_p);
+bool usrp_check_tx_underrun (struct usb_dev_handle *udh, bool *underrun_p);
+
+// i2c_read and i2c_write are limited to a maximum len of 64 bytes.
+
+bool usrp_i2c_write (struct usb_dev_handle *udh, int i2c_addr,
+                    const void *buf, int len);
+
+bool usrp_i2c_read (struct usb_dev_handle *udh, int i2c_addr,
+                   void *buf, int len);
+
+// spi_read and spi_write are limited to a maximum of 64 bytes
+// See usrp_spi_defs.h for more info
+
+bool usrp_spi_write (struct usb_dev_handle *udh,
+                    int optional_header, int enables, int format,
+                    const void *buf, int len);
+
+bool usrp_spi_read (struct usb_dev_handle *udh,
+                    int optional_header, int enables, int format,
+                    void *buf, int len);
+
+
+bool usrp_9862_write (struct usb_dev_handle *udh,
+                     int which_codec,                  // [0,  1]
+                     int regno,                        // [0, 63]
+                     int value);                       // [0, 255]     
+
+bool usrp_9862_read (struct usb_dev_handle *udh,
+                    int which_codec,                   // [0,  1]
+                    int regno,                         // [0, 63]
+                    unsigned char *value);             // [0, 255]
+
+/*!
+ * \brief Write multiple 9862 regs at once.
+ *
+ * \p buf contains alternating register_number, register_value pairs.
+ * \p len must be even and is the length of buf in bytes.
+ */
+bool usrp_9862_write_many (struct usb_dev_handle *udh, int which_codec,
+                          const unsigned char *buf, int len);
+                          
+
+/*!
+ * \brief write specified regs to all 9862's in the system
+ */
+bool usrp_9862_write_many_all (struct usb_dev_handle *udh,
+                              const unsigned char *buf, int len);
+                          
+
+// Write 24LC024 / 24LC025 EEPROM on motherboard or daughterboard.
+// Which EEPROM is determined by i2c_addr.  See i2c_addr.h
+
+bool usrp_eeprom_write (struct usb_dev_handle *udh, int i2c_addr,
+                       int eeprom_offset, const void *buf, int len);
+
+
+// Read 24LC024 / 24LC025 EEPROM on motherboard or daughterboard.
+// Which EEPROM is determined by i2c_addr.  See i2c_addr.h
+
+bool usrp_eeprom_read (struct usb_dev_handle *udh, int i2c_addr,
+                      int eeprom_offset, void *buf, int len);
+
+
+// Slot specific i/o routines
+
+/*!
+ * \brief write to the specified aux dac.
+ *
+ * \p slot: which Tx or Rx slot to write.
+ *    N.B., SLOT_TX_A and SLOT_RX_A share the same AUX DAC's
+ *          SLOT_TX_B and SLOT_RX_B share the same AUX DAC's
+ *
+ * \p which_dac: [0,3]  RX slots must use only 0 and 1.
+ *                     TX slots must use only 2 and 3.
+ *
+ * AUX DAC 3 is really the 9862 sigma delta output.
+ *
+ * \p value to write to aux dac.  All dacs take straight
+ * binary values.  Although dacs 0, 1 and 2 are 8-bit and dac 3 is 12-bit,
+ * the interface is in terms of 12-bit values [0,4095]
+ */
+bool usrp_write_aux_dac (struct usb_dev_handle *uhd, int slot,
+                        int which_dac, int value);
+
+/*!
+ * \brief Read the specified aux adc
+ *
+ * \p slot: which Tx or Rx slot to read aux dac
+ * \p which_adc: [0,1]  which of the two adcs to read
+ * \p *value: return value, 12-bit straight binary.
+ */
+bool usrp_read_aux_adc (struct usb_dev_handle *udh, int slot,
+                       int which_adc, int *value);
+
+
+/*!
+ * \brief usrp daughterboard id to name mapping
+ */
+const std::string usrp_dbid_to_string (int dbid);
+
+
+enum usrp_dbeeprom_status_t { UDBE_OK, UDBE_BAD_SLOT, UDBE_NO_EEPROM, UDBE_INVALID_EEPROM };
+
+struct usrp_dboard_eeprom {
+  unsigned short       id;             // d'board identifier code
+  unsigned short       oe;             // fpga output enables:
+                                       //   If bit set, i/o pin is an output from FPGA.
+  short                        offset[2];      // ADC/DAC offset correction
+};
+
+/*!
+ * \brief Read and return parsed daughterboard eeprom
+ */
+usrp_dbeeprom_status_t
+usrp_read_dboard_eeprom (struct usb_dev_handle *udh,
+                        int slot_id, usrp_dboard_eeprom *eeprom);
+
+/*!
+ * \brief write ADC/DAC offset calibration constants to d'board eeprom
+ */
+bool usrp_write_dboard_offsets (struct usb_dev_handle *udh, int slot_id,
+                               short offset0, short offset1);
+
+/*!
+ * \brief return a usrp's serial number.
+ *
+ * Note that this only works on a configured usrp.
+ * \returns non-zero length string iff successful.
+ */
+std::string usrp_serial_number(struct usb_dev_handle *udh);
+
+#endif /* _USRP_PRIMS_H_ */
diff --git a/usrp/host/lib/legacy/usrp_slots.h b/usrp/host/lib/legacy/usrp_slots.h
new file mode 100644 (file)
index 0000000..d2c50fc
--- /dev/null
@@ -0,0 +1,33 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifndef INCLUDED_USRP_SLOTS_H
+#define INCLUDED_USRP_SLOTS_H
+
+// daughterboard slot numbers used in some calls
+
+static const int SLOT_TX_A = 0;
+static const int SLOT_RX_A = 1;
+static const int SLOT_TX_B = 2;
+static const int SLOT_RX_B = 3;
+
+#endif /* INCLUDED_USRP_SLOTS_H */
diff --git a/usrp/host/lib/legacy/usrp_standard.cc b/usrp/host/lib/legacy/usrp_standard.cc
new file mode 100644 (file)
index 0000000..b810f99
--- /dev/null
@@ -0,0 +1,1167 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#include <usrp_standard.h>
+
+#include "usrp_prims.h"
+#include "fpga_regs_common.h"
+#include "fpga_regs_standard.h"
+#include <stdexcept>
+#include <assert.h>
+#include <math.h>
+#include <ad9862.h>
+#include <cstdio>
+
+
+static const int OLD_CAPS_VAL = 0xaa55ff77;
+static const int DEFAULT_CAPS_VAL = ((2 << bmFR_RB_CAPS_NDUC_SHIFT)
+                                    | (2 << bmFR_RB_CAPS_NDDC_SHIFT)
+                                    | bmFR_RB_CAPS_RX_HAS_HALFBAND);
+
+// #define USE_FPGA_TX_CORDIC
+
+
+using namespace ad9862;
+
+#define NELEM(x) (sizeof (x) / sizeof (x[0]))
+
+
+void
+usrp_standard_common::calc_dxc_freq(double target_freq, double baseband_freq, double fs,
+                                   double *dxc_freq, bool *inverted)
+{
+  /*
+    Calculate the frequency to use for setting the digital up or down converter.
+    
+    @param target_freq: desired RF frequency (Hz)
+    @param baseband_freq: the RF frequency that corresponds to DC in the IF.
+    @param fs: converter sample rate
+    
+    @returns: 2-tuple (ddc_freq, inverted) where ddc_freq is the value
+      for the ddc and inverted is True if we're operating in an inverted
+      Nyquist zone.
+  */
+
+#if 0
+    printf("calc_dxc_freq:\n");
+    printf("  target   = %f\n", target_freq);
+    printf("  baseband = %f\n", baseband_freq);
+    printf("  fs       = %f\n", fs);
+#endif
+
+  double delta = target_freq - baseband_freq;
+    
+  if(delta >= 0) {
+    while(delta > fs) {
+      delta -= fs;
+    }
+    if(delta <= fs/2) {                // non-inverted region
+      *dxc_freq = -delta;      
+      *inverted = false;
+    }
+    else {                             // inverted region
+      *dxc_freq = delta - fs;
+      *inverted = true;
+    }
+  }
+  else {
+    while(delta < -fs) {
+      delta += fs;
+    }
+    if(delta >= -fs/2) {
+      *dxc_freq = -delta;      // non-inverted region
+      *inverted = false;
+    }
+    else {                     // inverted region
+      *dxc_freq = delta + fs;
+      *inverted = true;
+    }
+  }
+
+#if 0
+    printf("  dxc_freq  = %f\n", *dxc_freq);
+    printf("  inverted  = %s\n", *inverted ? "true" : "false");
+#endif
+}
+
+
+/* 
+ * Real lambda expressions would be _so_ much easier...
+ */
+class dxc_control {
+public:
+  virtual bool is_tx() = 0;
+  virtual bool set_dxc_freq(double dxc_freq) = 0;
+  virtual double dxc_freq() = 0;
+};
+
+class ddc_control : public dxc_control {
+  usrp_standard_rx     *d_u;
+  int                  d_chan;
+
+public:
+  ddc_control(usrp_standard_rx *u, int chan)
+    : d_u(u), d_chan(chan) {}
+  
+  bool is_tx(){ return false; }
+  bool set_dxc_freq(double dxc_freq){ return d_u->set_rx_freq(d_chan, dxc_freq); }
+  double dxc_freq(){ return d_u->rx_freq(d_chan); }
+};
+
+class duc_control : public dxc_control {
+  usrp_standard_tx     *d_u;
+  int                  d_chan;
+
+public:
+  duc_control(usrp_standard_tx *u, int chan)
+    : d_u(u), d_chan(chan) {}
+  
+  bool is_tx(){ return true; }
+  bool set_dxc_freq(double dxc_freq){ return d_u->set_tx_freq(d_chan, dxc_freq); }
+  double dxc_freq() { return d_u->tx_freq(d_chan); }
+};
+
+
+/*!
+ * \brief Tune such that target_frequency ends up at DC in the complex baseband
+ *
+ * \param db           the daughterboard to use
+ * \param target_freq  the center frequency we want at baseband (DC)
+ * \param fs           the sample rate
+ * \param dxc          DDC or DUC access and control object
+ * \param[out] result  details of what we did
+ *
+ * \returns true iff operation was successful
+ *
+ * Tuning is a two step process.  First we ask the front-end to
+ * tune as close to the desired frequency as it can.  Then we use
+ * the result of that operation and our target_frequency to
+ * determine the value for the digital down converter.
+ */
+static bool
+tune_a_helper(db_base_sptr db, double target_freq, double fs,
+             dxc_control &dxc, usrp_tune_result *result)
+{
+  bool inverted = false;
+  double dxc_freq;
+  double actual_dxc_freq;
+
+  // Ask the d'board to tune as closely as it can to target_freq
+#if 0
+  bool ok = db->set_freq(target_freq, &result->baseband_freq);
+#else
+  bool ok;
+  {
+    freq_result_t fr = db->set_freq(target_freq);
+    ok = fr.ok;
+    result->baseband_freq = fr.baseband_freq;
+  }
+#endif
+
+  // Calculate the DDC setting that will downconvert the baseband from the
+  // daughterboard to our target frequency.
+  usrp_standard_common::calc_dxc_freq(target_freq, result->baseband_freq, fs,
+                                     &dxc_freq, &inverted);
+
+  // If the spectrum is inverted, and the daughterboard doesn't do
+  // quadrature downconversion, we can fix the inversion by flipping the
+  // sign of the dxc_freq...  (This only happens using the basic_rx board)
+  
+  if(db->spectrum_inverted())
+    inverted = !inverted;
+  
+  if(inverted && !db->is_quadrature()){
+    dxc_freq = -dxc_freq;
+    inverted = !inverted;
+  }
+  
+  if (dxc.is_tx() && !db->i_and_q_swapped())           // down conversion versus up conversion
+    dxc_freq = -dxc_freq;
+
+  ok &= dxc.set_dxc_freq(dxc_freq);
+  actual_dxc_freq = dxc.dxc_freq();
+  
+  result->dxc_freq = dxc_freq;
+  result->residual_freq = dxc_freq - actual_dxc_freq;
+  result->inverted = inverted;
+  return ok;
+}
+
+
+static unsigned int
+compute_freq_control_word_fpga (double master_freq, double target_freq,
+                               double *actual_freq, bool verbose)
+{
+  static const int NBITS = 14;
+  
+  int  v = (int) rint (target_freq / master_freq * pow (2.0, 32.0));
+
+  if (0)
+    v = (v >> (32 - NBITS)) << (32 - NBITS);   // keep only top NBITS
+
+  *actual_freq = v * master_freq / pow (2.0, 32.0);
+
+  if (verbose)
+    fprintf (stderr,
+            "compute_freq_control_word_fpga: target = %g  actual = %g  delta = %g\n",
+            target_freq, *actual_freq, *actual_freq - target_freq);
+
+  return (unsigned int) v;
+}
+
+// The 9862 uses an unsigned 24-bit frequency tuning word and 
+// a separate register to control the sign.
+
+static unsigned int
+compute_freq_control_word_9862 (double master_freq, double target_freq,
+                               double *actual_freq, bool verbose)
+{
+  double sign = 1.0;
+
+  if (target_freq < 0)
+    sign = -1.0;
+
+  int  v = (int) rint (fabs (target_freq) / master_freq * pow (2.0, 24.0));
+  *actual_freq = v * master_freq / pow (2.0, 24.0) * sign;
+
+  if (verbose)
+    fprintf (stderr,
+     "compute_freq_control_word_9862: target = %g  actual = %g  delta = %g  v = %8d\n",
+     target_freq, *actual_freq, *actual_freq - target_freq, v);
+
+  return (unsigned int) v;
+}
+
+// ----------------------------------------------------------------
+
+usrp_standard_common::usrp_standard_common(usrp_basic *parent)
+{
+  // read new FPGA capability register
+  if (!parent->_read_fpga_reg(FR_RB_CAPS, &d_fpga_caps)){
+    fprintf (stderr, "usrp_standard_common: failed to read FPGA cap register.\n");
+    throw std::runtime_error ("usrp_standard_common::ctor");
+  }
+  // If we don't have the cap register, set the value to what it would
+  // have had if we did have one ;)
+  if (d_fpga_caps == OLD_CAPS_VAL)
+    d_fpga_caps = DEFAULT_CAPS_VAL;
+
+  if (0){
+    fprintf(stdout, "has_rx_halfband = %d\n", has_rx_halfband());
+    fprintf(stdout, "nddcs           = %d\n", nddcs());
+    fprintf(stdout, "has_tx_halfband = %d\n", has_tx_halfband());
+    fprintf(stdout, "nducs           = %d\n", nducs());
+  }
+}
+
+bool
+usrp_standard_common::has_rx_halfband() const
+{
+  return (d_fpga_caps & bmFR_RB_CAPS_RX_HAS_HALFBAND) ? true : false;
+}
+
+int
+usrp_standard_common::nddcs() const
+{
+  return (d_fpga_caps & bmFR_RB_CAPS_NDDC_MASK) >> bmFR_RB_CAPS_NDDC_SHIFT;
+}
+
+bool
+usrp_standard_common::has_tx_halfband() const
+{
+  return (d_fpga_caps & bmFR_RB_CAPS_TX_HAS_HALFBAND) ? true : false;
+}
+
+int
+usrp_standard_common::nducs() const
+{
+  return (d_fpga_caps & bmFR_RB_CAPS_NDUC_MASK) >> bmFR_RB_CAPS_NDUC_SHIFT;
+}
+
+// ----------------------------------------------------------------
+
+static int 
+real_rx_mux_value (int mux, int nchan)
+{
+  if (mux != -1)
+    return mux;
+
+  return 0x32103210;
+}
+
+usrp_standard_rx::usrp_standard_rx (int which_board,
+                                   unsigned int decim_rate,
+                                   int nchan, int mux, int mode,
+                                   int fusb_block_size, int fusb_nblocks,
+                                   const std::string fpga_filename,
+                                   const std::string firmware_filename
+                                   )
+  : usrp_basic_rx (which_board, fusb_block_size, fusb_nblocks,
+                  fpga_filename, firmware_filename),
+    usrp_standard_common(this),
+    d_nchan (1), d_sw_mux (0x0), d_hw_mux (0x0)
+{
+  if (!set_format(make_format())){
+    fprintf (stderr, "usrp_standard_rx: set_format failed\n");
+    throw std::runtime_error ("usrp_standard_rx::ctor");
+  }
+  if (!set_nchannels (nchan)){
+    fprintf (stderr, "usrp_standard_rx: set_nchannels failed\n");
+    throw std::runtime_error ("usrp_standard_rx::ctor");
+  }
+  if (!set_decim_rate (decim_rate)){
+    fprintf (stderr, "usrp_standard_rx: set_decim_rate failed\n");
+    throw std::runtime_error ("usrp_standard_rx::ctor");
+  }
+  if (!set_mux (real_rx_mux_value (mux, nchan))){
+    fprintf (stderr, "usrp_standard_rx: set_mux failed\n");
+    throw std::runtime_error ("usrp_standard_rx::ctor");
+  }
+  if (!set_fpga_mode (mode)){
+    fprintf (stderr, "usrp_standard_rx: set_fpga_mode failed\n");
+    throw std::runtime_error ("usrp_standard_rx::ctor");
+  }
+
+  for (int i = 0; i < MAX_CHAN; i++){
+    set_rx_freq(i, 0);
+    set_ddc_phase(i, 0);
+  }
+}
+
+usrp_standard_rx::~usrp_standard_rx ()
+{
+  // fprintf(stderr, "\nusrp_standard_rx: dtor\n");
+}
+
+bool
+usrp_standard_rx::start ()
+{
+  if (!usrp_basic_rx::start ())
+    return false;
+
+  // add our code here
+
+  return true;
+}
+
+bool
+usrp_standard_rx::stop ()
+{
+  bool ok = usrp_basic_rx::stop ();
+
+  // add our code here
+
+  return ok;
+}
+
+usrp_standard_rx_sptr
+usrp_standard_rx::make (int which_board,
+                       unsigned int decim_rate,
+                       int nchan, int mux, int mode,
+                       int fusb_block_size, int fusb_nblocks,
+                       const std::string fpga_filename,
+                       const std::string firmware_filename
+                       )
+{
+  try {
+    usrp_standard_rx_sptr u = 
+      usrp_standard_rx_sptr(new usrp_standard_rx(which_board, decim_rate,
+                                                nchan, mux, mode,
+                                                fusb_block_size, fusb_nblocks,
+                                                fpga_filename, firmware_filename));
+    u->init_db(u);
+    return u;
+  }
+  catch (...){
+    return usrp_standard_rx_sptr();
+  }
+}
+
+bool
+usrp_standard_rx::set_decim_rate(unsigned int rate)
+{
+  if (has_rx_halfband()){
+    if ((rate & 0x1) || rate < 4 || rate > 256){
+      fprintf (stderr, "usrp_standard_rx::set_decim_rate: rate must be EVEN and in [4, 256]\n");
+      return false;
+    }
+  }
+  else {
+    if (rate < 4 || rate > 128){
+      fprintf (stderr, "usrp_standard_rx::set_decim_rate: rate must be in [4, 128]\n");
+      return false;
+    }
+  }
+
+  d_decim_rate = rate;
+  set_usb_data_rate ((adc_rate () / rate * nchannels ())
+                    * (2 * sizeof (short)));
+
+  bool s = disable_rx ();
+  int v = has_rx_halfband() ? d_decim_rate/2 - 1 : d_decim_rate - 1;
+  bool ok = _write_fpga_reg (FR_DECIM_RATE, v);
+  restore_rx (s);
+  return ok;
+}
+
+bool usrp_standard_rx::set_nchannels (int nchan)
+{
+  if (!(nchan == 1 || nchan == 2 || nchan == 4))
+    return false;
+
+  if (nchan > nddcs())
+    return false;
+
+  d_nchan = nchan;
+
+  return write_hw_mux_reg ();
+}
+
+
+// map software mux value to hw mux value
+//
+// Software mux value:
+//
+//    3                   2                   1                       
+//  1 0 9 8 7 6 5 4 3 2 1 0 9 8 7 6 5 4 3 2 1 0 9 8 7 6 5 4 3 2 1 0
+// +-------+-------+-------+-------+-------+-------+-------+-------+
+// |   Q3  |   I3  |   Q2  |   I2  |   Q1  |   I1  |   Q0  |   I0  |
+// +-------+-------+-------+-------+-------+-------+-------+-------+
+//
+// Each 4-bit I field is either 0,1,2,3
+// Each 4-bit Q field is either 0,1,2,3 or 0xf (input is const zero)
+// All Q's must be 0xf or none of them may be 0xf
+//
+//
+// Hardware mux value:
+//
+//    3                   2                   1                       
+//  1 0 9 8 7 6 5 4 3 2 1 0 9 8 7 6 5 4 3 2 1 0 9 8 7 6 5 4 3 2 1 0
+// +-----------------------+-------+-------+-------+-------+-+-----+
+// |      must be zero     | Q3| I3| Q2| I2| Q1| I1| Q0| I0|Z| NCH |
+// +-----------------------+-------+-------+-------+-------+-+-----+
+
+
+static bool
+map_sw_mux_to_hw_mux (int sw_mux, int *hw_mux_ptr)
+{
+  // confirm that all I's are either 0,1,2,3 
+
+  for (int i = 0; i < 8; i += 2){
+    int t = (sw_mux >> (4 * i)) & 0xf;
+    if (!(0 <= t && t <= 3))
+      return false;
+  }
+
+  // confirm that all Q's are either 0,1,2,3 or 0xf
+
+  for (int i = 1; i < 8; i += 2){
+    int t = (sw_mux >> (4 * i)) & 0xf;
+    if (!(t == 0xf || (0 <= t && t <= 3)))
+      return false;
+  }
+
+  // confirm that all Q inputs are 0xf (const zero input),
+  // or none of them are 0xf
+
+  int q_and = 1;
+  int q_or =  0;
+
+  for (int i = 0; i < 4; i++){
+    int qx_is_0xf = ((sw_mux >> (8 * i + 4)) & 0xf) == 0xf;
+    q_and &= qx_is_0xf;
+    q_or  |= qx_is_0xf;
+  }
+
+  if (q_and || !q_or){         // OK
+    int hw_mux_value = 0;
+
+    for (int i = 0; i < 8; i++){
+      int t = (sw_mux >> (4 * i)) & 0x3;
+      hw_mux_value |= t << (2 * i + 4);
+    }
+
+    if (q_and)
+      hw_mux_value |= 0x8;     // all Q's zero
+
+    *hw_mux_ptr = hw_mux_value;
+    return true;
+  }
+  else
+    return false;
+}
+
+bool
+usrp_standard_rx::set_mux (int mux)
+{
+  if (!map_sw_mux_to_hw_mux (mux, &d_hw_mux))
+    return false;
+
+  // fprintf (stderr, "sw_mux = 0x%08x  hw_mux = 0x%08x\n", mux, d_hw_mux);
+
+  d_sw_mux = mux;
+  return write_hw_mux_reg ();
+}
+
+bool
+usrp_standard_rx::write_hw_mux_reg ()
+{
+  bool s = disable_rx ();
+  bool ok = _write_fpga_reg (FR_RX_MUX, d_hw_mux | d_nchan);
+  restore_rx (s);
+  return ok;
+}
+
+int
+usrp_standard_rx::determine_rx_mux_value(const usrp_subdev_spec &ss)
+{
+  /*
+    Determine appropriate Rx mux value as a function of the subdevice choosen and the
+    characteristics of the respective daughterboard.
+    
+    @param u:           instance of USRP source
+    @param subdev_spec: return value from subdev option parser.  
+    @type  subdev_spec: (side, subdev), where side is 0 or 1 and subdev is 0 or 1
+    @returns:           the Rx mux value
+  
+    Figure out which A/D's to connect to the DDC.
+    
+    Each daughterboard consists of 1 or 2 subdevices.  (At this time,
+    all but the Basic Rx have a single subdevice.  The Basic Rx
+    has two independent channels, treated as separate subdevices).
+    subdevice 0 of a daughterboard may use 1 or 2 A/D's.  We determine this
+    by checking the is_quadrature() method.  If subdevice 0 uses only a single
+    A/D, it's possible that the daughterboard has a second subdevice, subdevice 1,
+    and it uses the second A/D.
+    
+    If the card uses only a single A/D, we wire a zero into the DDC Q input.
+    
+    (side, 0) says connect only the A/D's used by subdevice 0 to the DDC.
+    (side, 1) says connect only the A/D's used by subdevice 1 to the DDC.
+  */
+
+  struct truth_table_element
+  {
+    int          d_side;
+    int         d_uses;
+    bool         d_swap_iq;
+    unsigned int d_mux_val;
+
+    truth_table_element(int side, unsigned int uses, bool swap_iq, unsigned int mux_val=0)
+      : d_side(side), d_uses(uses), d_swap_iq(swap_iq), d_mux_val(mux_val){}
+      
+    bool operator==(const truth_table_element &in)
+    {
+      return (d_side == in.d_side && d_uses == in.d_uses && d_swap_iq == in.d_swap_iq);
+    }
+
+    unsigned int mux_val() { return d_mux_val; }
+  };
+
+
+  if (!is_valid(ss))
+    throw std::invalid_argument("subdev_spec");
+
+
+  // This is a tuple of length 1 or 2 containing the subdevice
+  // classes for the selected side.
+  std::vector<db_base_sptr> db = this->db(ss.side);
+  
+  unsigned int uses;
+
+  // compute bitmasks of used A/D's
+  
+  if(db[ss.subdev]->is_quadrature())
+    uses = 0x3;               // uses A/D 0 and 1
+  else if (ss.subdev == 0)
+    uses = 0x1;               // uses A/D 0 only
+  else if(ss.subdev == 1)
+    uses = 0x2;               // uses A/D 1 only
+  else
+    uses = 0x0;               // uses no A/D (doesn't exist)
+  
+  if(uses == 0){
+    throw std::runtime_error("Determine RX Mux Error");
+  }
+  
+  bool swap_iq = db[ss.subdev]->i_and_q_swapped();
+  
+  truth_table_element truth_table[8] = {
+    // (side, uses, swap_iq) : mux_val
+    truth_table_element(0, 0x1, false, 0xf0f0f0f0),
+    truth_table_element(0, 0x2, false, 0xf0f0f0f1),
+    truth_table_element(0, 0x3, false, 0x00000010),
+    truth_table_element(0, 0x3, true,  0x00000001),
+    truth_table_element(1, 0x1, false, 0xf0f0f0f2),
+    truth_table_element(1, 0x2, false, 0xf0f0f0f3),
+    truth_table_element(1, 0x3, false, 0x00000032),
+    truth_table_element(1, 0x3, true,  0x00000023)
+  };
+  size_t nelements = sizeof(truth_table)/sizeof(truth_table[0]);
+  
+  truth_table_element target(ss.side, uses, swap_iq, 0);
+  
+  size_t i;
+  for(i = 0; i < nelements; i++){
+    if (truth_table[i] == target)
+      return truth_table[i].mux_val();
+  }
+  throw std::runtime_error("internal error");
+}
+
+int
+usrp_standard_rx::determine_rx_mux_value(const usrp_subdev_spec &ss_a, const usrp_subdev_spec &ss_b)
+{
+  std::vector<db_base_sptr> db_a = this->db(ss_a.side);
+  std::vector<db_base_sptr> db_b = this->db(ss_b.side);
+  if (db_a[ss_a.subdev]->is_quadrature() != db_b[ss_b.subdev]->is_quadrature()){
+    throw std::runtime_error("Cannot compute dual mux when mixing quadrature and non-quadrature subdevices");
+  }
+  int mux_a = determine_rx_mux_value(ss_a);
+  int mux_b = determine_rx_mux_value(ss_b);
+  //move the lower byte of the mux b into the second byte of the mux a
+  return ((mux_b & 0xff) << 8) | (mux_a & 0xffff00ff);
+}
+
+bool
+usrp_standard_rx::set_rx_freq (int channel, double freq)
+{
+  if (channel < 0 || channel > MAX_CHAN)
+    return false;
+
+  unsigned int v =
+    compute_freq_control_word_fpga (adc_rate(),
+                                   freq, &d_rx_freq[channel],
+                                   d_verbose);
+
+  return _write_fpga_reg (FR_RX_FREQ_0 + channel, v);
+}
+
+unsigned int
+usrp_standard_rx::decim_rate () const { return d_decim_rate; }
+
+int
+usrp_standard_rx::nchannels () const { return d_nchan; }
+
+int
+usrp_standard_rx::mux () const { return d_sw_mux; }
+
+double 
+usrp_standard_rx::rx_freq (int channel) const
+{
+  if (channel < 0 || channel >= MAX_CHAN)
+    return 0;
+
+  return d_rx_freq[channel];
+}
+
+bool
+usrp_standard_rx::set_fpga_mode (int mode)
+{
+  return _write_fpga_reg (FR_MODE, mode);
+}
+
+bool
+usrp_standard_rx::set_ddc_phase(int channel, int phase)
+{
+  if (channel < 0 || channel >= MAX_CHAN)
+    return false;
+
+  return _write_fpga_reg(FR_RX_PHASE_0 + channel, phase);
+}
+
+
+// To avoid quiet failures, check for things that our code cares about.
+
+static bool
+rx_format_is_valid(unsigned int format)
+{
+  int width =  usrp_standard_rx::format_width(format);
+  int want_q = usrp_standard_rx::format_want_q(format);
+
+  if (!(width == 8 || width == 16))    // FIXME add other widths when valid
+    return false;
+
+  if (!want_q)         // FIXME remove check when the rest of the code can handle I only
+    return false;
+
+  return true;
+}
+
+bool
+usrp_standard_rx::set_format(unsigned int format)
+{
+  if (!rx_format_is_valid(format))
+    return false;
+
+  return _write_fpga_reg(FR_RX_FORMAT, format);
+}
+
+unsigned int
+usrp_standard_rx::format() const
+{
+  return d_fpga_shadows[FR_RX_FORMAT];
+}
+
+// ----------------------------------------------------------------
+
+unsigned int 
+usrp_standard_rx::make_format(int width, int shift, bool want_q, bool bypass_halfband)
+{
+  unsigned int format = 
+    (((width << bmFR_RX_FORMAT_WIDTH_SHIFT) & bmFR_RX_FORMAT_WIDTH_MASK)
+     | ((shift << bmFR_RX_FORMAT_SHIFT_SHIFT) & bmFR_RX_FORMAT_SHIFT_MASK));
+
+  if (want_q)
+    format |= bmFR_RX_FORMAT_WANT_Q;
+  if (bypass_halfband)
+    format |= bmFR_RX_FORMAT_BYPASS_HB;
+
+  return format;
+}
+
+int
+usrp_standard_rx::format_width(unsigned int format)
+{
+  return (format & bmFR_RX_FORMAT_WIDTH_MASK) >> bmFR_RX_FORMAT_WIDTH_SHIFT;
+}
+
+int
+usrp_standard_rx::format_shift(unsigned int format)
+{
+  return (format & bmFR_RX_FORMAT_SHIFT_MASK) >> bmFR_RX_FORMAT_SHIFT_SHIFT;
+}
+
+bool
+usrp_standard_rx::format_want_q(unsigned int format)
+{
+  return (format & bmFR_RX_FORMAT_WANT_Q) != 0;
+}
+
+bool
+usrp_standard_rx::format_bypass_halfband(unsigned int format)
+{
+  return (format & bmFR_RX_FORMAT_BYPASS_HB) != 0;
+}
+
+bool
+usrp_standard_rx::tune(int chan, db_base_sptr db, double target_freq, usrp_tune_result *result)
+{
+  ddc_control dxc(this, chan);
+  return tune_a_helper(db, target_freq, converter_rate(), dxc, result);
+}
+
+
+//////////////////////////////////////////////////////////////////
+
+
+// tx data is timed to CLKOUT1 (64 MHz)
+// interpolate 4x
+// fine modulator enabled
+
+
+static unsigned char tx_regs_use_nco[] = {
+  REG_TX_IF,           (TX_IF_USE_CLKOUT1
+                        | TX_IF_I_FIRST
+                        | TX_IF_2S_COMP
+                        | TX_IF_INTERLEAVED),
+  REG_TX_DIGITAL,      (TX_DIGITAL_2_DATA_PATHS
+                        | TX_DIGITAL_INTERPOLATE_4X)
+};
+
+
+static int
+real_tx_mux_value (int mux, int nchan)
+{
+  if (mux != -1)
+    return mux;
+
+  switch (nchan){
+  case 1:
+    return 0x0098;
+  case 2:
+    return 0xba98;
+  default:
+    assert (0);
+  }
+}
+
+usrp_standard_tx::usrp_standard_tx (int which_board,
+                                   unsigned int interp_rate,
+                                   int nchan, int mux,
+                                   int fusb_block_size, int fusb_nblocks,
+                                   const std::string fpga_filename,
+                                   const std::string firmware_filename
+                                   )
+  : usrp_basic_tx (which_board, fusb_block_size, fusb_nblocks, fpga_filename, firmware_filename),
+    usrp_standard_common(this),
+    d_sw_mux (0x8), d_hw_mux (0x81)
+{
+  if (!usrp_9862_write_many_all (d_udh, tx_regs_use_nco, sizeof (tx_regs_use_nco))){
+    fprintf (stderr, "usrp_standard_tx: failed to init AD9862 TX regs\n");
+    throw std::runtime_error ("usrp_standard_tx::ctor");
+  }
+  if (!set_nchannels (nchan)){
+    fprintf (stderr, "usrp_standard_tx: set_nchannels failed\n");
+    throw std::runtime_error ("usrp_standard_tx::ctor");
+  }
+  if (!set_interp_rate (interp_rate)){
+    fprintf (stderr, "usrp_standard_tx: set_interp_rate failed\n");
+    throw std::runtime_error ("usrp_standard_tx::ctor");
+  }
+  if (!set_mux (real_tx_mux_value (mux, nchan))){
+    fprintf (stderr, "usrp_standard_tx: set_mux failed\n");
+    throw std::runtime_error ("usrp_standard_tx::ctor");
+  }
+
+  for (int i = 0; i < MAX_CHAN; i++){
+    d_tx_modulator_shadow[i] = (TX_MODULATOR_DISABLE_NCO
+                               | TX_MODULATOR_COARSE_MODULATION_NONE);
+    d_coarse_mod[i] = CM_OFF;
+    set_tx_freq (i, 0);
+  }
+}
+
+usrp_standard_tx::~usrp_standard_tx ()
+{
+  // fprintf(stderr, "\nusrp_standard_tx: dtor\n");
+}
+
+bool
+usrp_standard_tx::start ()
+{
+  if (!usrp_basic_tx::start ())
+    return false;
+
+  // add our code here
+
+  return true;
+}
+
+bool
+usrp_standard_tx::stop ()
+{
+  bool ok = usrp_basic_tx::stop ();
+
+  // add our code here
+
+  return ok;
+}
+
+usrp_standard_tx_sptr
+usrp_standard_tx::make (int which_board,
+                       unsigned int interp_rate,
+                       int nchan, int mux,
+                       int fusb_block_size, int fusb_nblocks,
+                       const std::string fpga_filename,
+                       const std::string firmware_filename
+                       )
+{
+  try {
+    usrp_standard_tx_sptr u  = 
+      usrp_standard_tx_sptr(new usrp_standard_tx(which_board, interp_rate, nchan, mux,
+                                                fusb_block_size, fusb_nblocks,
+                                                fpga_filename, firmware_filename));
+    u->init_db(u);
+    return u;
+  }
+  catch (...){
+    return usrp_standard_tx_sptr();
+  }
+}
+
+bool
+usrp_standard_tx::set_interp_rate (unsigned int rate)
+{
+  // fprintf (stderr, "usrp_standard_tx::set_interp_rate\n");
+
+  if ((rate & 0x3) || rate < 4 || rate > 512){
+    fprintf (stderr, "usrp_standard_tx::set_interp_rate: rate must be in [4, 512] and a multiple of 4.\n");
+    return false;
+  }
+
+  d_interp_rate = rate;
+  set_usb_data_rate ((dac_rate () / rate * nchannels ())
+                    * (2 * sizeof (short)));
+
+  // We're using the interp by 4 feature of the 9862 so that we can
+  // use its fine modulator.  Thus, we reduce the FPGA's interpolation rate
+  // by a factor of 4.
+
+  bool s = disable_tx ();
+  bool ok = _write_fpga_reg (FR_INTERP_RATE, d_interp_rate/4 - 1);
+  restore_tx (s);
+  return ok;
+}
+
+bool
+usrp_standard_tx::set_nchannels (int nchan)
+{
+  if (!(nchan == 1 || nchan == 2))
+    return false;
+
+  if (nchan > nducs())
+    return false;
+
+  d_nchan = nchan;
+  return write_hw_mux_reg ();
+}
+
+bool
+usrp_standard_tx::set_mux (int mux)
+{
+  d_sw_mux = mux;
+  d_hw_mux = mux << 4;
+  return write_hw_mux_reg ();
+}
+
+bool
+usrp_standard_tx::write_hw_mux_reg ()
+{
+  bool s = disable_tx ();
+  bool ok = _write_fpga_reg (FR_TX_MUX, d_hw_mux | d_nchan);
+  restore_tx (s);
+  return ok;
+}
+
+int
+usrp_standard_tx::determine_tx_mux_value(const usrp_subdev_spec &ss)
+{
+  /*
+    Determine appropriate Tx mux value as a function of the subdevice choosen.
+
+    @param u:           instance of USRP source
+    @param subdev_spec: return value from subdev option parser.  
+    @type  subdev_spec: (side, subdev), where side is 0 or 1 and subdev is 0
+    @returns:           the Rx mux value
+  
+    This is simpler than the rx case.  Either you want to talk
+    to side A or side B.  If you want to talk to both sides at once,
+    determine the value manually.
+  */
+
+  if (!is_valid(ss))
+    throw std::invalid_argument("subdev_spec");
+
+  std::vector<db_base_sptr> db = this->db(ss.side);
+  
+  if(db[ss.subdev]->i_and_q_swapped()) {
+    unsigned int mask[2] = {0x0089, 0x8900};
+    return mask[ss.side];
+  }
+  else {
+    unsigned int mask[2] = {0x0098, 0x9800};
+    return mask[ss.side];
+  }
+}
+
+int
+usrp_standard_tx::determine_tx_mux_value(const usrp_subdev_spec &ss_a, const usrp_subdev_spec &ss_b)
+{
+  if (ss_a.side == ss_b.side && ss_a.subdev == ss_b.subdev){
+    throw std::runtime_error("Cannot compute dual mux, repeated subdevice");
+  }
+  int mux_a = determine_tx_mux_value(ss_a);
+  //Get the mux b:
+  //   DAC0 becomes DAC2
+  //   DAC1 becomes DAC3
+  unsigned int mask[2] = {0x0022, 0x2200};
+  int mux_b = determine_tx_mux_value(ss_b) + mask[ss_b.side];
+  return mux_b | mux_a;
+}
+
+#ifdef USE_FPGA_TX_CORDIC
+
+bool
+usrp_standard_tx::set_tx_freq (int channel, double freq)
+{
+  if (channel < 0 || channel >= MAX_CHAN)
+    return false;
+
+  // This assumes we're running the 4x on-chip interpolator.
+
+  unsigned int v =
+    compute_freq_control_word_fpga (dac_rate () / 4,
+                                   freq, &d_tx_freq[channel],
+                                   d_verbose);
+
+  return _write_fpga_reg (FR_TX_FREQ_0 + channel, v);
+}
+
+
+#else
+
+bool
+usrp_standard_tx::set_tx_freq (int channel, double freq)
+{
+  if (channel < 0 || channel >= MAX_CHAN)
+    return false;
+
+  // split freq into fine and coarse components
+
+  coarse_mod_t cm;
+  double       coarse;
+
+  assert (dac_rate () == 128000000);
+
+  if (freq < -44e6)            // too low
+    return false;
+  else if (freq < -24e6){      // [-44, -24)
+    cm = CM_NEG_FDAC_OVER_4;
+    coarse = -dac_rate () / 4;
+  }
+  else if (freq < -8e6){       // [-24, -8)
+    cm = CM_NEG_FDAC_OVER_8;
+    coarse = -dac_rate () / 8;
+  }
+  else if (freq < 8e6){                // [-8, 8)
+    cm = CM_OFF;
+    coarse = 0;
+  }
+  else if (freq < 24e6){       // [8, 24)
+    cm = CM_POS_FDAC_OVER_8;
+    coarse = dac_rate () / 8;
+  }
+  else if (freq <= 44e6){      // [24, 44]
+    cm = CM_POS_FDAC_OVER_4;
+    coarse = dac_rate () / 4;
+  }
+  else                         // too high
+    return false;
+
+
+  set_coarse_modulator (channel, cm);  // set bits in d_tx_modulator_shadow
+
+  double fine = freq - coarse;
+
+
+  // Compute fine tuning word...
+  // This assumes we're running the 4x on-chip interpolator.
+  // (This is required to use the fine modulator.)
+
+  unsigned int v =
+    compute_freq_control_word_9862 (dac_rate () / 4,
+                                   fine, &d_tx_freq[channel], d_verbose);
+
+  d_tx_freq[channel] += coarse;                // adjust actual
+  
+  unsigned char high, mid, low;
+
+  high = (v >> 16) & 0xff;
+  mid =  (v >>  8) & 0xff;
+  low =  (v >>  0) & 0xff;
+
+  bool ok = true;
+
+  // write the fine tuning word
+  ok &= _write_9862 (channel, REG_TX_NCO_FTW_23_16, high);
+  ok &= _write_9862 (channel, REG_TX_NCO_FTW_15_8,  mid);
+  ok &= _write_9862 (channel, REG_TX_NCO_FTW_7_0,   low);
+
+
+  d_tx_modulator_shadow[channel] |= TX_MODULATOR_ENABLE_NCO;
+
+  if (fine < 0)
+    d_tx_modulator_shadow[channel] |= TX_MODULATOR_NEG_FINE_TUNE;
+  else
+    d_tx_modulator_shadow[channel] &= ~TX_MODULATOR_NEG_FINE_TUNE;
+
+  ok &=_write_9862 (channel, REG_TX_MODULATOR, d_tx_modulator_shadow[channel]);
+
+  return ok;
+}
+#endif
+
+bool
+usrp_standard_tx::set_coarse_modulator (int channel, coarse_mod_t cm)
+{
+  if (channel < 0 || channel >= MAX_CHAN)
+    return false;
+
+  switch (cm){
+  case CM_NEG_FDAC_OVER_4:
+    d_tx_modulator_shadow[channel] &= ~TX_MODULATOR_CM_MASK;
+    d_tx_modulator_shadow[channel] |= TX_MODULATOR_COARSE_MODULATION_F_OVER_4;
+    d_tx_modulator_shadow[channel] |= TX_MODULATOR_NEG_COARSE_TUNE;
+    break;
+
+  case CM_NEG_FDAC_OVER_8:
+    d_tx_modulator_shadow[channel] &= ~TX_MODULATOR_CM_MASK;
+    d_tx_modulator_shadow[channel] |= TX_MODULATOR_COARSE_MODULATION_F_OVER_8;
+    d_tx_modulator_shadow[channel] |= TX_MODULATOR_NEG_COARSE_TUNE;
+    break;
+
+  case CM_OFF:
+    d_tx_modulator_shadow[channel] &= ~TX_MODULATOR_CM_MASK;
+    break;
+
+  case CM_POS_FDAC_OVER_8:
+    d_tx_modulator_shadow[channel] &= ~TX_MODULATOR_CM_MASK;
+    d_tx_modulator_shadow[channel] |= TX_MODULATOR_COARSE_MODULATION_F_OVER_8;
+    break;
+
+  case CM_POS_FDAC_OVER_4:
+    d_tx_modulator_shadow[channel] &= ~TX_MODULATOR_CM_MASK;
+    d_tx_modulator_shadow[channel] |= TX_MODULATOR_COARSE_MODULATION_F_OVER_4;
+    break;
+
+  default:
+    return false;
+  }
+
+  d_coarse_mod[channel] = cm;
+  return true;
+}
+
+unsigned int
+usrp_standard_tx::interp_rate () const { return d_interp_rate; }
+
+int
+usrp_standard_tx::nchannels () const { return d_nchan; }
+
+int
+usrp_standard_tx::mux () const { return d_sw_mux; }
+
+double
+usrp_standard_tx::tx_freq (int channel) const
+{
+  if (channel < 0 || channel >= MAX_CHAN)
+    return 0;
+
+  return d_tx_freq[channel];
+}
+
+usrp_standard_tx::coarse_mod_t
+usrp_standard_tx::coarse_modulator (int channel) const
+{
+  if (channel < 0 || channel >= MAX_CHAN)
+    return CM_OFF;
+
+  return d_coarse_mod[channel];
+}
+
+bool
+usrp_standard_tx::tune(int chan, db_base_sptr db, double target_freq, usrp_tune_result *result)
+{
+  duc_control dxc(this, chan);
+  return tune_a_helper(db, target_freq, converter_rate(), dxc, result);
+}
diff --git a/usrp/host/lib/legacy/usrp_standard.h b/usrp/host/lib/legacy/usrp_standard.h
new file mode 100644 (file)
index 0000000..734fff4
--- /dev/null
@@ -0,0 +1,452 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2004,2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifndef INCLUDED_USRP_STANDARD_H
+#define INCLUDED_USRP_STANDARD_H
+
+#include <usrp_basic.h>
+#include <boost/shared_ptr.hpp>
+#include <usrp_tune_result.h>
+
+class usrp_standard_tx;
+class usrp_standard_rx;
+
+typedef boost::shared_ptr<usrp_standard_tx> usrp_standard_tx_sptr;
+typedef boost::shared_ptr<usrp_standard_rx> usrp_standard_rx_sptr;
+
+/*!
+ * \ingroup usrp
+ */
+class usrp_standard_common
+{
+  int  d_fpga_caps;            // capability register val
+
+protected:
+  usrp_standard_common(usrp_basic *parent);
+
+public:
+  /*!
+   *\brief does the FPGA implement the final Rx half-band filter?
+   * If it doesn't, the maximum decimation factor with proper gain 
+   * is 1/2 of what it would otherwise be.
+   */
+  bool has_rx_halfband() const;
+
+  /*!
+   * \brief number of digital downconverters implemented in the FPGA
+   * This will be 0, 1, 2 or 4.
+   */
+  int nddcs() const;
+
+  /*!
+   *\brief does the FPGA implement the initial Tx half-band filter?
+   */
+  bool has_tx_halfband() const;
+
+  /*!
+   * \brief number of digital upconverters implemented in the FPGA
+   * This will be 0, 1, or 2.
+   */
+  int nducs() const;
+
+  /*!
+   * \brief Calculate the frequency to use for setting the digital up or down converter.
+   *
+   * \param target_freq is the desired RF frequency (Hz).
+   * \param baseband_freq is the RF frequency that corresponds to DC in the IF coming from the d'board.
+   * \param  fs is the sampling frequency.
+   * \param[out] dxc_freq the frequency to program into the DDC (or DUC).
+   * \param[out] inverted is true if we're operating in an inverted Nyquist zone.
+   */
+  static void calc_dxc_freq(double target_freq, double baseband_freq, double fs,
+                           double *dxc_freq, bool *inverted);
+};
+
+/*!
+ * \brief The C++ interface the receive side of the USRP
+ * \ingroup usrp
+ *
+ * This is the recommended interface to USRP receive functionality
+ * for applications that use the USRP but not GNU Radio.
+ */
+class usrp_standard_rx : public usrp_basic_rx, public usrp_standard_common
+{
+ private:
+  static const int     MAX_CHAN = 4;
+  unsigned int         d_decim_rate;
+  int                  d_nchan;
+  int                  d_sw_mux;
+  int                  d_hw_mux;
+  double               d_rx_freq[MAX_CHAN];
+
+ protected:
+  usrp_standard_rx (int which_board,
+                   unsigned int decim_rate,
+                   int nchan = 1,
+                   int mux = -1,
+                   int mode = 0,
+                   int fusb_block_size = 0,
+                   int fusb_nblocks = 0,
+                   const std::string fpga_filename = "",
+                   const std::string firmware_filename = ""
+                   );  // throws if trouble
+
+  bool write_hw_mux_reg ();
+
+ public:
+
+  enum {
+    FPGA_MODE_NORMAL     = 0x00,
+    FPGA_MODE_LOOPBACK   = 0x01,
+    FPGA_MODE_COUNTING   = 0x02,
+    FPGA_MODE_COUNTING_32BIT   = 0x04
+  };
+
+  ~usrp_standard_rx ();
+
+  /*!
+   * \brief invokes constructor, returns shared_ptr or shared_ptr equivalent of 0 if trouble
+   *
+   * \param which_board             Which USRP board on usb (not particularly useful; use 0)
+   * \param decim_rate      decimation factor
+   * \param nchan           number of channels
+   * \param mux                     Rx mux setting, \sa set_mux
+   * \param mode            mode
+   * \param fusb_block_size  fast usb xfer block size.  Must be a multiple of 512. 
+   *                         Use zero for a reasonable default.
+   * \param fusb_nblocks     number of fast usb URBs to allocate.  Use zero for a reasonable default. 
+   * \param fpga_filename    Name of rbf file to load
+   * \param firmware_filename Name of ihx file to load
+   */
+  static usrp_standard_rx_sptr make(int which_board,
+                                   unsigned int decim_rate,
+                                   int nchan = 1,
+                                   int mux = -1,
+                                   int mode = 0,
+                                   int fusb_block_size = 0,
+                                   int fusb_nblocks = 0,
+                                   const std::string fpga_filename = "",
+                                   const std::string firmware_filename = ""
+                                   );
+  /*!
+   * \brief Set decimator rate.  \p rate MUST BE EVEN and in [8, 256].
+   *
+   * The final complex sample rate across the USB is
+   *   adc_freq () / decim_rate () * nchannels ()
+   */
+  bool set_decim_rate  (unsigned int rate);
+
+  /*!
+   * \brief Set number of active channels.  \p nchannels must be 1, 2 or 4.
+   *
+   * The final complex sample rate across the USB is
+   *   adc_freq () / decim_rate () * nchannels ()
+   */
+  bool set_nchannels (int nchannels);
+
+  /*!
+   * \brief Set input mux configuration.
+   *
+   * This determines which ADC (or constant zero) is connected to 
+   * each DDC input.  There are 4 DDCs.  Each has two inputs.
+   *
+   * <pre>
+   * Mux value:
+   *
+   *    3                   2                   1                       
+   *  1 0 9 8 7 6 5 4 3 2 1 0 9 8 7 6 5 4 3 2 1 0 9 8 7 6 5 4 3 2 1 0
+   * +-------+-------+-------+-------+-------+-------+-------+-------+
+   * |   Q3  |   I3  |   Q2  |   I2  |   Q1  |   I1  |   Q0  |   I0  |
+   * +-------+-------+-------+-------+-------+-------+-------+-------+
+   *
+   * Each 4-bit I field is either 0,1,2,3
+   * Each 4-bit Q field is either 0,1,2,3 or 0xf (input is const zero)
+   * All Q's must be 0xf or none of them may be 0xf
+   * </pre>
+   */
+  bool set_mux  (int mux);
+
+  /*!
+   * Determine the appropriate Rx mux value as a function of the subdevice choosen
+   * and the characteristics of the respective daughterboard.
+   */
+  int determine_rx_mux_value(const usrp_subdev_spec &ss);
+  int determine_rx_mux_value(const usrp_subdev_spec &ss_a, const usrp_subdev_spec &ss_b);
+
+  /*!
+   * \brief set the frequency of the digital down converter.
+   *
+   * \p channel must be in the range [0,3].  \p freq is the center
+   * frequency in Hz.  \p freq may be either negative or postive.
+   * The frequency specified is quantized.  Use rx_freq to retrieve
+   * the actual value used.
+   */
+  bool set_rx_freq (int channel, double freq);  
+
+  /*!
+   * \brief set fpga mode
+   */
+  bool set_fpga_mode (int mode);
+
+  /*!
+   * \brief Set the digital down converter phase register.
+   *
+   * \param channel    which ddc channel [0, 3]
+   * \param phase      32-bit integer phase value.
+   */
+  bool set_ddc_phase(int channel, int phase);
+
+  /*!
+   * \brief Specify Rx data format.
+   *
+   * \param format     format specifier
+   *
+   * Rx data format control register
+   *
+   *     3                   2                   1                       
+   *   1 0 9 8 7 6 5 4 3 2 1 0 9 8 7 6 5 4 3 2 1 0 9 8 7 6 5 4 3 2 1 0
+   *  +-----------------------------------------+-+-+---------+-------+
+   *  |          Reserved (Must be zero)        |B|Q|  WIDTH  | SHIFT |
+   *  +-----------------------------------------+-+-+---------+-------+
+   *
+   *  SHIFT specifies arithmetic right shift [0, 15]
+   *  WIDTH specifies bit-width of I & Q samples across the USB [1, 16] (not all valid)
+   *  Q     if set deliver both I & Q, else just I
+   *  B     if set bypass half-band filter.
+   *
+   * Right now the acceptable values are:
+   *
+   *   B  Q  WIDTH  SHIFT
+   *   0  1    16     0
+   *   0  1     8     8
+   *
+   * More valid combos to come.
+   *
+   * Default value is 0x00000300  16-bits, 0 shift, deliver both I & Q.
+   */
+  bool set_format(unsigned int format);
+
+  static unsigned int make_format(int width=16, int shift=0,
+                                 bool want_q=true, bool bypass_halfband=false);
+  static int format_width(unsigned int format);
+  static int format_shift(unsigned int format);
+  static bool format_want_q(unsigned int format);
+  static bool format_bypass_halfband(unsigned int format);
+
+  /*!
+   * \brief High-level "tune" method.  Works for the single channel case.
+   *
+   * This method adjusts both the daughterboard LO and the DDC so that
+   * target_freq ends up at DC in the complex baseband samples.
+   *
+   * \param chan  which DDC channel we're controlling (almost always 0).
+   * \param db    the daughterboard we're controlling.
+   * \param target_freq the RF frequency we want at DC in the complex baseband.
+   * \param[out] result details how the hardware was configured.
+   *
+   * \returns true iff everything was successful.
+   */
+  bool tune(int chan, db_base_sptr db, double target_freq, usrp_tune_result *result);
+  
+
+  // ACCESSORS
+  unsigned int decim_rate () const;
+  double rx_freq (int channel) const;
+  int nchannels () const;
+  int mux () const;
+  unsigned int format () const;
+
+  // called in base class to derived class order
+  bool start ();
+  bool stop ();
+};
+
+// ----------------------------------------------------------------
+
+/*!
+ * \brief The C++ interface the transmit side of the USRP
+ * \ingroup usrp
+ *
+ * This is the recommended interface to USRP transmit functionality
+ * for applications that use the USRP but not GNU Radio.
+ *
+ * Uses digital upconverter (coarse & fine modulators) in AD9862...
+ */
+class usrp_standard_tx : public usrp_basic_tx, public usrp_standard_common
+{
+ public:
+  enum coarse_mod_t {
+    CM_NEG_FDAC_OVER_4,                // -32 MHz
+    CM_NEG_FDAC_OVER_8,                // -16 MHz
+    CM_OFF,
+    CM_POS_FDAC_OVER_8,                // +16 MHz
+    CM_POS_FDAC_OVER_4         // +32 MHz
+  };
+
+ protected:
+  static const int     MAX_CHAN = 2;
+  unsigned int         d_interp_rate;
+  int                  d_nchan;
+  int                  d_sw_mux;
+  int                  d_hw_mux;
+  double               d_tx_freq[MAX_CHAN];
+  coarse_mod_t         d_coarse_mod[MAX_CHAN];
+  unsigned char                d_tx_modulator_shadow[MAX_CHAN];
+
+  virtual bool set_coarse_modulator (int channel, coarse_mod_t cm);
+  usrp_standard_tx::coarse_mod_t coarse_modulator (int channel) const;
+
+ protected:
+  usrp_standard_tx (int which_board,
+                   unsigned int interp_rate,
+                   int nchan = 1,
+                   int mux = -1,
+                   int fusb_block_size = 0,
+                   int fusb_nblocks = 0,
+                   const std::string fpga_filename = "",
+                   const std::string firmware_filename = ""
+                   );  // throws if trouble
+
+  bool write_hw_mux_reg ();
+
+ public:
+  ~usrp_standard_tx ();
+
+  /*!
+   * \brief invokes constructor, returns shared_ptr or shared_ptr equivalent of 0 if trouble
+   *
+   * \param which_board             Which USRP board on usb (not particularly useful; use 0)
+   * \param interp_rate             interpolation factor
+   * \param nchan           number of channels
+   * \param mux                     Tx mux setting, \sa set_mux
+   * \param fusb_block_size  fast usb xfer block size.  Must be a multiple of 512. 
+   *                         Use zero for a reasonable default.
+   * \param fusb_nblocks     number of fast usb URBs to allocate.  Use zero for a reasonable default. 
+   * \param fpga_filename    Name of rbf file to load
+   * \param firmware_filename Name of ihx file to load
+   */
+  static usrp_standard_tx_sptr make(int which_board,
+                                   unsigned int interp_rate,
+                                   int nchan = 1,
+                                   int mux = -1,
+                                   int fusb_block_size = 0,
+                                   int fusb_nblocks = 0,
+                                   const std::string fpga_filename = "",
+                                   const std::string firmware_filename = ""
+                                   );
+
+  /*!
+   * \brief Set interpolator rate.  \p rate must be in [4, 512] and a multiple of 4.
+   *
+   * The final complex sample rate across the USB is
+   *   dac_freq () / interp_rate () * nchannels ()
+   */
+  virtual bool set_interp_rate (unsigned int rate);
+
+  /*!
+   * \brief Set number of active channels.  \p nchannels must be 1 or 2.
+   *
+   * The final complex sample rate across the USB is
+   *   dac_freq () / decim_rate () * nchannels ()
+   */
+  bool set_nchannels  (int nchannels);
+
+  /*!
+   * \brief Set output mux configuration.
+   *
+   * <pre>
+   *     3                   2                   1                       
+   *   1 0 9 8 7 6 5 4 3 2 1 0 9 8 7 6 5 4 3 2 1 0 9 8 7 6 5 4 3 2 1 0
+   *  +-------------------------------+-------+-------+-------+-------+
+   *  |                               | DAC3  | DAC2  | DAC1  |  DAC0 |
+   *  +-------------------------------+-------+-------+-------+-------+
+   * 
+   *  There are two interpolators with complex inputs and outputs.
+   *  There are four DACs.
+   * 
+   *  Each 4-bit DACx field specifies the source for the DAC and
+   *  whether or not that DAC is enabled.  Each subfield is coded
+   *  like this: 
+   * 
+   *     3 2 1 0
+   *    +-+-----+
+   *    |E|  N  |
+   *    +-+-----+
+   * 
+   *  Where E is set if the DAC is enabled, and N specifies which
+   *  interpolator output is connected to this DAC.
+   * 
+   *   N   which interp output
+   *  ---  -------------------
+   *   0   chan 0 I
+   *   1   chan 0 Q
+   *   2   chan 1 I
+   *   3   chan 1 Q
+   * </pre>
+   */
+  bool set_mux  (int mux);
+
+  /*!
+   * Determine the appropriate Tx mux value as a function of the subdevice choosen
+   * and the characteristics of the respective daughterboard.
+   */
+  int determine_tx_mux_value(const usrp_subdev_spec &ss);
+  int determine_tx_mux_value(const usrp_subdev_spec &ss_a, const usrp_subdev_spec &ss_b);
+
+  /*!
+   * \brief set the frequency of the digital up converter.
+   *
+   * \p channel must be in the range [0,1].  \p freq is the center
+   * frequency in Hz.  It must be in the range [-44M, 44M].
+   * The frequency specified is quantized.  Use tx_freq to retrieve
+   * the actual value used.
+   */
+  virtual bool set_tx_freq (int channel, double freq);  // chan: [0,1]
+
+  // ACCESSORS
+  unsigned int interp_rate () const;
+  double tx_freq (int channel) const;
+  int nchannels () const;
+  int mux () const;
+
+  /*!
+   * \brief High-level "tune" method.  Works for the single channel case.
+   *
+   * This method adjusts both the daughterboard LO and the DUC so that
+   * DC in the complex baseband samples ends up at RF target_freq.
+   *
+   * \param chan  which DUC channel we're controlling (usually == which_side).
+   * \param db    the daughterboard we're controlling.
+   * \param target_freq the RF frequency we want our baseband translated to.
+   * \param[out] result details how the hardware was configured.
+   *
+   * \returns true iff everything was successful.
+   */
+  bool tune(int chan, db_base_sptr db, double target_freq, usrp_tune_result *result);
+
+
+  // called in base class to derived class order
+  bool start ();
+  bool stop ();
+};
+
+#endif /* INCLUDED_USRP_STANDARD_H */
diff --git a/usrp/host/lib/legacy/usrp_subdev_spec.h b/usrp/host/lib/legacy/usrp_subdev_spec.h
new file mode 100644 (file)
index 0000000..e841ff8
--- /dev/null
@@ -0,0 +1,50 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+
+#ifndef INCLUDED_USRP_SUBDEV_SPEC_H
+#define INCLUDED_USRP_SUBDEV_SPEC_H
+
+/*!
+ * \brief specify a daughterboard and subdevice on a daughterboard.
+ *
+ * In the USRP1, there are two sides, A and B.
+ *
+ * A daughterboard generally implements a single subdevice, but may in
+ * general implement any number of subdevices.  At this time, all daughterboards
+ * with the exception of the Basic Rx and LF Rx implement a single subdevice.
+ *
+ * The Basic Rx and LF Rx implement 2 subdevices (soon 3).  Subdevice
+ * 0 routes input RX-A to the DDC I input and routes a constant zero
+ * to the DDC Q input.  Similarly, subdevice 1 routes input RX-B to
+ * the DDC I input and routes a constant zero to the DDC Q
+ * input.  Subdevice 2 (when implemented) will route RX-A to the DDC I
+ * input and RX-B to the DDC Q input.
+ */
+
+struct usrp_subdev_spec {
+  unsigned int side;           // 0 -> A; 1 -> B
+  unsigned int subdev;         // which subdevice (usually zero)
+
+  usrp_subdev_spec(unsigned int _side = 0, unsigned int _subdev = 0)
+    : side(_side), subdev(_subdev) {}
+};
+
+#endif /* INCLUDED_USRP_SUBDEV_SPEC_H */
diff --git a/usrp/host/lib/legacy/usrp_tune_result.h b/usrp/host/lib/legacy/usrp_tune_result.h
new file mode 100644 (file)
index 0000000..200541a
--- /dev/null
@@ -0,0 +1,44 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License along
+ * with this program; if not, write to the Free Software Foundation, Inc.,
+ * 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+ */
+#ifndef INCLUDED_USRP_TUNE_RESULT_H
+#define INCLUDED_USRP_TUNE_RESULT_H
+
+class usrp_tune_result
+{
+public:
+  // RF frequency that corresponds to DC in the IF
+  double baseband_freq;
+
+  // frequency programmed into the DDC/DUC
+  double dxc_freq;
+
+  // residual frequency (typically < 0.01 Hz)
+  double residual_freq;
+
+  // is the spectrum inverted?
+  bool inverted;
+
+  usrp_tune_result(double baseband=0, double dxc=0, double residual=0, bool _inverted=false)
+    : baseband_freq(baseband), dxc_freq(dxc),
+      residual_freq(residual), inverted(_inverted) {}
+};
+
+#endif /* INCLUDED_USRP_TUNE_RESULT_H */
diff --git a/usrp/host/misc/Makefile.in b/usrp/host/misc/Makefile.in
new file mode 100644 (file)
index 0000000..0866995
--- /dev/null
@@ -0,0 +1,1025 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2003,2004 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common getopt.c gettimeofday.c \
+       mkstemp.c tempname.c usleep.c
+subdir = usrp/host/misc
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+LTLIBRARIES = $(noinst_LTLIBRARIES)
+libmisc_la_DEPENDENCIES = @LTLIBOBJS@
+am_libmisc_la_OBJECTS = bug_work_around_8.lo
+libmisc_la_OBJECTS = $(am_libmisc_la_OBJECTS)
+DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
+depcomp = $(SHELL) $(top_srcdir)/depcomp
+am__depfiles_maybe = depfiles
+COMPILE = $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) \
+       $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
+LTCOMPILE = $(LIBTOOL) --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
+CCLD = $(CC)
+LINK = $(LIBTOOL) --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CCLD) $(AM_CFLAGS) $(CFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+CXXCOMPILE = $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+LTCXXCOMPILE = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+CXXLD = $(CXX)
+CXXLINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CXXLD) $(AM_CXXFLAGS) $(CXXFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+SOURCES = $(libmisc_la_SOURCES)
+DIST_SOURCES = $(libmisc_la_SOURCES)
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+EXTRA_DIST = \
+       getopt.c getopt.h \
+       gettimeofday.c \
+       tempname.c mkstemp.c \
+       usleep.c
+
+noinst_LTLIBRARIES = libmisc.la
+libmisc_la_SOURCES = bug_work_around_8.cc
+libmisc_la_LIBADD = @LTLIBOBJS@
+all: all-am
+
+.SUFFIXES:
+.SUFFIXES: .c .cc .lo .o .obj
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  usrp/host/misc/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  usrp/host/misc/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+clean-noinstLTLIBRARIES:
+       -test -z "$(noinst_LTLIBRARIES)" || rm -f $(noinst_LTLIBRARIES)
+       @list='$(noinst_LTLIBRARIES)'; for p in $$list; do \
+         dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
+         test "$$dir" != "$$p" || dir=.; \
+         echo "rm -f \"$${dir}/so_locations\""; \
+         rm -f "$${dir}/so_locations"; \
+       done
+libmisc.la: $(libmisc_la_OBJECTS) $(libmisc_la_DEPENDENCIES) 
+       $(CXXLINK)  $(libmisc_la_OBJECTS) $(libmisc_la_LIBADD) $(LIBS)
+
+mostlyclean-compile:
+       -rm -f *.$(OBJEXT)
+
+distclean-compile:
+       -rm -f *.tab.c
+
+@AMDEP_TRUE@@am__include@ @am__quote@$(DEPDIR)/getopt.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@$(DEPDIR)/gettimeofday.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@$(DEPDIR)/mkstemp.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@$(DEPDIR)/tempname.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@$(DEPDIR)/usleep.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/bug_work_around_8.Plo@am__quote@
+
+.c.o:
+@am__fastdepCC_TRUE@   $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(COMPILE) -c $<
+
+.c.obj:
+@am__fastdepCC_TRUE@   $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(COMPILE) -c `$(CYGPATH_W) '$<'`
+
+.c.lo:
+@am__fastdepCC_TRUE@   $(LTCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(LTCOMPILE) -c -o $@ $<
+
+.cc.o:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ $<
+
+.cc.obj:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ `$(CYGPATH_W) '$<'`
+
+.cc.lo:
+@am__fastdepCXX_TRUE@  $(LTCXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LTCXXCOMPILE) -c -o $@ $<
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile $(LTLIBRARIES)
+installdirs:
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool clean-noinstLTLIBRARIES \
+       mostlyclean-am
+
+distclean: distclean-am
+       -rm -rf $(DEPDIR) ./$(DEPDIR)
+       -rm -f Makefile
+distclean-am: clean-am distclean-compile distclean-generic \
+       distclean-tags
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -rf $(DEPDIR) ./$(DEPDIR)
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-compile mostlyclean-generic \
+       mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: install-am install-strip
+
+.PHONY: CTAGS GTAGS all all-am check check-am clean clean-generic \
+       clean-libtool clean-noinstLTLIBRARIES ctags dist-hook \
+       distclean distclean-compile distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-compile \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       tags uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/usrp/host/swig/Makefile.in b/usrp/host/swig/Makefile.in
new file mode 100644 (file)
index 0000000..f5370d4
--- /dev/null
@@ -0,0 +1,1306 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2001,2003,2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# Makefile.swig.gen for usrp_prims.i
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(srcdir)/Makefile.swig.gen $(top_srcdir)/Makefile.common \
+       $(top_srcdir)/Makefile.swig $(usrp_prims_python_PYTHON) \
+       $(usrp_prims_swiginclude_HEADERS)
+subdir = usrp/host/swig
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(usrp_prims_pylibdir)" \
+       "$(DESTDIR)$(usrp_prims_pythondir)" \
+       "$(DESTDIR)$(usrp_prims_swigincludedir)"
+usrp_prims_pylibLTLIBRARIES_INSTALL = $(INSTALL)
+LTLIBRARIES = $(usrp_prims_pylib_LTLIBRARIES)
+am__DEPENDENCIES_1 =
+am__DEPENDENCIES_2 = $(am__DEPENDENCIES_1)
+_usrp_prims_la_DEPENDENCIES = $(am__DEPENDENCIES_1) \
+       $(am__DEPENDENCIES_2)
+am__usrp_prims_la_OBJECTS = _usrp_prims_la-usrp_prims.lo
+_usrp_prims_la_OBJECTS = $(am__usrp_prims_la_OBJECTS)
+_usrp_prims_la_LINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) \
+       $(LIBTOOLFLAGS) --mode=link $(CXXLD) \
+       $(_usrp_prims_la_CXXFLAGS) $(CXXFLAGS) \
+       $(_usrp_prims_la_LDFLAGS) $(LDFLAGS) -o $@
+DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
+depcomp = $(SHELL) $(top_srcdir)/depcomp
+am__depfiles_maybe = depfiles
+CXXCOMPILE = $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+LTCXXCOMPILE = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+CXXLD = $(CXX)
+CXXLINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CXXLD) $(AM_CXXFLAGS) $(CXXFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+SOURCES = $(_usrp_prims_la_SOURCES)
+DIST_SOURCES = $(_usrp_prims_la_SOURCES)
+usrp_prims_pythonPYTHON_INSTALL = $(INSTALL_DATA)
+py_compile = $(top_srcdir)/py-compile
+usrp_prims_swigincludeHEADERS_INSTALL = $(INSTALL_HEADER)
+HEADERS = $(usrp_prims_swiginclude_HEADERS)
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = $(DEPDIR)/usrp_prims-generate-*
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp \
+       *.loT $(DEPDIR)/*.S*
+AM_CPPFLAGS = \
+       $(USRP_INCLUDES)        \
+       $(PYTHON_CPPFLAGS)      \
+       -I$(srcdir)             \
+       $(WITH_INCLUDES)
+
+
+#################################
+# SWIG interface and library
+TOP_SWIG_IFILES = \
+       usrp_prims.i
+
+
+# Install so that they end up available as:
+#   import usrpm.usrp_prims
+# This ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrp_prims_pythondir_category = \
+       usrpm
+
+
+# additional arguments to the SWIG command
+usrp_prims_swig_args = \
+       $(USRP_INCLUDES)
+
+
+# additional libraries for linking with the SWIG-generated library
+usrp_prims_la_swig_libadd = \
+       $(USRP_LA)
+
+
+# additional Python files to be installed along with the SWIG-generated one
+usrp_prims_python = \
+       __init__.py             \
+       usrp_fpga_regs.py
+
+SWIG_PYTHON_FLAGS = \
+       -fvirtual       \
+       -python         \
+       -modern         \
+       -keyword        \
+       -w511           \
+       -outdir .
+
+STD_SWIG_PYTHON_ARGS = \
+       $(SWIG_PYTHON_FLAGS)                    \
+       $(STD_DEFINES_AND_INCLUDES)             \
+       $(WITH_SWIG_INCLUDES)                   \
+       $(WITH_INCLUDES)
+
+STD_SWIG_LA_LD_FLAGS = \
+       $(PYTHON_LDFLAGS)       \
+       -module                 \
+       -avoid-version          \
+       $(NO_UNDEFINED)
+
+STD_SWIG_LA_LIB_ADD = \
+       -lstdc++
+
+STD_SWIG_CXX_FLAGS = @swig_CXXFLAGS@
+SUFFIXES = .i
+swig_built_sources = usrp_prims.py usrp_prims.cc
+usrp_prims_pythondir = $(pythondir)/$(usrp_prims_pythondir_category)
+usrp_prims_pylibdir = $(pyexecdir)/$(usrp_prims_pylibdir_category)
+usrp_prims_swigincludedir = $(swigincludedir)
+usrp_prims_swiginclude_HEADERS = \
+       usrp_prims.i                    \
+       $(usrp_prims_swiginclude_headers)
+
+usrp_prims_pylib_LTLIBRARIES = \
+       _usrp_prims.la
+
+_usrp_prims_la_SOURCES = \
+       usrp_prims.cc                   \
+       $(usrp_prims_la_swig_sources)
+
+_usrp_prims_la_LIBADD = \
+       $(STD_SWIG_LA_LIB_ADD)          \
+       $(usrp_prims_la_swig_libadd)
+
+_usrp_prims_la_LDFLAGS = \
+       $(STD_SWIG_LA_LD_FLAGS)         \
+       $(usrp_prims_la_swig_ldflags)
+
+_usrp_prims_la_CXXFLAGS = \
+       $(STD_SWIG_CXX_FLAGS)           \
+       $(usrp_prims_la_swig_cxxflags)
+
+usrp_prims_python_PYTHON = \
+       usrp_prims.py                   \
+       $(usrp_prims_python)
+
+
+# add some of the variables generated inside the Makefile.swig.gen
+BUILT_SOURCES = $(swig_built_sources)
+
+# Do not distribute the output of SWIG
+no_dist_files = $(swig_built_sources)
+all: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) all-am
+
+.SUFFIXES:
+.SUFFIXES: .i .cc .lo .o .obj
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(top_srcdir)/Makefile.swig $(srcdir)/Makefile.swig.gen $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  usrp/host/swig/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  usrp/host/swig/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+install-usrp_prims_pylibLTLIBRARIES: $(usrp_prims_pylib_LTLIBRARIES)
+       @$(NORMAL_INSTALL)
+       test -z "$(usrp_prims_pylibdir)" || $(MKDIR_P) "$(DESTDIR)$(usrp_prims_pylibdir)"
+       @list='$(usrp_prims_pylib_LTLIBRARIES)'; for p in $$list; do \
+         if test -f $$p; then \
+           f=$(am__strip_dir) \
+           echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(usrp_prims_pylibLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) '$$p' '$(DESTDIR)$(usrp_prims_pylibdir)/$$f'"; \
+           $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(usrp_prims_pylibLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) "$$p" "$(DESTDIR)$(usrp_prims_pylibdir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-usrp_prims_pylibLTLIBRARIES:
+       @$(NORMAL_UNINSTALL)
+       @list='$(usrp_prims_pylib_LTLIBRARIES)'; for p in $$list; do \
+         p=$(am__strip_dir) \
+         echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f '$(DESTDIR)$(usrp_prims_pylibdir)/$$p'"; \
+         $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f "$(DESTDIR)$(usrp_prims_pylibdir)/$$p"; \
+       done
+
+clean-usrp_prims_pylibLTLIBRARIES:
+       -test -z "$(usrp_prims_pylib_LTLIBRARIES)" || rm -f $(usrp_prims_pylib_LTLIBRARIES)
+       @list='$(usrp_prims_pylib_LTLIBRARIES)'; for p in $$list; do \
+         dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
+         test "$$dir" != "$$p" || dir=.; \
+         echo "rm -f \"$${dir}/so_locations\""; \
+         rm -f "$${dir}/so_locations"; \
+       done
+_usrp_prims.la: $(_usrp_prims_la_OBJECTS) $(_usrp_prims_la_DEPENDENCIES) 
+       $(_usrp_prims_la_LINK) -rpath $(usrp_prims_pylibdir) $(_usrp_prims_la_OBJECTS) $(_usrp_prims_la_LIBADD) $(LIBS)
+
+mostlyclean-compile:
+       -rm -f *.$(OBJEXT)
+
+distclean-compile:
+       -rm -f *.tab.c
+
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_usrp_prims_la-usrp_prims.Plo@am__quote@
+
+.cc.o:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ $<
+
+.cc.obj:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ `$(CYGPATH_W) '$<'`
+
+.cc.lo:
+@am__fastdepCXX_TRUE@  $(LTCXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LTCXXCOMPILE) -c -o $@ $<
+
+_usrp_prims_la-usrp_prims.lo: usrp_prims.cc
+@am__fastdepCXX_TRUE@  $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_usrp_prims_la_CXXFLAGS) $(CXXFLAGS) -MT _usrp_prims_la-usrp_prims.lo -MD -MP -MF $(DEPDIR)/_usrp_prims_la-usrp_prims.Tpo -c -o _usrp_prims_la-usrp_prims.lo `test -f 'usrp_prims.cc' || echo '$(srcdir)/'`usrp_prims.cc
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/_usrp_prims_la-usrp_prims.Tpo $(DEPDIR)/_usrp_prims_la-usrp_prims.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='usrp_prims.cc' object='_usrp_prims_la-usrp_prims.lo' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) $(CPPFLAGS) $(_usrp_prims_la_CXXFLAGS) $(CXXFLAGS) -c -o _usrp_prims_la-usrp_prims.lo `test -f 'usrp_prims.cc' || echo '$(srcdir)/'`usrp_prims.cc
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-usrp_prims_pythonPYTHON: $(usrp_prims_python_PYTHON)
+       @$(NORMAL_INSTALL)
+       test -z "$(usrp_prims_pythondir)" || $(MKDIR_P) "$(DESTDIR)$(usrp_prims_pythondir)"
+       @list='$(usrp_prims_python_PYTHON)'; dlist=''; for p in $$list; do\
+         if test -f "$$p"; then b=; else b="$(srcdir)/"; fi; \
+         if test -f $$b$$p; then \
+           f=$(am__strip_dir) \
+           dlist="$$dlist $$f"; \
+           echo " $(usrp_prims_pythonPYTHON_INSTALL) '$$b$$p' '$(DESTDIR)$(usrp_prims_pythondir)/$$f'"; \
+           $(usrp_prims_pythonPYTHON_INSTALL) "$$b$$p" "$(DESTDIR)$(usrp_prims_pythondir)/$$f"; \
+         else :; fi; \
+       done; \
+       if test -n "$$dlist"; then \
+         if test -z "$(DESTDIR)"; then \
+           PYTHON=$(PYTHON) $(py_compile) --basedir "$(usrp_prims_pythondir)" $$dlist; \
+         else \
+           PYTHON=$(PYTHON) $(py_compile) --destdir "$(DESTDIR)" --basedir "$(usrp_prims_pythondir)" $$dlist; \
+         fi; \
+       else :; fi
+
+uninstall-usrp_prims_pythonPYTHON:
+       @$(NORMAL_UNINSTALL)
+       @list='$(usrp_prims_python_PYTHON)'; dlist=''; for p in $$list; do\
+         f=$(am__strip_dir) \
+         rm -f "$(DESTDIR)$(usrp_prims_pythondir)/$$f"; \
+         rm -f "$(DESTDIR)$(usrp_prims_pythondir)/$${f}c"; \
+         rm -f "$(DESTDIR)$(usrp_prims_pythondir)/$${f}o"; \
+       done
+install-usrp_prims_swigincludeHEADERS: $(usrp_prims_swiginclude_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(usrp_prims_swigincludedir)" || $(MKDIR_P) "$(DESTDIR)$(usrp_prims_swigincludedir)"
+       @list='$(usrp_prims_swiginclude_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(usrp_prims_swigincludeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(usrp_prims_swigincludedir)/$$f'"; \
+         $(usrp_prims_swigincludeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(usrp_prims_swigincludedir)/$$f"; \
+       done
+
+uninstall-usrp_prims_swigincludeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(usrp_prims_swiginclude_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(usrp_prims_swigincludedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(usrp_prims_swigincludedir)/$$f"; \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) check-am
+all-am: Makefile $(LTLIBRARIES) $(HEADERS)
+installdirs:
+       for dir in "$(DESTDIR)$(usrp_prims_pylibdir)" "$(DESTDIR)$(usrp_prims_pythondir)" "$(DESTDIR)$(usrp_prims_swigincludedir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: $(BUILT_SOURCES)
+       $(MAKE) $(AM_MAKEFLAGS) install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+       -test -z "$(BUILT_SOURCES)" || rm -f $(BUILT_SOURCES)
+clean: clean-am
+
+clean-am: clean-generic clean-libtool \
+       clean-usrp_prims_pylibLTLIBRARIES mostlyclean-am
+
+distclean: distclean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+distclean-am: clean-am distclean-compile distclean-generic \
+       distclean-tags
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-usrp_prims_pylibLTLIBRARIES \
+       install-usrp_prims_pythonPYTHON \
+       install-usrp_prims_swigincludeHEADERS
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-compile mostlyclean-generic \
+       mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-usrp_prims_pylibLTLIBRARIES \
+       uninstall-usrp_prims_pythonPYTHON \
+       uninstall-usrp_prims_swigincludeHEADERS
+
+.MAKE: install-am install-strip
+
+.PHONY: CTAGS GTAGS all all-am check check-am clean clean-generic \
+       clean-libtool clean-usrp_prims_pylibLTLIBRARIES ctags \
+       dist-hook distclean distclean-compile distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip \
+       install-usrp_prims_pylibLTLIBRARIES \
+       install-usrp_prims_pythonPYTHON \
+       install-usrp_prims_swigincludeHEADERS installcheck \
+       installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-compile \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       tags uninstall uninstall-am \
+       uninstall-usrp_prims_pylibLTLIBRARIES \
+       uninstall-usrp_prims_pythonPYTHON \
+       uninstall-usrp_prims_swigincludeHEADERS
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+
+generate-makefile-swig $(srcdir)/Makefile.swig.gen: $(top_srcdir)/Makefile.swig.gen.t
+       @do_recreate=0; \
+       if test -f $(srcdir)/Makefile.swig.gen; then \
+               if $(RM) $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                       if touch $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                               do_recreate=1; \
+                       fi; \
+               fi; \
+       else \
+               if touch $(srcdir)/Makefile.swig.gen 2>/dev/null; then \
+                       do_recreate=1; \
+               fi; \
+       fi; \
+       if test "$$do_recreate" == "1"; then \
+               echo "Regenerating $(srcdir)/Makefile.swig.gen"; \
+               for TFILE in $(TOP_SWIG_IFILES); do \
+                       TNAME=`python -c "import os.path as op; (dN, fN) = op.split ('$$TFILE'); (fbN, fE) = op.splitext (fN); print fbN;"`; \
+                       $(SED) -e 's|@NAME@|'$$TNAME'|g;' < $(top_srcdir)/Makefile.swig.gen.t >> $(srcdir)/Makefile.swig.gen; \
+                       echo "" >> $(srcdir)/Makefile.swig.gen; \
+               done; \
+       else \
+               echo "Cannot recreate $(srcdir)/Makefile.swig.gen because the directory or file is write-protected."; \
+               exit -1; \
+       fi;
+
+usrp_prims_pythondir_category ?= gnuradio/usrp_prims
+usrp_prims_pylibdir_category ?= $(usrp_prims_pythondir_category)
+
+usrp_prims.h usrp_prims.py usrp_prims.cc: usrp_prims.i
+       trap 'rm -rf $(DEPDIR)/usrp_prims-generate-*' 1 2 13 15; \
+       if mkdir $(DEPDIR)/usrp_prims-generate-lock 2>/dev/null; then \
+               rm -f $(DEPDIR)/usrp_prims-generate-stamp; \
+               $(MAKE) $(AM_MAKEFLAGS) $(DEPDIR)/usrp_prims-generate-stamp WHAT=$<; \
+               rmdir $(DEPDIR)/usrp_prims-generate-lock; \
+       else \
+               while test -d $(DEPDIR)/usrp_prims-generate-lock; do \
+                       sleep 1; \
+               done; \
+               test -f $(DEPDIR)/usrp_prims-generate-stamp; \
+               exit $$?; \
+       fi;
+
+$(DEPDIR)/usrp_prims-generate-stamp:
+       if $(SWIG) $(STD_SWIG_PYTHON_ARGS) $(usrp_prims_swig_args) \
+               -MD -MF $(DEPDIR)/usrp_prims.Std \
+               -module usrp_prims -o usrp_prims.cc $(WHAT); then \
+           if test $(host_os) = mingw32; then \
+               $(RM) $(DEPDIR)/usrp_prims.Sd; \
+               $(SED) 's,\\\\,/,g' < $(DEPDIR)/usrp_prims.Std \
+                       > $(DEPDIR)/usrp_prims.Sd; \
+               $(RM) $(DEPDIR)/usrp_prims.Std; \
+               $(MV) $(DEPDIR)/usrp_prims.Sd $(DEPDIR)/usrp_prims.Std; \
+           fi; \
+       else \
+           $(RM) $(DEPDIR)/usrp_prims.S*; exit 1; \
+       fi;
+       $(RM) $(DEPDIR)/usrp_prims.d
+       cp $(DEPDIR)/usrp_prims.Std $(DEPDIR)/usrp_prims.d
+       echo "" >> $(DEPDIR)/usrp_prims.d
+       $(SED) -e '1d;s, \\,,g;s, ,,g' < $(DEPDIR)/usrp_prims.Std | \
+               awk '{ printf "%s:\n\n", $$0 }' >> $(DEPDIR)/usrp_prims.d
+       $(RM) $(DEPDIR)/usrp_prims.Std
+       touch $(DEPDIR)/usrp_prims-generate-stamp
+
+# KLUDGE: Force runtime include of a SWIG dependency file.  This is
+# not guaranteed to be portable, but will probably work.  If it works,
+# we have accurate dependencies for our swig stuff, which is good.
+
+@am__include@ @am__quote@./$(DEPDIR)/usrp_prims.d@am__quote@
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/usrp2/Makefile.in b/usrp2/Makefile.in
new file mode 100644 (file)
index 0000000..1ae0611
--- /dev/null
@@ -0,0 +1,1029 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2008 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License along
+# with this program; if not, write to the Free Software Foundation, Inc.,
+# 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+#
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = usrp2
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+SUBDIRS = host firmware
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  usrp2/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  usrp2/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-recursive
+all-am: Makefile
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive dist-hook distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/usrp2/firmware/Makefile.in b/usrp2/firmware/Makefile.in
new file mode 100644 (file)
index 0000000..12a2596
--- /dev/null
@@ -0,0 +1,698 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2007,2008 Free Software Foundation, Inc.
+#
+# This program is free software: you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation, either version 3 of the License, or
+# (at your option) any later version.
+#
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+#
+# You should have received a copy of the GNU General Public License
+# along with this program.  If not, see <http://www.gnu.org/licenses/>.
+#
+
+# -*- Makefile -*-
+#
+# Copyright 2007 Free Software Foundation, Inc.
+#
+# This program is free software: you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation, either version 3 of the License, or
+# (at your option) any later version.
+#
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+#
+# You should have received a copy of the GNU General Public License
+# along with this program.  If not, see <http://www.gnu.org/licenses/>.
+#
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+DIST_COMMON = README $(am__configure_deps) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(srcdir)/config.h.in \
+       $(top_srcdir)/Makefile.common $(top_srcdir)/configure AUTHORS \
+       COPYING ChangeLog INSTALL NEWS config.guess config.sub depcomp \
+       install-sh missing
+@BUILDING_USRP2_FIRMWARE_TRUE@am__append_1 = include lib apps
+subdir = .
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_usrp2_firmware.m4 \
+       $(top_srcdir)/config/grc_usrp2_stub.m4 \
+       $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+am__CONFIG_DISTCLEAN_FILES = config.status config.cache config.log \
+ configure.lineno config.status.lineno
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+DATA = $(noinst_DATA)
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = config include lib apps
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+distdir = $(PACKAGE)-$(VERSION)
+top_distdir = $(distdir)
+am__remove_distdir = \
+  { test ! -d $(distdir) \
+    || { find $(distdir) -type d ! -perm -200 -exec chmod u+w {} ';' \
+         && rm -fr $(distdir); }; }
+DIST_ARCHIVES = $(distdir).tar.gz
+GZIP_ENV = --best
+distuninstallcheck_listfiles = find . -type f -print
+distcleancheck_listfiles = find . -type f -print
+ACLOCAL = @ACLOCAL@
+AMTAR = @AMTAR@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CYGPATH_W = @CYGPATH_W@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+GREP = @GREP@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+LDFLAGS = @LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MB_GCC = @MB_GCC@
+MKDIR_P = @MKDIR_P@
+OBJEXT = @OBJEXT@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+RANLIB = @RANLIB@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+STRIP = @STRIP@
+VERSION = @VERSION@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+bindir = @bindir@
+build_alias = @build_alias@
+build_dirs = @build_dirs@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+dvidir = @dvidir@
+exec_prefix = @exec_prefix@
+host_alias = @host_alias@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+mandir = @mandir@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+pdfdir = @pdfdir@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+sysconfdir = @sysconfdir@
+target_alias = @target_alias@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp2_firmware_INCLUDEDIR = @usrp2_firmware_INCLUDEDIR@
+usrp2_firmware_INCLUDES = @usrp2_firmware_INCLUDES@
+usrp2_firmware_LA = @usrp2_firmware_LA@
+usrp2_firmware_LDFLAG = @usrp2_firmware_LDFLAG@
+usrp2_firmware_LIBDIRPATH = @usrp2_firmware_LIBDIRPATH@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+ACLOCAL_AMFLAGS = -I config
+STD_INCLUDES = -I$(top_srcdir)/include -I$(top_srcdir)/lib
+
+#HAL_IO = -DHAL_IO_USES_DBOARD_PINS 
+HAL_IO = -DHAL_IO_USES_UART 
+AM_CPPFLAGS = $(HAL_IO) $(STD_INCLUDES)
+STD_CFLAGS = --std=gnu99 -Wall -Werror-implicit-function-declaration -mxl-soft-div -msoft-float
+AM_CFLAGS = $(STD_CFLAGS) -mxl-soft-mul -mxl-barrel-shift
+#AM_CFLAGS = $(STD_CFLAGS) -mxl-soft-mul -mxl-barrel-shift -mxl-gp-opt -G 16384
+
+#LINKER_SCRIPT = $(top_srcdir)/lib/microblaze.ld
+#AM_LDFLAGS = -Wl,-T,$(LINKER_SCRIPT) -Wl,-defsym -Wl,_STACK_SIZE=1024
+#AM_LDFLAGS = -Wl,-T,$(LINKER_SCRIPT) -Wl,-Map -Wl,$@.map
+#AM_LDFLAGS = -Wl,-T,$(LINKER_SCRIPT)
+AM_LDFLAGS = -Wl,-Map -Wl,$@.map -Wl,-defsym -Wl,_STACK_SIZE=3072
+BINS = $(noinst_PROGRAMS:=.bin)
+ROMS = $(noinst_PROGRAMS:=.rom)
+DUMPS = $(noinst_PROGRAMS:=.dump)
+noinst_DATA = $(BINS) $(ROMS) $(DUMPS)
+CLEANFILES = $(ROMS) $(DUMPS) $(BINS)
+EXTRA_DIST = \
+       bootstrap \
+       configure \
+       configure.gnu
+
+SUBDIRS = config $(am__append_1)
+all: config.h
+       $(MAKE) $(AM_MAKEFLAGS) all-recursive
+
+.SUFFIXES:
+am--refresh:
+       @:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             echo ' cd $(srcdir) && $(AUTOMAKE) --gnu '; \
+             cd $(srcdir) && $(AUTOMAKE) --gnu  \
+               && exit 0; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           echo ' $(SHELL) ./config.status'; \
+           $(SHELL) ./config.status;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       $(SHELL) ./config.status --recheck
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(srcdir) && $(AUTOCONF)
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(srcdir) && $(ACLOCAL) $(ACLOCAL_AMFLAGS)
+
+config.h: stamp-h1
+       @if test ! -f $@; then \
+         rm -f stamp-h1; \
+         $(MAKE) $(AM_MAKEFLAGS) stamp-h1; \
+       else :; fi
+
+stamp-h1: $(srcdir)/config.h.in $(top_builddir)/config.status
+       @rm -f stamp-h1
+       cd $(top_builddir) && $(SHELL) ./config.status config.h
+$(srcdir)/config.h.in:  $(am__configure_deps) 
+       cd $(top_srcdir) && $(AUTOHEADER)
+       rm -f stamp-h1
+       touch $@
+
+distclean-hdr:
+       -rm -f config.h stamp-h1
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES) config.h.in $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS) config.h.in $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES) config.h.in $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS) config.h.in $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       $(am__remove_distdir)
+       test -d $(distdir) || mkdir $(distdir)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       -find $(distdir) -type d ! -perm -777 -exec chmod a+rwx {} \; -o \
+         ! -type d ! -perm -444 -links 1 -exec chmod a+r {} \; -o \
+         ! -type d ! -perm -400 -exec chmod a+r {} \; -o \
+         ! -type d ! -perm -444 -exec $(install_sh) -c -m a+r {} {} \; \
+       || chmod -R a+r $(distdir)
+dist-gzip: distdir
+       tardir=$(distdir) && $(am__tar) | GZIP=$(GZIP_ENV) gzip -c >$(distdir).tar.gz
+       $(am__remove_distdir)
+
+dist-bzip2: distdir
+       tardir=$(distdir) && $(am__tar) | bzip2 -9 -c >$(distdir).tar.bz2
+       $(am__remove_distdir)
+
+dist-lzma: distdir
+       tardir=$(distdir) && $(am__tar) | lzma -9 -c >$(distdir).tar.lzma
+       $(am__remove_distdir)
+
+dist-tarZ: distdir
+       tardir=$(distdir) && $(am__tar) | compress -c >$(distdir).tar.Z
+       $(am__remove_distdir)
+
+dist-shar: distdir
+       shar $(distdir) | GZIP=$(GZIP_ENV) gzip -c >$(distdir).shar.gz
+       $(am__remove_distdir)
+
+dist-zip: distdir
+       -rm -f $(distdir).zip
+       zip -rq $(distdir).zip $(distdir)
+       $(am__remove_distdir)
+
+dist dist-all: distdir
+       tardir=$(distdir) && $(am__tar) | GZIP=$(GZIP_ENV) gzip -c >$(distdir).tar.gz
+       $(am__remove_distdir)
+
+# This target untars the dist file and tries a VPATH configuration.  Then
+# it guarantees that the distribution is self-contained by making another
+# tarfile.
+distcheck: dist
+       case '$(DIST_ARCHIVES)' in \
+       *.tar.gz*) \
+         GZIP=$(GZIP_ENV) gunzip -c $(distdir).tar.gz | $(am__untar) ;;\
+       *.tar.bz2*) \
+         bunzip2 -c $(distdir).tar.bz2 | $(am__untar) ;;\
+       *.tar.lzma*) \
+         unlzma -c $(distdir).tar.lzma | $(am__untar) ;;\
+       *.tar.Z*) \
+         uncompress -c $(distdir).tar.Z | $(am__untar) ;;\
+       *.shar.gz*) \
+         GZIP=$(GZIP_ENV) gunzip -c $(distdir).shar.gz | unshar ;;\
+       *.zip*) \
+         unzip $(distdir).zip ;;\
+       esac
+       chmod -R a-w $(distdir); chmod a+w $(distdir)
+       mkdir $(distdir)/_build
+       mkdir $(distdir)/_inst
+       chmod a-w $(distdir)
+       dc_install_base=`$(am__cd) $(distdir)/_inst && pwd | sed -e 's,^[^:\\/]:[\\/],/,'` \
+         && dc_destdir="$${TMPDIR-/tmp}/am-dc-$$$$/" \
+         && cd $(distdir)/_build \
+         && ../configure --srcdir=.. --prefix="$$dc_install_base" \
+           $(DISTCHECK_CONFIGURE_FLAGS) \
+         && $(MAKE) $(AM_MAKEFLAGS) \
+         && $(MAKE) $(AM_MAKEFLAGS) dvi \
+         && $(MAKE) $(AM_MAKEFLAGS) check \
+         && $(MAKE) $(AM_MAKEFLAGS) install \
+         && $(MAKE) $(AM_MAKEFLAGS) installcheck \
+         && $(MAKE) $(AM_MAKEFLAGS) uninstall \
+         && $(MAKE) $(AM_MAKEFLAGS) distuninstallcheck_dir="$$dc_install_base" \
+               distuninstallcheck \
+         && chmod -R a-w "$$dc_install_base" \
+         && ({ \
+              (cd ../.. && umask 077 && mkdir "$$dc_destdir") \
+              && $(MAKE) $(AM_MAKEFLAGS) DESTDIR="$$dc_destdir" install \
+              && $(MAKE) $(AM_MAKEFLAGS) DESTDIR="$$dc_destdir" uninstall \
+              && $(MAKE) $(AM_MAKEFLAGS) DESTDIR="$$dc_destdir" \
+                   distuninstallcheck_dir="$$dc_destdir" distuninstallcheck; \
+             } || { rm -rf "$$dc_destdir"; exit 1; }) \
+         && rm -rf "$$dc_destdir" \
+         && $(MAKE) $(AM_MAKEFLAGS) dist \
+         && rm -rf $(DIST_ARCHIVES) \
+         && $(MAKE) $(AM_MAKEFLAGS) distcleancheck
+       $(am__remove_distdir)
+       @(echo "$(distdir) archives ready for distribution: "; \
+         list='$(DIST_ARCHIVES)'; for i in $$list; do echo $$i; done) | \
+         sed -e 1h -e 1s/./=/g -e 1p -e 1x -e '$$p' -e '$$x'
+distuninstallcheck:
+       @cd $(distuninstallcheck_dir) \
+       && test `$(distuninstallcheck_listfiles) | wc -l` -le 1 \
+          || { echo "ERROR: files left after uninstall:" ; \
+               if test -n "$(DESTDIR)"; then \
+                 echo "  (check DESTDIR support)"; \
+               fi ; \
+               $(distuninstallcheck_listfiles) ; \
+               exit 1; } >&2
+distcleancheck: distclean
+       @if test '$(srcdir)' = . ; then \
+         echo "ERROR: distcleancheck can only run from a VPATH build" ; \
+         exit 1 ; \
+       fi
+       @test `$(distcleancheck_listfiles) | wc -l` -eq 0 \
+         || { echo "ERROR: files left in build directory after distclean:" ; \
+              $(distcleancheck_listfiles) ; \
+              exit 1; } >&2
+check-am: all-am
+check: check-recursive
+all-am: Makefile $(DATA) config.h
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+
+clean-generic:
+       -test -z "$(CLEANFILES)" || rm -f $(CLEANFILES)
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f $(am__CONFIG_DISTCLEAN_FILES)
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-hdr distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f $(am__CONFIG_DISTCLEAN_FILES)
+       -rm -rf $(top_srcdir)/autom4te.cache
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am am--refresh check check-am clean clean-generic \
+       ctags ctags-recursive dist dist-all dist-bzip2 dist-gzip \
+       dist-lzma dist-shar dist-tarZ dist-zip distcheck distclean \
+       distclean-generic distclean-hdr distclean-tags distcleancheck \
+       distdir distuninstallcheck dvi dvi-am html html-am info \
+       info-am install install-am install-data install-data-am \
+       install-dvi install-dvi-am install-exec install-exec-am \
+       install-html install-html-am install-info install-info-am \
+       install-man install-pdf install-pdf-am install-ps \
+       install-ps-am install-strip installcheck installcheck-am \
+       installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic pdf \
+       pdf-am ps ps-am tags tags-recursive uninstall uninstall-am
+
+#AM_LDFLAGS = -Wl,-defsym -Wl,_STACK_SIZE=2048
+
+%.bin : %
+       mb-objcopy -O binary $< $@
+
+%.dump : %
+       mb-objdump -DSC $< > $@
+
+%.rom : %.bin
+       hexdump -v -e'1/1 "%.2X\n"' $< > $@
+
+.PRECIOUS : %.bin
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/usrp2/firmware/aclocal.m4 b/usrp2/firmware/aclocal.m4
new file mode 100644 (file)
index 0000000..17ecb02
--- /dev/null
@@ -0,0 +1,916 @@
+# generated automatically by aclocal 1.10.2 -*- Autoconf -*-
+
+# Copyright (C) 1996, 1997, 1998, 1999, 2000, 2001, 2002, 2003, 2004,
+# 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+m4_ifndef([AC_AUTOCONF_VERSION],
+  [m4_copy([m4_PACKAGE_VERSION], [AC_AUTOCONF_VERSION])])dnl
+m4_if(m4_defn([AC_AUTOCONF_VERSION]), [2.63],,
+[m4_warning([this file was generated for autoconf 2.63.
+You have another version of autoconf.  It may work, but is not guaranteed to.
+If you have problems, you may need to regenerate the build system entirely.
+To do so, use the procedure documented by the package, typically `autoreconf'.])])
+
+# Copyright (C) 2002, 2003, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# AM_AUTOMAKE_VERSION(VERSION)
+# ----------------------------
+# Automake X.Y traces this macro to ensure aclocal.m4 has been
+# generated from the m4 files accompanying Automake X.Y.
+# (This private macro should not be called outside this file.)
+AC_DEFUN([AM_AUTOMAKE_VERSION],
+[am__api_version='1.10'
+dnl Some users find AM_AUTOMAKE_VERSION and mistake it for a way to
+dnl require some minimum version.  Point them to the right macro.
+m4_if([$1], [1.10.2], [],
+      [AC_FATAL([Do not call $0, use AM_INIT_AUTOMAKE([$1]).])])dnl
+])
+
+# _AM_AUTOCONF_VERSION(VERSION)
+# -----------------------------
+# aclocal traces this macro to find the Autoconf version.
+# This is a private macro too.  Using m4_define simplifies
+# the logic in aclocal, which can simply ignore this definition.
+m4_define([_AM_AUTOCONF_VERSION], [])
+
+# AM_SET_CURRENT_AUTOMAKE_VERSION
+# -------------------------------
+# Call AM_AUTOMAKE_VERSION and AM_AUTOMAKE_VERSION so they can be traced.
+# This function is AC_REQUIREd by AM_INIT_AUTOMAKE.
+AC_DEFUN([AM_SET_CURRENT_AUTOMAKE_VERSION],
+[AM_AUTOMAKE_VERSION([1.10.2])dnl
+m4_ifndef([AC_AUTOCONF_VERSION],
+  [m4_copy([m4_PACKAGE_VERSION], [AC_AUTOCONF_VERSION])])dnl
+_AM_AUTOCONF_VERSION(m4_defn([AC_AUTOCONF_VERSION]))])
+
+# Figure out how to run the assembler.                      -*- Autoconf -*-
+
+# Copyright (C) 2001, 2003, 2004, 2005, 2006  Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# serial 5
+
+# AM_PROG_AS
+# ----------
+AC_DEFUN([AM_PROG_AS],
+[# By default we simply use the C compiler to build assembly code.
+AC_REQUIRE([AC_PROG_CC])
+test "${CCAS+set}" = set || CCAS=$CC
+test "${CCASFLAGS+set}" = set || CCASFLAGS=$CFLAGS
+AC_ARG_VAR([CCAS],      [assembler compiler command (defaults to CC)])
+AC_ARG_VAR([CCASFLAGS], [assembler compiler flags (defaults to CFLAGS)])
+_AM_IF_OPTION([no-dependencies],, [_AM_DEPENDENCIES([CCAS])])dnl
+])
+
+# AM_AUX_DIR_EXPAND                                         -*- Autoconf -*-
+
+# Copyright (C) 2001, 2003, 2005  Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# For projects using AC_CONFIG_AUX_DIR([foo]), Autoconf sets
+# $ac_aux_dir to `$srcdir/foo'.  In other projects, it is set to
+# `$srcdir', `$srcdir/..', or `$srcdir/../..'.
+#
+# Of course, Automake must honor this variable whenever it calls a
+# tool from the auxiliary directory.  The problem is that $srcdir (and
+# therefore $ac_aux_dir as well) can be either absolute or relative,
+# depending on how configure is run.  This is pretty annoying, since
+# it makes $ac_aux_dir quite unusable in subdirectories: in the top
+# source directory, any form will work fine, but in subdirectories a
+# relative path needs to be adjusted first.
+#
+# $ac_aux_dir/missing
+#    fails when called from a subdirectory if $ac_aux_dir is relative
+# $top_srcdir/$ac_aux_dir/missing
+#    fails if $ac_aux_dir is absolute,
+#    fails when called from a subdirectory in a VPATH build with
+#          a relative $ac_aux_dir
+#
+# The reason of the latter failure is that $top_srcdir and $ac_aux_dir
+# are both prefixed by $srcdir.  In an in-source build this is usually
+# harmless because $srcdir is `.', but things will broke when you
+# start a VPATH build or use an absolute $srcdir.
+#
+# So we could use something similar to $top_srcdir/$ac_aux_dir/missing,
+# iff we strip the leading $srcdir from $ac_aux_dir.  That would be:
+#   am_aux_dir='\$(top_srcdir)/'`expr "$ac_aux_dir" : "$srcdir//*\(.*\)"`
+# and then we would define $MISSING as
+#   MISSING="\${SHELL} $am_aux_dir/missing"
+# This will work as long as MISSING is not called from configure, because
+# unfortunately $(top_srcdir) has no meaning in configure.
+# However there are other variables, like CC, which are often used in
+# configure, and could therefore not use this "fixed" $ac_aux_dir.
+#
+# Another solution, used here, is to always expand $ac_aux_dir to an
+# absolute PATH.  The drawback is that using absolute paths prevent a
+# configured tree to be moved without reconfiguration.
+
+AC_DEFUN([AM_AUX_DIR_EXPAND],
+[dnl Rely on autoconf to set up CDPATH properly.
+AC_PREREQ([2.50])dnl
+# expand $ac_aux_dir to an absolute path
+am_aux_dir=`cd $ac_aux_dir && pwd`
+])
+
+# AM_CONDITIONAL                                            -*- Autoconf -*-
+
+# Copyright (C) 1997, 2000, 2001, 2003, 2004, 2005, 2006
+# Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# serial 8
+
+# AM_CONDITIONAL(NAME, SHELL-CONDITION)
+# -------------------------------------
+# Define a conditional.
+AC_DEFUN([AM_CONDITIONAL],
+[AC_PREREQ(2.52)dnl
+ ifelse([$1], [TRUE],  [AC_FATAL([$0: invalid condition: $1])],
+       [$1], [FALSE], [AC_FATAL([$0: invalid condition: $1])])dnl
+AC_SUBST([$1_TRUE])dnl
+AC_SUBST([$1_FALSE])dnl
+_AM_SUBST_NOTMAKE([$1_TRUE])dnl
+_AM_SUBST_NOTMAKE([$1_FALSE])dnl
+if $2; then
+  $1_TRUE=
+  $1_FALSE='#'
+else
+  $1_TRUE='#'
+  $1_FALSE=
+fi
+AC_CONFIG_COMMANDS_PRE(
+[if test -z "${$1_TRUE}" && test -z "${$1_FALSE}"; then
+  AC_MSG_ERROR([[conditional "$1" was never defined.
+Usually this means the macro was only invoked conditionally.]])
+fi])])
+
+# Copyright (C) 1999, 2000, 2001, 2002, 2003, 2004, 2005, 2006
+# Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# serial 9
+
+# There are a few dirty hacks below to avoid letting `AC_PROG_CC' be
+# written in clear, in which case automake, when reading aclocal.m4,
+# will think it sees a *use*, and therefore will trigger all it's
+# C support machinery.  Also note that it means that autoscan, seeing
+# CC etc. in the Makefile, will ask for an AC_PROG_CC use...
+
+
+# _AM_DEPENDENCIES(NAME)
+# ----------------------
+# See how the compiler implements dependency checking.
+# NAME is "CC", "CXX", "GCJ", or "OBJC".
+# We try a few techniques and use that to set a single cache variable.
+#
+# We don't AC_REQUIRE the corresponding AC_PROG_CC since the latter was
+# modified to invoke _AM_DEPENDENCIES(CC); we would have a circular
+# dependency, and given that the user is not expected to run this macro,
+# just rely on AC_PROG_CC.
+AC_DEFUN([_AM_DEPENDENCIES],
+[AC_REQUIRE([AM_SET_DEPDIR])dnl
+AC_REQUIRE([AM_OUTPUT_DEPENDENCY_COMMANDS])dnl
+AC_REQUIRE([AM_MAKE_INCLUDE])dnl
+AC_REQUIRE([AM_DEP_TRACK])dnl
+
+ifelse([$1], CC,   [depcc="$CC"   am_compiler_list=],
+       [$1], CXX,  [depcc="$CXX"  am_compiler_list=],
+       [$1], OBJC, [depcc="$OBJC" am_compiler_list='gcc3 gcc'],
+       [$1], UPC,  [depcc="$UPC"  am_compiler_list=],
+       [$1], GCJ,  [depcc="$GCJ"  am_compiler_list='gcc3 gcc'],
+                   [depcc="$$1"   am_compiler_list=])
+
+AC_CACHE_CHECK([dependency style of $depcc],
+               [am_cv_$1_dependencies_compiler_type],
+[if test -z "$AMDEP_TRUE" && test -f "$am_depcomp"; then
+  # We make a subdir and do the tests there.  Otherwise we can end up
+  # making bogus files that we don't know about and never remove.  For
+  # instance it was reported that on HP-UX the gcc test will end up
+  # making a dummy file named `D' -- because `-MD' means `put the output
+  # in D'.
+  mkdir conftest.dir
+  # Copy depcomp to subdir because otherwise we won't find it if we're
+  # using a relative directory.
+  cp "$am_depcomp" conftest.dir
+  cd conftest.dir
+  # We will build objects and dependencies in a subdirectory because
+  # it helps to detect inapplicable dependency modes.  For instance
+  # both Tru64's cc and ICC support -MD to output dependencies as a
+  # side effect of compilation, but ICC will put the dependencies in
+  # the current directory while Tru64 will put them in the object
+  # directory.
+  mkdir sub
+
+  am_cv_$1_dependencies_compiler_type=none
+  if test "$am_compiler_list" = ""; then
+     am_compiler_list=`sed -n ['s/^#*\([a-zA-Z0-9]*\))$/\1/p'] < ./depcomp`
+  fi
+  for depmode in $am_compiler_list; do
+    # Setup a source with many dependencies, because some compilers
+    # like to wrap large dependency lists on column 80 (with \), and
+    # we should not choose a depcomp mode which is confused by this.
+    #
+    # We need to recreate these files for each test, as the compiler may
+    # overwrite some of them when testing with obscure command lines.
+    # This happens at least with the AIX C compiler.
+    : > sub/conftest.c
+    for i in 1 2 3 4 5 6; do
+      echo '#include "conftst'$i'.h"' >> sub/conftest.c
+      # Using `: > sub/conftst$i.h' creates only sub/conftst1.h with
+      # Solaris 8's {/usr,}/bin/sh.
+      touch sub/conftst$i.h
+    done
+    echo "${am__include} ${am__quote}sub/conftest.Po${am__quote}" > confmf
+
+    case $depmode in
+    nosideeffect)
+      # after this tag, mechanisms are not by side-effect, so they'll
+      # only be used when explicitly requested
+      if test "x$enable_dependency_tracking" = xyes; then
+       continue
+      else
+       break
+      fi
+      ;;
+    none) break ;;
+    esac
+    # We check with `-c' and `-o' for the sake of the "dashmstdout"
+    # mode.  It turns out that the SunPro C++ compiler does not properly
+    # handle `-M -o', and we need to detect this.
+    if depmode=$depmode \
+       source=sub/conftest.c object=sub/conftest.${OBJEXT-o} \
+       depfile=sub/conftest.Po tmpdepfile=sub/conftest.TPo \
+       $SHELL ./depcomp $depcc -c -o sub/conftest.${OBJEXT-o} sub/conftest.c \
+         >/dev/null 2>conftest.err &&
+       grep sub/conftst1.h sub/conftest.Po > /dev/null 2>&1 &&
+       grep sub/conftst6.h sub/conftest.Po > /dev/null 2>&1 &&
+       grep sub/conftest.${OBJEXT-o} sub/conftest.Po > /dev/null 2>&1 &&
+       ${MAKE-make} -s -f confmf > /dev/null 2>&1; then
+      # icc doesn't choke on unknown options, it will just issue warnings
+      # or remarks (even with -Werror).  So we grep stderr for any message
+      # that says an option was ignored or not supported.
+      # When given -MP, icc 7.0 and 7.1 complain thusly:
+      #   icc: Command line warning: ignoring option '-M'; no argument required
+      # The diagnosis changed in icc 8.0:
+      #   icc: Command line remark: option '-MP' not supported
+      if (grep 'ignoring option' conftest.err ||
+          grep 'not supported' conftest.err) >/dev/null 2>&1; then :; else
+        am_cv_$1_dependencies_compiler_type=$depmode
+        break
+      fi
+    fi
+  done
+
+  cd ..
+  rm -rf conftest.dir
+else
+  am_cv_$1_dependencies_compiler_type=none
+fi
+])
+AC_SUBST([$1DEPMODE], [depmode=$am_cv_$1_dependencies_compiler_type])
+AM_CONDITIONAL([am__fastdep$1], [
+  test "x$enable_dependency_tracking" != xno \
+  && test "$am_cv_$1_dependencies_compiler_type" = gcc3])
+])
+
+
+# AM_SET_DEPDIR
+# -------------
+# Choose a directory name for dependency files.
+# This macro is AC_REQUIREd in _AM_DEPENDENCIES
+AC_DEFUN([AM_SET_DEPDIR],
+[AC_REQUIRE([AM_SET_LEADING_DOT])dnl
+AC_SUBST([DEPDIR], ["${am__leading_dot}deps"])dnl
+])
+
+
+# AM_DEP_TRACK
+# ------------
+AC_DEFUN([AM_DEP_TRACK],
+[AC_ARG_ENABLE(dependency-tracking,
+[  --disable-dependency-tracking  speeds up one-time build
+  --enable-dependency-tracking   do not reject slow dependency extractors])
+if test "x$enable_dependency_tracking" != xno; then
+  am_depcomp="$ac_aux_dir/depcomp"
+  AMDEPBACKSLASH='\'
+fi
+AM_CONDITIONAL([AMDEP], [test "x$enable_dependency_tracking" != xno])
+AC_SUBST([AMDEPBACKSLASH])dnl
+_AM_SUBST_NOTMAKE([AMDEPBACKSLASH])dnl
+])
+
+# Generate code to set up dependency tracking.              -*- Autoconf -*-
+
+# Copyright (C) 1999, 2000, 2001, 2002, 2003, 2004, 2005, 2008
+# Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+#serial 5
+
+# _AM_OUTPUT_DEPENDENCY_COMMANDS
+# ------------------------------
+AC_DEFUN([_AM_OUTPUT_DEPENDENCY_COMMANDS],
+[{
+  # Autoconf 2.62 quotes --file arguments for eval, but not when files
+  # are listed without --file.  Let's play safe and only enable the eval
+  # if we detect the quoting.
+  case $CONFIG_FILES in
+  *\'*) eval set x "$CONFIG_FILES" ;;
+  *)   set x $CONFIG_FILES ;;
+  esac
+  shift
+  for mf
+  do
+    # Strip MF so we end up with the name of the file.
+    mf=`echo "$mf" | sed -e 's/:.*$//'`
+    # Check whether this is an Automake generated Makefile or not.
+    # We used to match only the files named `Makefile.in', but
+    # some people rename them; so instead we look at the file content.
+    # Grep'ing the first line is not enough: some people post-process
+    # each Makefile.in and add a new line on top of each file to say so.
+    # Grep'ing the whole file is not good either: AIX grep has a line
+    # limit of 2048, but all sed's we know have understand at least 4000.
+    if sed -n 's,^#.*generated by automake.*,X,p' "$mf" | grep X >/dev/null 2>&1; then
+      dirpart=`AS_DIRNAME("$mf")`
+    else
+      continue
+    fi
+    # Extract the definition of DEPDIR, am__include, and am__quote
+    # from the Makefile without running `make'.
+    DEPDIR=`sed -n 's/^DEPDIR = //p' < "$mf"`
+    test -z "$DEPDIR" && continue
+    am__include=`sed -n 's/^am__include = //p' < "$mf"`
+    test -z "am__include" && continue
+    am__quote=`sed -n 's/^am__quote = //p' < "$mf"`
+    # When using ansi2knr, U may be empty or an underscore; expand it
+    U=`sed -n 's/^U = //p' < "$mf"`
+    # Find all dependency output files, they are included files with
+    # $(DEPDIR) in their names.  We invoke sed twice because it is the
+    # simplest approach to changing $(DEPDIR) to its actual value in the
+    # expansion.
+    for file in `sed -n "
+      s/^$am__include $am__quote\(.*(DEPDIR).*\)$am__quote"'$/\1/p' <"$mf" | \
+        sed -e 's/\$(DEPDIR)/'"$DEPDIR"'/g' -e 's/\$U/'"$U"'/g'`; do
+      # Make sure the directory exists.
+      test -f "$dirpart/$file" && continue
+      fdir=`AS_DIRNAME(["$file"])`
+      AS_MKDIR_P([$dirpart/$fdir])
+      # echo "creating $dirpart/$file"
+      echo '# dummy' > "$dirpart/$file"
+    done
+  done
+}
+])# _AM_OUTPUT_DEPENDENCY_COMMANDS
+
+
+# AM_OUTPUT_DEPENDENCY_COMMANDS
+# -----------------------------
+# This macro should only be invoked once -- use via AC_REQUIRE.
+#
+# This code is only required when automatic dependency tracking
+# is enabled.  FIXME.  This creates each `.P' file that we will
+# need in order to bootstrap the dependency handling code.
+AC_DEFUN([AM_OUTPUT_DEPENDENCY_COMMANDS],
+[AC_CONFIG_COMMANDS([depfiles],
+     [test x"$AMDEP_TRUE" != x"" || _AM_OUTPUT_DEPENDENCY_COMMANDS],
+     [AMDEP_TRUE="$AMDEP_TRUE" ac_aux_dir="$ac_aux_dir"])
+])
+
+# Copyright (C) 1996, 1997, 2000, 2001, 2003, 2005
+# Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# serial 8
+
+# AM_CONFIG_HEADER is obsolete.  It has been replaced by AC_CONFIG_HEADERS.
+AU_DEFUN([AM_CONFIG_HEADER], [AC_CONFIG_HEADERS($@)])
+
+# Do all the work for Automake.                             -*- Autoconf -*-
+
+# Copyright (C) 1996, 1997, 1998, 1999, 2000, 2001, 2002, 2003, 2004,
+# 2005, 2006, 2008 Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# serial 13
+
+# This macro actually does too much.  Some checks are only needed if
+# your package does certain things.  But this isn't really a big deal.
+
+# AM_INIT_AUTOMAKE(PACKAGE, VERSION, [NO-DEFINE])
+# AM_INIT_AUTOMAKE([OPTIONS])
+# -----------------------------------------------
+# The call with PACKAGE and VERSION arguments is the old style
+# call (pre autoconf-2.50), which is being phased out.  PACKAGE
+# and VERSION should now be passed to AC_INIT and removed from
+# the call to AM_INIT_AUTOMAKE.
+# We support both call styles for the transition.  After
+# the next Automake release, Autoconf can make the AC_INIT
+# arguments mandatory, and then we can depend on a new Autoconf
+# release and drop the old call support.
+AC_DEFUN([AM_INIT_AUTOMAKE],
+[AC_PREREQ([2.60])dnl
+dnl Autoconf wants to disallow AM_ names.  We explicitly allow
+dnl the ones we care about.
+m4_pattern_allow([^AM_[A-Z]+FLAGS$])dnl
+AC_REQUIRE([AM_SET_CURRENT_AUTOMAKE_VERSION])dnl
+AC_REQUIRE([AC_PROG_INSTALL])dnl
+if test "`cd $srcdir && pwd`" != "`pwd`"; then
+  # Use -I$(srcdir) only when $(srcdir) != ., so that make's output
+  # is not polluted with repeated "-I."
+  AC_SUBST([am__isrc], [' -I$(srcdir)'])_AM_SUBST_NOTMAKE([am__isrc])dnl
+  # test to see if srcdir already configured
+  if test -f $srcdir/config.status; then
+    AC_MSG_ERROR([source directory already configured; run "make distclean" there first])
+  fi
+fi
+
+# test whether we have cygpath
+if test -z "$CYGPATH_W"; then
+  if (cygpath --version) >/dev/null 2>/dev/null; then
+    CYGPATH_W='cygpath -w'
+  else
+    CYGPATH_W=echo
+  fi
+fi
+AC_SUBST([CYGPATH_W])
+
+# Define the identity of the package.
+dnl Distinguish between old-style and new-style calls.
+m4_ifval([$2],
+[m4_ifval([$3], [_AM_SET_OPTION([no-define])])dnl
+ AC_SUBST([PACKAGE], [$1])dnl
+ AC_SUBST([VERSION], [$2])],
+[_AM_SET_OPTIONS([$1])dnl
+dnl Diagnose old-style AC_INIT with new-style AM_AUTOMAKE_INIT.
+m4_if(m4_ifdef([AC_PACKAGE_NAME], 1)m4_ifdef([AC_PACKAGE_VERSION], 1), 11,,
+  [m4_fatal([AC_INIT should be called with package and version arguments])])dnl
+ AC_SUBST([PACKAGE], ['AC_PACKAGE_TARNAME'])dnl
+ AC_SUBST([VERSION], ['AC_PACKAGE_VERSION'])])dnl
+
+_AM_IF_OPTION([no-define],,
+[AC_DEFINE_UNQUOTED(PACKAGE, "$PACKAGE", [Name of package])
+ AC_DEFINE_UNQUOTED(VERSION, "$VERSION", [Version number of package])])dnl
+
+# Some tools Automake needs.
+AC_REQUIRE([AM_SANITY_CHECK])dnl
+AC_REQUIRE([AC_ARG_PROGRAM])dnl
+AM_MISSING_PROG(ACLOCAL, aclocal-${am__api_version})
+AM_MISSING_PROG(AUTOCONF, autoconf)
+AM_MISSING_PROG(AUTOMAKE, automake-${am__api_version})
+AM_MISSING_PROG(AUTOHEADER, autoheader)
+AM_MISSING_PROG(MAKEINFO, makeinfo)
+AM_PROG_INSTALL_SH
+AM_PROG_INSTALL_STRIP
+AC_REQUIRE([AM_PROG_MKDIR_P])dnl
+# We need awk for the "check" target.  The system "awk" is bad on
+# some platforms.
+AC_REQUIRE([AC_PROG_AWK])dnl
+AC_REQUIRE([AC_PROG_MAKE_SET])dnl
+AC_REQUIRE([AM_SET_LEADING_DOT])dnl
+_AM_IF_OPTION([tar-ustar], [_AM_PROG_TAR([ustar])],
+              [_AM_IF_OPTION([tar-pax], [_AM_PROG_TAR([pax])],
+                            [_AM_PROG_TAR([v7])])])
+_AM_IF_OPTION([no-dependencies],,
+[AC_PROVIDE_IFELSE([AC_PROG_CC],
+                  [_AM_DEPENDENCIES(CC)],
+                  [define([AC_PROG_CC],
+                          defn([AC_PROG_CC])[_AM_DEPENDENCIES(CC)])])dnl
+AC_PROVIDE_IFELSE([AC_PROG_CXX],
+                  [_AM_DEPENDENCIES(CXX)],
+                  [define([AC_PROG_CXX],
+                          defn([AC_PROG_CXX])[_AM_DEPENDENCIES(CXX)])])dnl
+AC_PROVIDE_IFELSE([AC_PROG_OBJC],
+                  [_AM_DEPENDENCIES(OBJC)],
+                  [define([AC_PROG_OBJC],
+                          defn([AC_PROG_OBJC])[_AM_DEPENDENCIES(OBJC)])])dnl
+])
+])
+
+
+# When config.status generates a header, we must update the stamp-h file.
+# This file resides in the same directory as the config header
+# that is generated.  The stamp files are numbered to have different names.
+
+# Autoconf calls _AC_AM_CONFIG_HEADER_HOOK (when defined) in the
+# loop where config.status creates the headers, so we can generate
+# our stamp files there.
+AC_DEFUN([_AC_AM_CONFIG_HEADER_HOOK],
+[# Compute $1's index in $config_headers.
+_am_arg=$1
+_am_stamp_count=1
+for _am_header in $config_headers :; do
+  case $_am_header in
+    $_am_arg | $_am_arg:* )
+      break ;;
+    * )
+      _am_stamp_count=`expr $_am_stamp_count + 1` ;;
+  esac
+done
+echo "timestamp for $_am_arg" >`AS_DIRNAME(["$_am_arg"])`/stamp-h[]$_am_stamp_count])
+
+# Copyright (C) 2001, 2003, 2005  Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# AM_PROG_INSTALL_SH
+# ------------------
+# Define $install_sh.
+AC_DEFUN([AM_PROG_INSTALL_SH],
+[AC_REQUIRE([AM_AUX_DIR_EXPAND])dnl
+install_sh=${install_sh-"\$(SHELL) $am_aux_dir/install-sh"}
+AC_SUBST(install_sh)])
+
+# Copyright (C) 2003, 2005  Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# serial 2
+
+# Check whether the underlying file-system supports filenames
+# with a leading dot.  For instance MS-DOS doesn't.
+AC_DEFUN([AM_SET_LEADING_DOT],
+[rm -rf .tst 2>/dev/null
+mkdir .tst 2>/dev/null
+if test -d .tst; then
+  am__leading_dot=.
+else
+  am__leading_dot=_
+fi
+rmdir .tst 2>/dev/null
+AC_SUBST([am__leading_dot])])
+
+# Check to see how 'make' treats includes.                 -*- Autoconf -*-
+
+# Copyright (C) 2001, 2002, 2003, 2005  Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# serial 3
+
+# AM_MAKE_INCLUDE()
+# -----------------
+# Check to see how make treats includes.
+AC_DEFUN([AM_MAKE_INCLUDE],
+[am_make=${MAKE-make}
+cat > confinc << 'END'
+am__doit:
+       @echo done
+.PHONY: am__doit
+END
+# If we don't find an include directive, just comment out the code.
+AC_MSG_CHECKING([for style of include used by $am_make])
+am__include="#"
+am__quote=
+_am_result=none
+# First try GNU make style include.
+echo "include confinc" > confmf
+# We grep out `Entering directory' and `Leaving directory'
+# messages which can occur if `w' ends up in MAKEFLAGS.
+# In particular we don't look at `^make:' because GNU make might
+# be invoked under some other name (usually "gmake"), in which
+# case it prints its new name instead of `make'.
+if test "`$am_make -s -f confmf 2> /dev/null | grep -v 'ing directory'`" = "done"; then
+   am__include=include
+   am__quote=
+   _am_result=GNU
+fi
+# Now try BSD make style include.
+if test "$am__include" = "#"; then
+   echo '.include "confinc"' > confmf
+   if test "`$am_make -s -f confmf 2> /dev/null`" = "done"; then
+      am__include=.include
+      am__quote="\""
+      _am_result=BSD
+   fi
+fi
+AC_SUBST([am__include])
+AC_SUBST([am__quote])
+AC_MSG_RESULT([$_am_result])
+rm -f confinc confmf
+])
+
+# Fake the existence of programs that GNU maintainers use.  -*- Autoconf -*-
+
+# Copyright (C) 1997, 1999, 2000, 2001, 2003, 2004, 2005
+# Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# serial 5
+
+# AM_MISSING_PROG(NAME, PROGRAM)
+# ------------------------------
+AC_DEFUN([AM_MISSING_PROG],
+[AC_REQUIRE([AM_MISSING_HAS_RUN])
+$1=${$1-"${am_missing_run}$2"}
+AC_SUBST($1)])
+
+
+# AM_MISSING_HAS_RUN
+# ------------------
+# Define MISSING if not defined so far and test if it supports --run.
+# If it does, set am_missing_run to use it, otherwise, to nothing.
+AC_DEFUN([AM_MISSING_HAS_RUN],
+[AC_REQUIRE([AM_AUX_DIR_EXPAND])dnl
+AC_REQUIRE_AUX_FILE([missing])dnl
+test x"${MISSING+set}" = xset || MISSING="\${SHELL} $am_aux_dir/missing"
+# Use eval to expand $SHELL
+if eval "$MISSING --run true"; then
+  am_missing_run="$MISSING --run "
+else
+  am_missing_run=
+  AC_MSG_WARN([`missing' script is too old or missing])
+fi
+])
+
+# Copyright (C) 2003, 2004, 2005, 2006  Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# AM_PROG_MKDIR_P
+# ---------------
+# Check for `mkdir -p'.
+AC_DEFUN([AM_PROG_MKDIR_P],
+[AC_PREREQ([2.60])dnl
+AC_REQUIRE([AC_PROG_MKDIR_P])dnl
+dnl Automake 1.8 to 1.9.6 used to define mkdir_p.  We now use MKDIR_P,
+dnl while keeping a definition of mkdir_p for backward compatibility.
+dnl @MKDIR_P@ is magic: AC_OUTPUT adjusts its value for each Makefile.
+dnl However we cannot define mkdir_p as $(MKDIR_P) for the sake of
+dnl Makefile.ins that do not define MKDIR_P, so we do our own
+dnl adjustment using top_builddir (which is defined more often than
+dnl MKDIR_P).
+AC_SUBST([mkdir_p], ["$MKDIR_P"])dnl
+case $mkdir_p in
+  [[\\/$]]* | ?:[[\\/]]*) ;;
+  */*) mkdir_p="\$(top_builddir)/$mkdir_p" ;;
+esac
+])
+
+# Helper functions for option handling.                     -*- Autoconf -*-
+
+# Copyright (C) 2001, 2002, 2003, 2005, 2008  Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# serial 4
+
+# _AM_MANGLE_OPTION(NAME)
+# -----------------------
+AC_DEFUN([_AM_MANGLE_OPTION],
+[[_AM_OPTION_]m4_bpatsubst($1, [[^a-zA-Z0-9_]], [_])])
+
+# _AM_SET_OPTION(NAME)
+# ------------------------------
+# Set option NAME.  Presently that only means defining a flag for this option.
+AC_DEFUN([_AM_SET_OPTION],
+[m4_define(_AM_MANGLE_OPTION([$1]), 1)])
+
+# _AM_SET_OPTIONS(OPTIONS)
+# ----------------------------------
+# OPTIONS is a space-separated list of Automake options.
+AC_DEFUN([_AM_SET_OPTIONS],
+[m4_foreach_w([_AM_Option], [$1], [_AM_SET_OPTION(_AM_Option)])])
+
+# _AM_IF_OPTION(OPTION, IF-SET, [IF-NOT-SET])
+# -------------------------------------------
+# Execute IF-SET if OPTION is set, IF-NOT-SET otherwise.
+AC_DEFUN([_AM_IF_OPTION],
+[m4_ifset(_AM_MANGLE_OPTION([$1]), [$2], [$3])])
+
+# Check to make sure that the build environment is sane.    -*- Autoconf -*-
+
+# Copyright (C) 1996, 1997, 2000, 2001, 2003, 2005
+# Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# serial 4
+
+# AM_SANITY_CHECK
+# ---------------
+AC_DEFUN([AM_SANITY_CHECK],
+[AC_MSG_CHECKING([whether build environment is sane])
+# Just in case
+sleep 1
+echo timestamp > conftest.file
+# Do `set' in a subshell so we don't clobber the current shell's
+# arguments.  Must try -L first in case configure is actually a
+# symlink; some systems play weird games with the mod time of symlinks
+# (eg FreeBSD returns the mod time of the symlink's containing
+# directory).
+if (
+   set X `ls -Lt $srcdir/configure conftest.file 2> /dev/null`
+   if test "$[*]" = "X"; then
+      # -L didn't work.
+      set X `ls -t $srcdir/configure conftest.file`
+   fi
+   rm -f conftest.file
+   if test "$[*]" != "X $srcdir/configure conftest.file" \
+      && test "$[*]" != "X conftest.file $srcdir/configure"; then
+
+      # If neither matched, then we have a broken ls.  This can happen
+      # if, for instance, CONFIG_SHELL is bash and it inherits a
+      # broken ls alias from the environment.  This has actually
+      # happened.  Such a system could not be considered "sane".
+      AC_MSG_ERROR([ls -t appears to fail.  Make sure there is not a broken
+alias in your environment])
+   fi
+
+   test "$[2]" = conftest.file
+   )
+then
+   # Ok.
+   :
+else
+   AC_MSG_ERROR([newly created file is older than distributed files!
+Check your system clock])
+fi
+AC_MSG_RESULT(yes)])
+
+# Copyright (C) 2001, 2003, 2005  Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# AM_PROG_INSTALL_STRIP
+# ---------------------
+# One issue with vendor `install' (even GNU) is that you can't
+# specify the program used to strip binaries.  This is especially
+# annoying in cross-compiling environments, where the build's strip
+# is unlikely to handle the host's binaries.
+# Fortunately install-sh will honor a STRIPPROG variable, so we
+# always use install-sh in `make install-strip', and initialize
+# STRIPPROG with the value of the STRIP variable (set by the user).
+AC_DEFUN([AM_PROG_INSTALL_STRIP],
+[AC_REQUIRE([AM_PROG_INSTALL_SH])dnl
+# Installed binaries are usually stripped using `strip' when the user
+# run `make install-strip'.  However `strip' might not be the right
+# tool to use in cross-compilation environments, therefore Automake
+# will honor the `STRIP' environment variable to overrule this program.
+dnl Don't test for $cross_compiling = yes, because it might be `maybe'.
+if test "$cross_compiling" != no; then
+  AC_CHECK_TOOL([STRIP], [strip], :)
+fi
+INSTALL_STRIP_PROGRAM="\$(install_sh) -c -s"
+AC_SUBST([INSTALL_STRIP_PROGRAM])])
+
+# Copyright (C) 2006  Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# _AM_SUBST_NOTMAKE(VARIABLE)
+# ---------------------------
+# Prevent Automake from outputting VARIABLE = @VARIABLE@ in Makefile.in.
+# This macro is traced by Automake.
+AC_DEFUN([_AM_SUBST_NOTMAKE])
+
+# Check how to create a tarball.                            -*- Autoconf -*-
+
+# Copyright (C) 2004, 2005  Free Software Foundation, Inc.
+#
+# This file is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# serial 2
+
+# _AM_PROG_TAR(FORMAT)
+# --------------------
+# Check how to create a tarball in format FORMAT.
+# FORMAT should be one of `v7', `ustar', or `pax'.
+#
+# Substitute a variable $(am__tar) that is a command
+# writing to stdout a FORMAT-tarball containing the directory
+# $tardir.
+#     tardir=directory && $(am__tar) > result.tar
+#
+# Substitute a variable $(am__untar) that extract such
+# a tarball read from stdin.
+#     $(am__untar) < result.tar
+AC_DEFUN([_AM_PROG_TAR],
+[# Always define AMTAR for backward compatibility.
+AM_MISSING_PROG([AMTAR], [tar])
+m4_if([$1], [v7],
+     [am__tar='${AMTAR} chof - "$$tardir"'; am__untar='${AMTAR} xf -'],
+     [m4_case([$1], [ustar],, [pax],,
+              [m4_fatal([Unknown tar format])])
+AC_MSG_CHECKING([how to create a $1 tar archive])
+# Loop over all known methods to create a tar archive until one works.
+_am_tools='gnutar m4_if([$1], [ustar], [plaintar]) pax cpio none'
+_am_tools=${am_cv_prog_tar_$1-$_am_tools}
+# Do not fold the above two line into one, because Tru64 sh and
+# Solaris sh will not grok spaces in the rhs of `-'.
+for _am_tool in $_am_tools
+do
+  case $_am_tool in
+  gnutar)
+    for _am_tar in tar gnutar gtar;
+    do
+      AM_RUN_LOG([$_am_tar --version]) && break
+    done
+    am__tar="$_am_tar --format=m4_if([$1], [pax], [posix], [$1]) -chf - "'"$$tardir"'
+    am__tar_="$_am_tar --format=m4_if([$1], [pax], [posix], [$1]) -chf - "'"$tardir"'
+    am__untar="$_am_tar -xf -"
+    ;;
+  plaintar)
+    # Must skip GNU tar: if it does not support --format= it doesn't create
+    # ustar tarball either.
+    (tar --version) >/dev/null 2>&1 && continue
+    am__tar='tar chf - "$$tardir"'
+    am__tar_='tar chf - "$tardir"'
+    am__untar='tar xf -'
+    ;;
+  pax)
+    am__tar='pax -L -x $1 -w "$$tardir"'
+    am__tar_='pax -L -x $1 -w "$tardir"'
+    am__untar='pax -r'
+    ;;
+  cpio)
+    am__tar='find "$$tardir" -print | cpio -o -H $1 -L'
+    am__tar_='find "$tardir" -print | cpio -o -H $1 -L'
+    am__untar='cpio -i -H $1 -d'
+    ;;
+  none)
+    am__tar=false
+    am__tar_=false
+    am__untar=false
+    ;;
+  esac
+
+  # If the value was cached, stop now.  We just wanted to have am__tar
+  # and am__untar set.
+  test -n "${am_cv_prog_tar_$1}" && break
+
+  # tar/untar a dummy directory, and stop if the command works
+  rm -rf conftest.dir
+  mkdir conftest.dir
+  echo GrepMe > conftest.dir/file
+  AM_RUN_LOG([tardir=conftest.dir && eval $am__tar_ >conftest.tar])
+  rm -rf conftest.dir
+  if test -s conftest.tar; then
+    AM_RUN_LOG([$am__untar <conftest.tar])
+    grep GrepMe conftest.dir/file >/dev/null 2>&1 && break
+  fi
+done
+rm -rf conftest.dir
+
+AC_CACHE_VAL([am_cv_prog_tar_$1], [am_cv_prog_tar_$1=$_am_tool])
+AC_MSG_RESULT([$am_cv_prog_tar_$1])])
+AC_SUBST([am__tar])
+AC_SUBST([am__untar])
+]) # _AM_PROG_TAR
+
+m4_include([config/grc_build.m4])
+m4_include([config/grc_usrp2_firmware.m4])
+m4_include([config/grc_usrp2_stub.m4])
diff --git a/usrp2/firmware/apps/Makefile.in b/usrp2/firmware/apps/Makefile.in
new file mode 100644 (file)
index 0000000..ee8c5b4
--- /dev/null
@@ -0,0 +1,796 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2007,2008 Free Software Foundation, Inc.
+#
+# This program is free software: you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation, either version 3 of the License, or
+# (at your option) any later version.
+#
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+#
+# You should have received a copy of the GNU General Public License
+# along with this program.  If not, see <http://www.gnu.org/licenses/>.
+#
+
+# -*- Makefile -*-
+#
+# Copyright 2007 Free Software Foundation, Inc.
+#
+# This program is free software: you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation, either version 3 of the License, or
+# (at your option) any later version.
+#
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+#
+# You should have received a copy of the GNU General Public License
+# along with this program.  If not, see <http://www.gnu.org/licenses/>.
+#
+
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+DIST_COMMON = $(noinst_HEADERS) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(top_srcdir)/Makefile.common
+noinst_PROGRAMS = blink_leds$(EXEEXT) blink_leds2$(EXEEXT) \
+       buf_ram_test$(EXEEXT) burn_dbsrx_eeprom$(EXEEXT) \
+       can_i_sub$(EXEEXT) echo$(EXEEXT) eth_serdes$(EXEEXT) \
+       gen_eth_packets$(EXEEXT) hello$(EXEEXT) ibs_rx_test$(EXEEXT) \
+       ibs_tx_test$(EXEEXT) rcv_eth_packets$(EXEEXT) \
+       read_dbids$(EXEEXT) set_hw_rev$(EXEEXT) test1$(EXEEXT) \
+       test_db_spi$(EXEEXT) test_i2c$(EXEEXT) test_sd$(EXEEXT) \
+       test_ram$(EXEEXT) test_phy_comm$(EXEEXT) test_lsadc$(EXEEXT) \
+       test_lsdac$(EXEEXT) timer_test$(EXEEXT) tx_standalone$(EXEEXT) \
+       txrx$(EXEEXT) factory_test$(EXEEXT) burnrev30$(EXEEXT) \
+       burnrev31$(EXEEXT) burnrev40$(EXEEXT) serdes_txrx$(EXEEXT) \
+       sd_gentest$(EXEEXT) sd_bounce$(EXEEXT) mimo_tx$(EXEEXT) \
+       mimo_tx_slave$(EXEEXT)
+subdir = apps
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_usrp2_firmware.m4 \
+       $(top_srcdir)/config/grc_usrp2_stub.m4 \
+       $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+PROGRAMS = $(noinst_PROGRAMS)
+blink_leds_SOURCES = blink_leds.c
+blink_leds_OBJECTS = blink_leds.$(OBJEXT)
+blink_leds_LDADD = $(LDADD)
+blink_leds_DEPENDENCIES = ../lib/libu2fw.a
+blink_leds2_SOURCES = blink_leds2.c
+blink_leds2_OBJECTS = blink_leds2.$(OBJEXT)
+blink_leds2_LDADD = $(LDADD)
+blink_leds2_DEPENDENCIES = ../lib/libu2fw.a
+buf_ram_test_SOURCES = buf_ram_test.c
+buf_ram_test_OBJECTS = buf_ram_test.$(OBJEXT)
+buf_ram_test_LDADD = $(LDADD)
+buf_ram_test_DEPENDENCIES = ../lib/libu2fw.a
+burn_dbsrx_eeprom_SOURCES = burn_dbsrx_eeprom.c
+burn_dbsrx_eeprom_OBJECTS = burn_dbsrx_eeprom.$(OBJEXT)
+burn_dbsrx_eeprom_LDADD = $(LDADD)
+burn_dbsrx_eeprom_DEPENDENCIES = ../lib/libu2fw.a
+burnrev30_SOURCES = burnrev30.c
+burnrev30_OBJECTS = burnrev30.$(OBJEXT)
+burnrev30_LDADD = $(LDADD)
+burnrev30_DEPENDENCIES = ../lib/libu2fw.a
+burnrev31_SOURCES = burnrev31.c
+burnrev31_OBJECTS = burnrev31.$(OBJEXT)
+burnrev31_LDADD = $(LDADD)
+burnrev31_DEPENDENCIES = ../lib/libu2fw.a
+burnrev40_SOURCES = burnrev40.c
+burnrev40_OBJECTS = burnrev40.$(OBJEXT)
+burnrev40_LDADD = $(LDADD)
+burnrev40_DEPENDENCIES = ../lib/libu2fw.a
+can_i_sub_SOURCES = can_i_sub.c
+can_i_sub_OBJECTS = can_i_sub.$(OBJEXT)
+can_i_sub_LDADD = $(LDADD)
+can_i_sub_DEPENDENCIES = ../lib/libu2fw.a
+echo_SOURCES = echo.c
+echo_OBJECTS = echo.$(OBJEXT)
+echo_LDADD = $(LDADD)
+echo_DEPENDENCIES = ../lib/libu2fw.a
+am_eth_serdes_OBJECTS = eth_serdes.$(OBJEXT) app_passthru_v2.$(OBJEXT)
+eth_serdes_OBJECTS = $(am_eth_serdes_OBJECTS)
+eth_serdes_LDADD = $(LDADD)
+eth_serdes_DEPENDENCIES = ../lib/libu2fw.a
+am_factory_test_OBJECTS = factory_test.$(OBJEXT) \
+       app_common_v2.$(OBJEXT)
+factory_test_OBJECTS = $(am_factory_test_OBJECTS)
+factory_test_LDADD = $(LDADD)
+factory_test_DEPENDENCIES = ../lib/libu2fw.a
+gen_eth_packets_SOURCES = gen_eth_packets.c
+gen_eth_packets_OBJECTS = gen_eth_packets.$(OBJEXT)
+gen_eth_packets_LDADD = $(LDADD)
+gen_eth_packets_DEPENDENCIES = ../lib/libu2fw.a
+hello_SOURCES = hello.c
+hello_OBJECTS = hello.$(OBJEXT)
+hello_LDADD = $(LDADD)
+hello_DEPENDENCIES = ../lib/libu2fw.a
+ibs_rx_test_SOURCES = ibs_rx_test.c
+ibs_rx_test_OBJECTS = ibs_rx_test.$(OBJEXT)
+ibs_rx_test_LDADD = $(LDADD)
+ibs_rx_test_DEPENDENCIES = ../lib/libu2fw.a
+ibs_tx_test_SOURCES = ibs_tx_test.c
+ibs_tx_test_OBJECTS = ibs_tx_test.$(OBJEXT)
+ibs_tx_test_LDADD = $(LDADD)
+ibs_tx_test_DEPENDENCIES = ../lib/libu2fw.a
+am_mimo_tx_OBJECTS = mimo_tx.$(OBJEXT) mimo_app_common_v2.$(OBJEXT)
+mimo_tx_OBJECTS = $(am_mimo_tx_OBJECTS)
+mimo_tx_LDADD = $(LDADD)
+mimo_tx_DEPENDENCIES = ../lib/libu2fw.a
+am_mimo_tx_slave_OBJECTS = mimo_tx_slave.$(OBJEXT) \
+       app_common_v2.$(OBJEXT)
+mimo_tx_slave_OBJECTS = $(am_mimo_tx_slave_OBJECTS)
+mimo_tx_slave_LDADD = $(LDADD)
+mimo_tx_slave_DEPENDENCIES = ../lib/libu2fw.a
+rcv_eth_packets_SOURCES = rcv_eth_packets.c
+rcv_eth_packets_OBJECTS = rcv_eth_packets.$(OBJEXT)
+rcv_eth_packets_LDADD = $(LDADD)
+rcv_eth_packets_DEPENDENCIES = ../lib/libu2fw.a
+read_dbids_SOURCES = read_dbids.c
+read_dbids_OBJECTS = read_dbids.$(OBJEXT)
+read_dbids_LDADD = $(LDADD)
+read_dbids_DEPENDENCIES = ../lib/libu2fw.a
+sd_bounce_SOURCES = sd_bounce.c
+sd_bounce_OBJECTS = sd_bounce.$(OBJEXT)
+sd_bounce_LDADD = $(LDADD)
+sd_bounce_DEPENDENCIES = ../lib/libu2fw.a
+sd_gentest_SOURCES = sd_gentest.c
+sd_gentest_OBJECTS = sd_gentest.$(OBJEXT)
+sd_gentest_LDADD = $(LDADD)
+sd_gentest_DEPENDENCIES = ../lib/libu2fw.a
+am_serdes_txrx_OBJECTS = serdes_txrx.$(OBJEXT) app_common_v2.$(OBJEXT)
+serdes_txrx_OBJECTS = $(am_serdes_txrx_OBJECTS)
+serdes_txrx_LDADD = $(LDADD)
+serdes_txrx_DEPENDENCIES = ../lib/libu2fw.a
+set_hw_rev_SOURCES = set_hw_rev.c
+set_hw_rev_OBJECTS = set_hw_rev.$(OBJEXT)
+set_hw_rev_LDADD = $(LDADD)
+set_hw_rev_DEPENDENCIES = ../lib/libu2fw.a
+test1_SOURCES = test1.c
+test1_OBJECTS = test1.$(OBJEXT)
+test1_LDADD = $(LDADD)
+test1_DEPENDENCIES = ../lib/libu2fw.a
+test_db_spi_SOURCES = test_db_spi.c
+test_db_spi_OBJECTS = test_db_spi.$(OBJEXT)
+test_db_spi_LDADD = $(LDADD)
+test_db_spi_DEPENDENCIES = ../lib/libu2fw.a
+test_i2c_SOURCES = test_i2c.c
+test_i2c_OBJECTS = test_i2c.$(OBJEXT)
+test_i2c_LDADD = $(LDADD)
+test_i2c_DEPENDENCIES = ../lib/libu2fw.a
+test_lsadc_SOURCES = test_lsadc.c
+test_lsadc_OBJECTS = test_lsadc.$(OBJEXT)
+test_lsadc_LDADD = $(LDADD)
+test_lsadc_DEPENDENCIES = ../lib/libu2fw.a
+test_lsdac_SOURCES = test_lsdac.c
+test_lsdac_OBJECTS = test_lsdac.$(OBJEXT)
+test_lsdac_LDADD = $(LDADD)
+test_lsdac_DEPENDENCIES = ../lib/libu2fw.a
+test_phy_comm_SOURCES = test_phy_comm.c
+test_phy_comm_OBJECTS = test_phy_comm.$(OBJEXT)
+test_phy_comm_LDADD = $(LDADD)
+test_phy_comm_DEPENDENCIES = ../lib/libu2fw.a
+test_ram_SOURCES = test_ram.c
+test_ram_OBJECTS = test_ram.$(OBJEXT)
+test_ram_LDADD = $(LDADD)
+test_ram_DEPENDENCIES = ../lib/libu2fw.a
+test_sd_SOURCES = test_sd.c
+test_sd_OBJECTS = test_sd.$(OBJEXT)
+test_sd_LDADD = $(LDADD)
+test_sd_DEPENDENCIES = ../lib/libu2fw.a
+timer_test_SOURCES = timer_test.c
+timer_test_OBJECTS = timer_test.$(OBJEXT)
+timer_test_LDADD = $(LDADD)
+timer_test_DEPENDENCIES = ../lib/libu2fw.a
+tx_standalone_SOURCES = tx_standalone.c
+tx_standalone_OBJECTS = tx_standalone.$(OBJEXT)
+tx_standalone_LDADD = $(LDADD)
+tx_standalone_DEPENDENCIES = ../lib/libu2fw.a
+am_txrx_OBJECTS = txrx.$(OBJEXT) app_common_v2.$(OBJEXT)
+txrx_OBJECTS = $(am_txrx_OBJECTS)
+txrx_LDADD = $(LDADD)
+txrx_DEPENDENCIES = ../lib/libu2fw.a
+DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
+depcomp = $(SHELL) $(top_srcdir)/depcomp
+am__depfiles_maybe = depfiles
+COMPILE = $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) \
+       $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
+CCLD = $(CC)
+LINK = $(CCLD) $(AM_CFLAGS) $(CFLAGS) $(AM_LDFLAGS) $(LDFLAGS) -o $@
+SOURCES = blink_leds.c blink_leds2.c buf_ram_test.c \
+       burn_dbsrx_eeprom.c burnrev30.c burnrev31.c burnrev40.c \
+       can_i_sub.c echo.c $(eth_serdes_SOURCES) \
+       $(factory_test_SOURCES) gen_eth_packets.c hello.c \
+       ibs_rx_test.c ibs_tx_test.c $(mimo_tx_SOURCES) \
+       $(mimo_tx_slave_SOURCES) rcv_eth_packets.c read_dbids.c \
+       sd_bounce.c sd_gentest.c $(serdes_txrx_SOURCES) set_hw_rev.c \
+       test1.c test_db_spi.c test_i2c.c test_lsadc.c test_lsdac.c \
+       test_phy_comm.c test_ram.c test_sd.c timer_test.c \
+       tx_standalone.c $(txrx_SOURCES)
+DIST_SOURCES = blink_leds.c blink_leds2.c buf_ram_test.c \
+       burn_dbsrx_eeprom.c burnrev30.c burnrev31.c burnrev40.c \
+       can_i_sub.c echo.c $(eth_serdes_SOURCES) \
+       $(factory_test_SOURCES) gen_eth_packets.c hello.c \
+       ibs_rx_test.c ibs_tx_test.c $(mimo_tx_SOURCES) \
+       $(mimo_tx_slave_SOURCES) rcv_eth_packets.c read_dbids.c \
+       sd_bounce.c sd_gentest.c $(serdes_txrx_SOURCES) set_hw_rev.c \
+       test1.c test_db_spi.c test_i2c.c test_lsadc.c test_lsdac.c \
+       test_phy_comm.c test_ram.c test_sd.c timer_test.c \
+       tx_standalone.c $(txrx_SOURCES)
+DATA = $(noinst_DATA)
+HEADERS = $(noinst_HEADERS)
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+AMTAR = @AMTAR@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CYGPATH_W = @CYGPATH_W@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+GREP = @GREP@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+LDFLAGS = @LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MB_GCC = @MB_GCC@
+MKDIR_P = @MKDIR_P@
+OBJEXT = @OBJEXT@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+RANLIB = @RANLIB@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+STRIP = @STRIP@
+VERSION = @VERSION@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+bindir = @bindir@
+build_alias = @build_alias@
+build_dirs = @build_dirs@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+dvidir = @dvidir@
+exec_prefix = @exec_prefix@
+host_alias = @host_alias@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+mandir = @mandir@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+pdfdir = @pdfdir@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+sysconfdir = @sysconfdir@
+target_alias = @target_alias@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp2_firmware_INCLUDEDIR = @usrp2_firmware_INCLUDEDIR@
+usrp2_firmware_INCLUDES = @usrp2_firmware_INCLUDES@
+usrp2_firmware_LA = @usrp2_firmware_LA@
+usrp2_firmware_LDFLAG = @usrp2_firmware_LDFLAG@
+usrp2_firmware_LIBDIRPATH = @usrp2_firmware_LIBDIRPATH@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+STD_INCLUDES = -I$(top_srcdir)/include -I$(top_srcdir)/lib
+
+#HAL_IO = -DHAL_IO_USES_DBOARD_PINS 
+HAL_IO = -DHAL_IO_USES_UART 
+AM_CPPFLAGS = $(HAL_IO) $(STD_INCLUDES)
+STD_CFLAGS = --std=gnu99 -Wall -Werror-implicit-function-declaration -mxl-soft-div -msoft-float
+AM_CFLAGS = $(STD_CFLAGS) -mxl-soft-mul -mxl-barrel-shift
+#AM_CFLAGS = $(STD_CFLAGS) -mxl-soft-mul -mxl-barrel-shift -mxl-gp-opt -G 16384
+
+#LINKER_SCRIPT = $(top_srcdir)/lib/microblaze.ld
+#AM_LDFLAGS = -Wl,-T,$(LINKER_SCRIPT) -Wl,-defsym -Wl,_STACK_SIZE=1024
+#AM_LDFLAGS = -Wl,-T,$(LINKER_SCRIPT) -Wl,-Map -Wl,$@.map
+#AM_LDFLAGS = -Wl,-T,$(LINKER_SCRIPT)
+AM_LDFLAGS = -Wl,-Map -Wl,$@.map -Wl,-defsym -Wl,_STACK_SIZE=3072
+BINS = $(noinst_PROGRAMS:=.bin)
+ROMS = $(noinst_PROGRAMS:=.rom)
+DUMPS = $(noinst_PROGRAMS:=.dump)
+noinst_DATA = $(BINS) $(ROMS) $(DUMPS)
+CLEANFILES = $(ROMS) $(DUMPS) $(BINS)
+LDADD = ../lib/libu2fw.a
+
+# tx_drop_SOURCES = tx_drop.c app_common.c
+# tx_drop_rate_limited_SOURCES = tx_drop_rate_limited.c app_common.c
+# tx_drop2_SOURCES = tx_drop2.c app_common.c
+txrx_SOURCES = txrx.c app_common_v2.c
+factory_test_SOURCES = factory_test.c app_common_v2.c
+eth_serdes_SOURCES = eth_serdes.c app_passthru_v2.c
+serdes_txrx_SOURCES = serdes_txrx.c app_common_v2.c
+mimo_tx_SOURCES = mimo_tx.c mimo_app_common_v2.c
+mimo_tx_slave_SOURCES = mimo_tx_slave.c app_common_v2.c
+noinst_HEADERS = \
+        app_common_v2.h \
+        app_passthru_v2.h \
+        mimo_app_common_v2.h
+
+MOSTLYCLEANFILES = *.map
+all: all-am
+
+.SUFFIXES:
+.SUFFIXES: .c .o .obj
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  apps/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  apps/Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+clean-noinstPROGRAMS:
+       -test -z "$(noinst_PROGRAMS)" || rm -f $(noinst_PROGRAMS)
+blink_leds$(EXEEXT): $(blink_leds_OBJECTS) $(blink_leds_DEPENDENCIES) 
+       @rm -f blink_leds$(EXEEXT)
+       $(LINK) $(blink_leds_OBJECTS) $(blink_leds_LDADD) $(LIBS)
+blink_leds2$(EXEEXT): $(blink_leds2_OBJECTS) $(blink_leds2_DEPENDENCIES) 
+       @rm -f blink_leds2$(EXEEXT)
+       $(LINK) $(blink_leds2_OBJECTS) $(blink_leds2_LDADD) $(LIBS)
+buf_ram_test$(EXEEXT): $(buf_ram_test_OBJECTS) $(buf_ram_test_DEPENDENCIES) 
+       @rm -f buf_ram_test$(EXEEXT)
+       $(LINK) $(buf_ram_test_OBJECTS) $(buf_ram_test_LDADD) $(LIBS)
+burn_dbsrx_eeprom$(EXEEXT): $(burn_dbsrx_eeprom_OBJECTS) $(burn_dbsrx_eeprom_DEPENDENCIES) 
+       @rm -f burn_dbsrx_eeprom$(EXEEXT)
+       $(LINK) $(burn_dbsrx_eeprom_OBJECTS) $(burn_dbsrx_eeprom_LDADD) $(LIBS)
+burnrev30$(EXEEXT): $(burnrev30_OBJECTS) $(burnrev30_DEPENDENCIES) 
+       @rm -f burnrev30$(EXEEXT)
+       $(LINK) $(burnrev30_OBJECTS) $(burnrev30_LDADD) $(LIBS)
+burnrev31$(EXEEXT): $(burnrev31_OBJECTS) $(burnrev31_DEPENDENCIES) 
+       @rm -f burnrev31$(EXEEXT)
+       $(LINK) $(burnrev31_OBJECTS) $(burnrev31_LDADD) $(LIBS)
+burnrev40$(EXEEXT): $(burnrev40_OBJECTS) $(burnrev40_DEPENDENCIES) 
+       @rm -f burnrev40$(EXEEXT)
+       $(LINK) $(burnrev40_OBJECTS) $(burnrev40_LDADD) $(LIBS)
+can_i_sub$(EXEEXT): $(can_i_sub_OBJECTS) $(can_i_sub_DEPENDENCIES) 
+       @rm -f can_i_sub$(EXEEXT)
+       $(LINK) $(can_i_sub_OBJECTS) $(can_i_sub_LDADD) $(LIBS)
+echo$(EXEEXT): $(echo_OBJECTS) $(echo_DEPENDENCIES) 
+       @rm -f echo$(EXEEXT)
+       $(LINK) $(echo_OBJECTS) $(echo_LDADD) $(LIBS)
+eth_serdes$(EXEEXT): $(eth_serdes_OBJECTS) $(eth_serdes_DEPENDENCIES) 
+       @rm -f eth_serdes$(EXEEXT)
+       $(LINK) $(eth_serdes_OBJECTS) $(eth_serdes_LDADD) $(LIBS)
+factory_test$(EXEEXT): $(factory_test_OBJECTS) $(factory_test_DEPENDENCIES) 
+       @rm -f factory_test$(EXEEXT)
+       $(LINK) $(factory_test_OBJECTS) $(factory_test_LDADD) $(LIBS)
+gen_eth_packets$(EXEEXT): $(gen_eth_packets_OBJECTS) $(gen_eth_packets_DEPENDENCIES) 
+       @rm -f gen_eth_packets$(EXEEXT)
+       $(LINK) $(gen_eth_packets_OBJECTS) $(gen_eth_packets_LDADD) $(LIBS)
+hello$(EXEEXT): $(hello_OBJECTS) $(hello_DEPENDENCIES) 
+       @rm -f hello$(EXEEXT)
+       $(LINK) $(hello_OBJECTS) $(hello_LDADD) $(LIBS)
+ibs_rx_test$(EXEEXT): $(ibs_rx_test_OBJECTS) $(ibs_rx_test_DEPENDENCIES) 
+       @rm -f ibs_rx_test$(EXEEXT)
+       $(LINK) $(ibs_rx_test_OBJECTS) $(ibs_rx_test_LDADD) $(LIBS)
+ibs_tx_test$(EXEEXT): $(ibs_tx_test_OBJECTS) $(ibs_tx_test_DEPENDENCIES) 
+       @rm -f ibs_tx_test$(EXEEXT)
+       $(LINK) $(ibs_tx_test_OBJECTS) $(ibs_tx_test_LDADD) $(LIBS)
+mimo_tx$(EXEEXT): $(mimo_tx_OBJECTS) $(mimo_tx_DEPENDENCIES) 
+       @rm -f mimo_tx$(EXEEXT)
+       $(LINK) $(mimo_tx_OBJECTS) $(mimo_tx_LDADD) $(LIBS)
+mimo_tx_slave$(EXEEXT): $(mimo_tx_slave_OBJECTS) $(mimo_tx_slave_DEPENDENCIES) 
+       @rm -f mimo_tx_slave$(EXEEXT)
+       $(LINK) $(mimo_tx_slave_OBJECTS) $(mimo_tx_slave_LDADD) $(LIBS)
+rcv_eth_packets$(EXEEXT): $(rcv_eth_packets_OBJECTS) $(rcv_eth_packets_DEPENDENCIES) 
+       @rm -f rcv_eth_packets$(EXEEXT)
+       $(LINK) $(rcv_eth_packets_OBJECTS) $(rcv_eth_packets_LDADD) $(LIBS)
+read_dbids$(EXEEXT): $(read_dbids_OBJECTS) $(read_dbids_DEPENDENCIES) 
+       @rm -f read_dbids$(EXEEXT)
+       $(LINK) $(read_dbids_OBJECTS) $(read_dbids_LDADD) $(LIBS)
+sd_bounce$(EXEEXT): $(sd_bounce_OBJECTS) $(sd_bounce_DEPENDENCIES) 
+       @rm -f sd_bounce$(EXEEXT)
+       $(LINK) $(sd_bounce_OBJECTS) $(sd_bounce_LDADD) $(LIBS)
+sd_gentest$(EXEEXT): $(sd_gentest_OBJECTS) $(sd_gentest_DEPENDENCIES) 
+       @rm -f sd_gentest$(EXEEXT)
+       $(LINK) $(sd_gentest_OBJECTS) $(sd_gentest_LDADD) $(LIBS)
+serdes_txrx$(EXEEXT): $(serdes_txrx_OBJECTS) $(serdes_txrx_DEPENDENCIES) 
+       @rm -f serdes_txrx$(EXEEXT)
+       $(LINK) $(serdes_txrx_OBJECTS) $(serdes_txrx_LDADD) $(LIBS)
+set_hw_rev$(EXEEXT): $(set_hw_rev_OBJECTS) $(set_hw_rev_DEPENDENCIES) 
+       @rm -f set_hw_rev$(EXEEXT)
+       $(LINK) $(set_hw_rev_OBJECTS) $(set_hw_rev_LDADD) $(LIBS)
+test1$(EXEEXT): $(test1_OBJECTS) $(test1_DEPENDENCIES) 
+       @rm -f test1$(EXEEXT)
+       $(LINK) $(test1_OBJECTS) $(test1_LDADD) $(LIBS)
+test_db_spi$(EXEEXT): $(test_db_spi_OBJECTS) $(test_db_spi_DEPENDENCIES) 
+       @rm -f test_db_spi$(EXEEXT)
+       $(LINK) $(test_db_spi_OBJECTS) $(test_db_spi_LDADD) $(LIBS)
+test_i2c$(EXEEXT): $(test_i2c_OBJECTS) $(test_i2c_DEPENDENCIES) 
+       @rm -f test_i2c$(EXEEXT)
+       $(LINK) $(test_i2c_OBJECTS) $(test_i2c_LDADD) $(LIBS)
+test_lsadc$(EXEEXT): $(test_lsadc_OBJECTS) $(test_lsadc_DEPENDENCIES) 
+       @rm -f test_lsadc$(EXEEXT)
+       $(LINK) $(test_lsadc_OBJECTS) $(test_lsadc_LDADD) $(LIBS)
+test_lsdac$(EXEEXT): $(test_lsdac_OBJECTS) $(test_lsdac_DEPENDENCIES) 
+       @rm -f test_lsdac$(EXEEXT)
+       $(LINK) $(test_lsdac_OBJECTS) $(test_lsdac_LDADD) $(LIBS)
+test_phy_comm$(EXEEXT): $(test_phy_comm_OBJECTS) $(test_phy_comm_DEPENDENCIES) 
+       @rm -f test_phy_comm$(EXEEXT)
+       $(LINK) $(test_phy_comm_OBJECTS) $(test_phy_comm_LDADD) $(LIBS)
+test_ram$(EXEEXT): $(test_ram_OBJECTS) $(test_ram_DEPENDENCIES) 
+       @rm -f test_ram$(EXEEXT)
+       $(LINK) $(test_ram_OBJECTS) $(test_ram_LDADD) $(LIBS)
+test_sd$(EXEEXT): $(test_sd_OBJECTS) $(test_sd_DEPENDENCIES) 
+       @rm -f test_sd$(EXEEXT)
+       $(LINK) $(test_sd_OBJECTS) $(test_sd_LDADD) $(LIBS)
+timer_test$(EXEEXT): $(timer_test_OBJECTS) $(timer_test_DEPENDENCIES) 
+       @rm -f timer_test$(EXEEXT)
+       $(LINK) $(timer_test_OBJECTS) $(timer_test_LDADD) $(LIBS)
+tx_standalone$(EXEEXT): $(tx_standalone_OBJECTS) $(tx_standalone_DEPENDENCIES) 
+       @rm -f tx_standalone$(EXEEXT)
+       $(LINK) $(tx_standalone_OBJECTS) $(tx_standalone_LDADD) $(LIBS)
+txrx$(EXEEXT): $(txrx_OBJECTS) $(txrx_DEPENDENCIES) 
+       @rm -f txrx$(EXEEXT)
+       $(LINK) $(txrx_OBJECTS) $(txrx_LDADD) $(LIBS)
+
+mostlyclean-compile:
+       -rm -f *.$(OBJEXT)
+
+distclean-compile:
+       -rm -f *.tab.c
+
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/app_common_v2.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/app_passthru_v2.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/blink_leds.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/blink_leds2.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/buf_ram_test.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/burn_dbsrx_eeprom.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/burnrev30.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/burnrev31.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/burnrev40.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/can_i_sub.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/echo.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/eth_serdes.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/factory_test.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gen_eth_packets.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/hello.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/ibs_rx_test.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/ibs_tx_test.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/mimo_app_common_v2.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/mimo_tx.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/mimo_tx_slave.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/rcv_eth_packets.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/read_dbids.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/sd_bounce.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/sd_gentest.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/serdes_txrx.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/set_hw_rev.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/test1.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/test_db_spi.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/test_i2c.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/test_lsadc.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/test_lsdac.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/test_phy_comm.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/test_ram.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/test_sd.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/timer_test.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/tx_standalone.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/txrx.Po@am__quote@
+
+.c.o:
+@am__fastdepCC_TRUE@   $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(COMPILE) -c $<
+
+.c.obj:
+@am__fastdepCC_TRUE@   $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(COMPILE) -c `$(CYGPATH_W) '$<'`
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+check-am: all-am
+check: check-am
+all-am: Makefile $(PROGRAMS) $(DATA) $(HEADERS)
+installdirs:
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+       -test -z "$(CLEANFILES)" || rm -f $(CLEANFILES)
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-noinstPROGRAMS mostlyclean-am
+
+distclean: distclean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+distclean-am: clean-am distclean-compile distclean-generic \
+       distclean-tags
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-compile mostlyclean-generic
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: install-am install-strip
+
+.PHONY: CTAGS GTAGS all all-am check check-am clean clean-generic \
+       clean-noinstPROGRAMS ctags distclean distclean-compile \
+       distclean-generic distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-compile \
+       mostlyclean-generic pdf pdf-am ps ps-am tags uninstall \
+       uninstall-am
+
+#AM_LDFLAGS = -Wl,-defsym -Wl,_STACK_SIZE=2048
+
+%.bin : %
+       mb-objcopy -O binary $< $@
+
+%.dump : %
+       mb-objdump -DSC $< > $@
+
+%.rom : %.bin
+       hexdump -v -e'1/1 "%.2X\n"' $< > $@
+
+.PRECIOUS : %.bin
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/usrp2/firmware/config.h.in b/usrp2/firmware/config.h.in
new file mode 100644 (file)
index 0000000..3559d07
--- /dev/null
@@ -0,0 +1,76 @@
+/* config.h.in.  Generated from configure.ac by autoheader.  */
+
+/* Define if building universal (internal helper macro) */
+#undef AC_APPLE_UNIVERSAL_BUILD
+
+/* Define to 1 if you have the <arpa/inet.h> header file. */
+#undef HAVE_ARPA_INET_H
+
+/* Define to 1 if you have the <byteswap.h> header file. */
+#undef HAVE_BYTESWAP_H
+
+/* Define to 1 if you have the <inttypes.h> header file. */
+#undef HAVE_INTTYPES_H
+
+/* Define to 1 if you have the <memory.h> header file. */
+#undef HAVE_MEMORY_H
+
+/* Define to 1 if you have the <netinet/in.h> header file. */
+#undef HAVE_NETINET_IN_H
+
+/* Define to 1 if you have the <stdint.h> header file. */
+#undef HAVE_STDINT_H
+
+/* Define to 1 if you have the <stdlib.h> header file. */
+#undef HAVE_STDLIB_H
+
+/* Define to 1 if you have the <strings.h> header file. */
+#undef HAVE_STRINGS_H
+
+/* Define to 1 if you have the <string.h> header file. */
+#undef HAVE_STRING_H
+
+/* Define to 1 if you have the <sys/stat.h> header file. */
+#undef HAVE_SYS_STAT_H
+
+/* Define to 1 if you have the <sys/types.h> header file. */
+#undef HAVE_SYS_TYPES_H
+
+/* Define to 1 if you have the <unistd.h> header file. */
+#undef HAVE_UNISTD_H
+
+/* Name of package */
+#undef PACKAGE
+
+/* Define to the address where bug reports for this package should be sent. */
+#undef PACKAGE_BUGREPORT
+
+/* Define to the full name of this package. */
+#undef PACKAGE_NAME
+
+/* Define to the full name and version of this package. */
+#undef PACKAGE_STRING
+
+/* Define to the one symbol short name of this package. */
+#undef PACKAGE_TARNAME
+
+/* Define to the version of this package. */
+#undef PACKAGE_VERSION
+
+/* Define to 1 if you have the ANSI C header files. */
+#undef STDC_HEADERS
+
+/* Version number of package */
+#undef VERSION
+
+/* Define WORDS_BIGENDIAN to 1 if your processor stores words with the most
+   significant byte first (like Motorola and SPARC, unlike Intel). */
+#if defined AC_APPLE_UNIVERSAL_BUILD
+# if defined __BIG_ENDIAN__
+#  define WORDS_BIGENDIAN 1
+# endif
+#else
+# ifndef WORDS_BIGENDIAN
+#  undef WORDS_BIGENDIAN
+# endif
+#endif
diff --git a/usrp2/firmware/config/Makefile.in b/usrp2/firmware/config/Makefile.in
new file mode 100644 (file)
index 0000000..42b6d9c
--- /dev/null
@@ -0,0 +1,400 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2008 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+# -*- Makefile -*-
+#
+# Copyright 2007 Free Software Foundation, Inc.
+#
+# This program is free software: you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation, either version 3 of the License, or
+# (at your option) any later version.
+#
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+#
+# You should have received a copy of the GNU General Public License
+# along with this program.  If not, see <http://www.gnu.org/licenses/>.
+#
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = config
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_usrp2_firmware.m4 \
+       $(top_srcdir)/config/grc_usrp2_stub.m4 \
+       $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+DATA = $(noinst_DATA)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+AMTAR = @AMTAR@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CYGPATH_W = @CYGPATH_W@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+GREP = @GREP@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+LDFLAGS = @LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MB_GCC = @MB_GCC@
+MKDIR_P = @MKDIR_P@
+OBJEXT = @OBJEXT@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+RANLIB = @RANLIB@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+STRIP = @STRIP@
+VERSION = @VERSION@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+bindir = @bindir@
+build_alias = @build_alias@
+build_dirs = @build_dirs@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+dvidir = @dvidir@
+exec_prefix = @exec_prefix@
+host_alias = @host_alias@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+mandir = @mandir@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+pdfdir = @pdfdir@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+sysconfdir = @sysconfdir@
+target_alias = @target_alias@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp2_firmware_INCLUDEDIR = @usrp2_firmware_INCLUDEDIR@
+usrp2_firmware_INCLUDES = @usrp2_firmware_INCLUDES@
+usrp2_firmware_LA = @usrp2_firmware_LA@
+usrp2_firmware_LDFLAG = @usrp2_firmware_LDFLAG@
+usrp2_firmware_LIBDIRPATH = @usrp2_firmware_LIBDIRPATH@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+STD_INCLUDES = -I$(top_srcdir)/include -I$(top_srcdir)/lib
+
+#HAL_IO = -DHAL_IO_USES_DBOARD_PINS 
+HAL_IO = -DHAL_IO_USES_UART 
+AM_CPPFLAGS = $(HAL_IO) $(STD_INCLUDES)
+STD_CFLAGS = --std=gnu99 -Wall -Werror-implicit-function-declaration -mxl-soft-div -msoft-float
+AM_CFLAGS = $(STD_CFLAGS) -mxl-soft-mul -mxl-barrel-shift
+#AM_CFLAGS = $(STD_CFLAGS) -mxl-soft-mul -mxl-barrel-shift -mxl-gp-opt -G 16384
+
+#LINKER_SCRIPT = $(top_srcdir)/lib/microblaze.ld
+#AM_LDFLAGS = -Wl,-T,$(LINKER_SCRIPT) -Wl,-defsym -Wl,_STACK_SIZE=1024
+#AM_LDFLAGS = -Wl,-T,$(LINKER_SCRIPT) -Wl,-Map -Wl,$@.map
+#AM_LDFLAGS = -Wl,-T,$(LINKER_SCRIPT)
+AM_LDFLAGS = -Wl,-Map -Wl,$@.map -Wl,-defsym -Wl,_STACK_SIZE=3072
+BINS = $(noinst_PROGRAMS:=.bin)
+ROMS = $(noinst_PROGRAMS:=.rom)
+DUMPS = $(noinst_PROGRAMS:=.dump)
+noinst_DATA = $(BINS) $(ROMS) $(DUMPS)
+CLEANFILES = $(ROMS) $(DUMPS) $(BINS)
+
+# Install m4 macros in this directory
+m4datadir = $(datadir)/aclocal
+
+# List your m4 macros here
+m4macros = \
+       grc_build.m4 \
+       grc_usrp2_stub.m4 \
+       grc_usrp2_firmware.m4
+
+EXTRA_DIST = $(m4macros) 
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  config/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  config/Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+tags: TAGS
+TAGS:
+
+ctags: CTAGS
+CTAGS:
+
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+check-am: all-am
+check: check-am
+all-am: Makefile $(DATA)
+installdirs:
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+
+clean-generic:
+       -test -z "$(CLEANFILES)" || rm -f $(CLEANFILES)
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: install-am install-strip
+
+.PHONY: all all-am check check-am clean clean-generic distclean \
+       distclean-generic distdir dvi dvi-am html html-am info info-am \
+       install install-am install-data install-data-am install-dvi \
+       install-dvi-am install-exec install-exec-am install-html \
+       install-html-am install-info install-info-am install-man \
+       install-pdf install-pdf-am install-ps install-ps-am \
+       install-strip installcheck installcheck-am installdirs \
+       maintainer-clean maintainer-clean-generic mostlyclean \
+       mostlyclean-generic pdf pdf-am ps ps-am uninstall uninstall-am
+
+#AM_LDFLAGS = -Wl,-defsym -Wl,_STACK_SIZE=2048
+
+%.bin : %
+       mb-objcopy -O binary $< $@
+
+%.dump : %
+       mb-objdump -DSC $< > $@
+
+%.rom : %.bin
+       hexdump -v -e'1/1 "%.2X\n"' $< > $@
+
+.PRECIOUS : %.bin
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/usrp2/firmware/configure b/usrp2/firmware/configure
new file mode 100755 (executable)
index 0000000..65d946a
--- /dev/null
@@ -0,0 +1,6739 @@
+#! /bin/sh
+# Guess values for system-dependent variables and create Makefiles.
+# Generated by GNU Autoconf 2.63.
+#
+# Copyright (C) 1992, 1993, 1994, 1995, 1996, 1998, 1999, 2000, 2001,
+# 2002, 2003, 2004, 2005, 2006, 2007, 2008 Free Software Foundation, Inc.
+# This configure script is free software; the Free Software Foundation
+# gives unlimited permission to copy, distribute and modify it.
+## --------------------- ##
+## M4sh Initialization.  ##
+## --------------------- ##
+
+# Be more Bourne compatible
+DUALCASE=1; export DUALCASE # for MKS sh
+if test -n "${ZSH_VERSION+set}" && (emulate sh) >/dev/null 2>&1; then
+  emulate sh
+  NULLCMD=:
+  # Pre-4.2 versions of Zsh do word splitting on ${1+"$@"}, which
+  # is contrary to our usage.  Disable this feature.
+  alias -g '${1+"$@"}'='"$@"'
+  setopt NO_GLOB_SUBST
+else
+  case `(set -o) 2>/dev/null` in
+  *posix*) set -o posix ;;
+esac
+
+fi
+
+
+
+
+# PATH needs CR
+# Avoid depending upon Character Ranges.
+as_cr_letters='abcdefghijklmnopqrstuvwxyz'
+as_cr_LETTERS='ABCDEFGHIJKLMNOPQRSTUVWXYZ'
+as_cr_Letters=$as_cr_letters$as_cr_LETTERS
+as_cr_digits='0123456789'
+as_cr_alnum=$as_cr_Letters$as_cr_digits
+
+as_nl='
+'
+export as_nl
+# Printing a long string crashes Solaris 7 /usr/bin/printf.
+as_echo='\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\'
+as_echo=$as_echo$as_echo$as_echo$as_echo$as_echo
+as_echo=$as_echo$as_echo$as_echo$as_echo$as_echo$as_echo
+if (test "X`printf %s $as_echo`" = "X$as_echo") 2>/dev/null; then
+  as_echo='printf %s\n'
+  as_echo_n='printf %s'
+else
+  if test "X`(/usr/ucb/echo -n -n $as_echo) 2>/dev/null`" = "X-n $as_echo"; then
+    as_echo_body='eval /usr/ucb/echo -n "$1$as_nl"'
+    as_echo_n='/usr/ucb/echo -n'
+  else
+    as_echo_body='eval expr "X$1" : "X\\(.*\\)"'
+    as_echo_n_body='eval
+      arg=$1;
+      case $arg in
+      *"$as_nl"*)
+       expr "X$arg" : "X\\(.*\\)$as_nl";
+       arg=`expr "X$arg" : ".*$as_nl\\(.*\\)"`;;
+      esac;
+      expr "X$arg" : "X\\(.*\\)" | tr -d "$as_nl"
+    '
+    export as_echo_n_body
+    as_echo_n='sh -c $as_echo_n_body as_echo'
+  fi
+  export as_echo_body
+  as_echo='sh -c $as_echo_body as_echo'
+fi
+
+# The user is always right.
+if test "${PATH_SEPARATOR+set}" != set; then
+  PATH_SEPARATOR=:
+  (PATH='/bin;/bin'; FPATH=$PATH; sh -c :) >/dev/null 2>&1 && {
+    (PATH='/bin:/bin'; FPATH=$PATH; sh -c :) >/dev/null 2>&1 ||
+      PATH_SEPARATOR=';'
+  }
+fi
+
+# Support unset when possible.
+if ( (MAIL=60; unset MAIL) || exit) >/dev/null 2>&1; then
+  as_unset=unset
+else
+  as_unset=false
+fi
+
+
+# IFS
+# We need space, tab and new line, in precisely that order.  Quoting is
+# there to prevent editors from complaining about space-tab.
+# (If _AS_PATH_WALK were called with IFS unset, it would disable word
+# splitting by setting IFS to empty value.)
+IFS=" ""       $as_nl"
+
+# Find who we are.  Look in the path if we contain no directory separator.
+case $0 in
+  *[\\/]* ) as_myself=$0 ;;
+  *) as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  test -r "$as_dir/$0" && as_myself=$as_dir/$0 && break
+done
+IFS=$as_save_IFS
+
+     ;;
+esac
+# We did not find ourselves, most probably we were run as `sh COMMAND'
+# in which case we are not to be found in the path.
+if test "x$as_myself" = x; then
+  as_myself=$0
+fi
+if test ! -f "$as_myself"; then
+  $as_echo "$as_myself: error: cannot find myself; rerun with an absolute file name" >&2
+  { (exit 1); exit 1; }
+fi
+
+# Work around bugs in pre-3.0 UWIN ksh.
+for as_var in ENV MAIL MAILPATH
+do ($as_unset $as_var) >/dev/null 2>&1 && $as_unset $as_var
+done
+PS1='$ '
+PS2='> '
+PS4='+ '
+
+# NLS nuisances.
+LC_ALL=C
+export LC_ALL
+LANGUAGE=C
+export LANGUAGE
+
+# Required to use basename.
+if expr a : '\(a\)' >/dev/null 2>&1 &&
+   test "X`expr 00001 : '.*\(...\)'`" = X001; then
+  as_expr=expr
+else
+  as_expr=false
+fi
+
+if (basename -- /) >/dev/null 2>&1 && test "X`basename -- / 2>&1`" = "X/"; then
+  as_basename=basename
+else
+  as_basename=false
+fi
+
+
+# Name of the executable.
+as_me=`$as_basename -- "$0" ||
+$as_expr X/"$0" : '.*/\([^/][^/]*\)/*$' \| \
+        X"$0" : 'X\(//\)$' \| \
+        X"$0" : 'X\(/\)' \| . 2>/dev/null ||
+$as_echo X/"$0" |
+    sed '/^.*\/\([^/][^/]*\)\/*$/{
+           s//\1/
+           q
+         }
+         /^X\/\(\/\/\)$/{
+           s//\1/
+           q
+         }
+         /^X\/\(\/\).*/{
+           s//\1/
+           q
+         }
+         s/.*/./; q'`
+
+# CDPATH.
+$as_unset CDPATH
+
+
+if test "x$CONFIG_SHELL" = x; then
+  if (eval ":") 2>/dev/null; then
+  as_have_required=yes
+else
+  as_have_required=no
+fi
+
+  if test $as_have_required = yes &&    (eval ":
+(as_func_return () {
+  (exit \$1)
+}
+as_func_success () {
+  as_func_return 0
+}
+as_func_failure () {
+  as_func_return 1
+}
+as_func_ret_success () {
+  return 0
+}
+as_func_ret_failure () {
+  return 1
+}
+
+exitcode=0
+if as_func_success; then
+  :
+else
+  exitcode=1
+  echo as_func_success failed.
+fi
+
+if as_func_failure; then
+  exitcode=1
+  echo as_func_failure succeeded.
+fi
+
+if as_func_ret_success; then
+  :
+else
+  exitcode=1
+  echo as_func_ret_success failed.
+fi
+
+if as_func_ret_failure; then
+  exitcode=1
+  echo as_func_ret_failure succeeded.
+fi
+
+if ( set x; as_func_ret_success y && test x = \"\$1\" ); then
+  :
+else
+  exitcode=1
+  echo positional parameters were not saved.
+fi
+
+test \$exitcode = 0) || { (exit 1); exit 1; }
+
+(
+  as_lineno_1=\$LINENO
+  as_lineno_2=\$LINENO
+  test \"x\$as_lineno_1\" != \"x\$as_lineno_2\" &&
+  test \"x\`expr \$as_lineno_1 + 1\`\" = \"x\$as_lineno_2\") || { (exit 1); exit 1; }
+") 2> /dev/null; then
+  :
+else
+  as_candidate_shells=
+    as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in /bin$PATH_SEPARATOR/usr/bin$PATH_SEPARATOR$PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  case $as_dir in
+        /*)
+          for as_base in sh bash ksh sh5; do
+            as_candidate_shells="$as_candidate_shells $as_dir/$as_base"
+          done;;
+       esac
+done
+IFS=$as_save_IFS
+
+
+      for as_shell in $as_candidate_shells $SHELL; do
+        # Try only shells that exist, to save several forks.
+        if { test -f "$as_shell" || test -f "$as_shell.exe"; } &&
+               { ("$as_shell") 2> /dev/null <<\_ASEOF
+if test -n "${ZSH_VERSION+set}" && (emulate sh) >/dev/null 2>&1; then
+  emulate sh
+  NULLCMD=:
+  # Pre-4.2 versions of Zsh do word splitting on ${1+"$@"}, which
+  # is contrary to our usage.  Disable this feature.
+  alias -g '${1+"$@"}'='"$@"'
+  setopt NO_GLOB_SUBST
+else
+  case `(set -o) 2>/dev/null` in
+  *posix*) set -o posix ;;
+esac
+
+fi
+
+
+:
+_ASEOF
+}; then
+  CONFIG_SHELL=$as_shell
+              as_have_required=yes
+              if { "$as_shell" 2> /dev/null <<\_ASEOF
+if test -n "${ZSH_VERSION+set}" && (emulate sh) >/dev/null 2>&1; then
+  emulate sh
+  NULLCMD=:
+  # Pre-4.2 versions of Zsh do word splitting on ${1+"$@"}, which
+  # is contrary to our usage.  Disable this feature.
+  alias -g '${1+"$@"}'='"$@"'
+  setopt NO_GLOB_SUBST
+else
+  case `(set -o) 2>/dev/null` in
+  *posix*) set -o posix ;;
+esac
+
+fi
+
+
+:
+(as_func_return () {
+  (exit $1)
+}
+as_func_success () {
+  as_func_return 0
+}
+as_func_failure () {
+  as_func_return 1
+}
+as_func_ret_success () {
+  return 0
+}
+as_func_ret_failure () {
+  return 1
+}
+
+exitcode=0
+if as_func_success; then
+  :
+else
+  exitcode=1
+  echo as_func_success failed.
+fi
+
+if as_func_failure; then
+  exitcode=1
+  echo as_func_failure succeeded.
+fi
+
+if as_func_ret_success; then
+  :
+else
+  exitcode=1
+  echo as_func_ret_success failed.
+fi
+
+if as_func_ret_failure; then
+  exitcode=1
+  echo as_func_ret_failure succeeded.
+fi
+
+if ( set x; as_func_ret_success y && test x = "$1" ); then
+  :
+else
+  exitcode=1
+  echo positional parameters were not saved.
+fi
+
+test $exitcode = 0) || { (exit 1); exit 1; }
+
+(
+  as_lineno_1=$LINENO
+  as_lineno_2=$LINENO
+  test "x$as_lineno_1" != "x$as_lineno_2" &&
+  test "x`expr $as_lineno_1 + 1`" = "x$as_lineno_2") || { (exit 1); exit 1; }
+
+_ASEOF
+}; then
+  break
+fi
+
+fi
+
+      done
+
+      if test "x$CONFIG_SHELL" != x; then
+  for as_var in BASH_ENV ENV
+       do ($as_unset $as_var) >/dev/null 2>&1 && $as_unset $as_var
+       done
+       export CONFIG_SHELL
+       exec "$CONFIG_SHELL" "$as_myself" ${1+"$@"}
+fi
+
+
+    if test $as_have_required = no; then
+  echo This script requires a shell more modern than all the
+      echo shells that I found on your system.  Please install a
+      echo modern shell, or manually run the script under such a
+      echo shell if you do have one.
+      { (exit 1); exit 1; }
+fi
+
+
+fi
+
+fi
+
+
+
+(eval "as_func_return () {
+  (exit \$1)
+}
+as_func_success () {
+  as_func_return 0
+}
+as_func_failure () {
+  as_func_return 1
+}
+as_func_ret_success () {
+  return 0
+}
+as_func_ret_failure () {
+  return 1
+}
+
+exitcode=0
+if as_func_success; then
+  :
+else
+  exitcode=1
+  echo as_func_success failed.
+fi
+
+if as_func_failure; then
+  exitcode=1
+  echo as_func_failure succeeded.
+fi
+
+if as_func_ret_success; then
+  :
+else
+  exitcode=1
+  echo as_func_ret_success failed.
+fi
+
+if as_func_ret_failure; then
+  exitcode=1
+  echo as_func_ret_failure succeeded.
+fi
+
+if ( set x; as_func_ret_success y && test x = \"\$1\" ); then
+  :
+else
+  exitcode=1
+  echo positional parameters were not saved.
+fi
+
+test \$exitcode = 0") || {
+  echo No shell found that supports shell functions.
+  echo Please tell bug-autoconf@gnu.org about your system,
+  echo including any error possibly output before this message.
+  echo This can help us improve future autoconf versions.
+  echo Configuration will now proceed without shell functions.
+}
+
+
+
+  as_lineno_1=$LINENO
+  as_lineno_2=$LINENO
+  test "x$as_lineno_1" != "x$as_lineno_2" &&
+  test "x`expr $as_lineno_1 + 1`" = "x$as_lineno_2" || {
+
+  # Create $as_me.lineno as a copy of $as_myself, but with $LINENO
+  # uniformly replaced by the line number.  The first 'sed' inserts a
+  # line-number line after each line using $LINENO; the second 'sed'
+  # does the real work.  The second script uses 'N' to pair each
+  # line-number line with the line containing $LINENO, and appends
+  # trailing '-' during substitution so that $LINENO is not a special
+  # case at line end.
+  # (Raja R Harinath suggested sed '=', and Paul Eggert wrote the
+  # scripts with optimization help from Paolo Bonzini.  Blame Lee
+  # E. McMahon (1931-1989) for sed's syntax.  :-)
+  sed -n '
+    p
+    /[$]LINENO/=
+  ' <$as_myself |
+    sed '
+      s/[$]LINENO.*/&-/
+      t lineno
+      b
+      :lineno
+      N
+      :loop
+      s/[$]LINENO\([^'$as_cr_alnum'_].*\n\)\(.*\)/\2\1\2/
+      t loop
+      s/-\n.*//
+    ' >$as_me.lineno &&
+  chmod +x "$as_me.lineno" ||
+    { $as_echo "$as_me: error: cannot create $as_me.lineno; rerun with a POSIX shell" >&2
+   { (exit 1); exit 1; }; }
+
+  # Don't try to exec as it changes $[0], causing all sort of problems
+  # (the dirname of $[0] is not the place where we might find the
+  # original and so on.  Autoconf is especially sensitive to this).
+  . "./$as_me.lineno"
+  # Exit status is that of the last command.
+  exit
+}
+
+
+if (as_dir=`dirname -- /` && test "X$as_dir" = X/) >/dev/null 2>&1; then
+  as_dirname=dirname
+else
+  as_dirname=false
+fi
+
+ECHO_C= ECHO_N= ECHO_T=
+case `echo -n x` in
+-n*)
+  case `echo 'x\c'` in
+  *c*) ECHO_T='        ';;     # ECHO_T is single tab character.
+  *)   ECHO_C='\c';;
+  esac;;
+*)
+  ECHO_N='-n';;
+esac
+if expr a : '\(a\)' >/dev/null 2>&1 &&
+   test "X`expr 00001 : '.*\(...\)'`" = X001; then
+  as_expr=expr
+else
+  as_expr=false
+fi
+
+rm -f conf$$ conf$$.exe conf$$.file
+if test -d conf$$.dir; then
+  rm -f conf$$.dir/conf$$.file
+else
+  rm -f conf$$.dir
+  mkdir conf$$.dir 2>/dev/null
+fi
+if (echo >conf$$.file) 2>/dev/null; then
+  if ln -s conf$$.file conf$$ 2>/dev/null; then
+    as_ln_s='ln -s'
+    # ... but there are two gotchas:
+    # 1) On MSYS, both `ln -s file dir' and `ln file dir' fail.
+    # 2) DJGPP < 2.04 has no symlinks; `ln -s' creates a wrapper executable.
+    # In both cases, we have to default to `cp -p'.
+    ln -s conf$$.file conf$$.dir 2>/dev/null && test ! -f conf$$.exe ||
+      as_ln_s='cp -p'
+  elif ln conf$$.file conf$$ 2>/dev/null; then
+    as_ln_s=ln
+  else
+    as_ln_s='cp -p'
+  fi
+else
+  as_ln_s='cp -p'
+fi
+rm -f conf$$ conf$$.exe conf$$.dir/conf$$.file conf$$.file
+rmdir conf$$.dir 2>/dev/null
+
+if mkdir -p . 2>/dev/null; then
+  as_mkdir_p=:
+else
+  test -d ./-p && rmdir ./-p
+  as_mkdir_p=false
+fi
+
+if test -x / >/dev/null 2>&1; then
+  as_test_x='test -x'
+else
+  if ls -dL / >/dev/null 2>&1; then
+    as_ls_L_option=L
+  else
+    as_ls_L_option=
+  fi
+  as_test_x='
+    eval sh -c '\''
+      if test -d "$1"; then
+       test -d "$1/.";
+      else
+       case $1 in
+       -*)set "./$1";;
+       esac;
+       case `ls -ld'$as_ls_L_option' "$1" 2>/dev/null` in
+       ???[sx]*):;;*)false;;esac;fi
+    '\'' sh
+  '
+fi
+as_executable_p=$as_test_x
+
+# Sed expression to map a string onto a valid CPP name.
+as_tr_cpp="eval sed 'y%*$as_cr_letters%P$as_cr_LETTERS%;s%[^_$as_cr_alnum]%_%g'"
+
+# Sed expression to map a string onto a valid variable name.
+as_tr_sh="eval sed 'y%*+%pp%;s%[^_$as_cr_alnum]%_%g'"
+
+
+
+exec 7<&0 </dev/null 6>&1
+
+# Name of the host.
+# hostname on some systems (SVR3.2, Linux) returns a bogus exit status,
+# so uname gets run too.
+ac_hostname=`(hostname || uname -n) 2>/dev/null | sed 1q`
+
+#
+# Initializations.
+#
+ac_default_prefix=/usr/local
+ac_clean_files=
+ac_config_libobj_dir=.
+LIBOBJS=
+cross_compiling=no
+subdirs=
+MFLAGS=
+MAKEFLAGS=
+SHELL=${CONFIG_SHELL-/bin/sh}
+
+# Identity of this package.
+PACKAGE_NAME=
+PACKAGE_TARNAME=
+PACKAGE_VERSION=
+PACKAGE_STRING=
+PACKAGE_BUGREPORT=
+
+ac_unique_file="lib/u2_init.c"
+# Factoring default headers for most tests.
+ac_includes_default="\
+#include <stdio.h>
+#ifdef HAVE_SYS_TYPES_H
+# include <sys/types.h>
+#endif
+#ifdef HAVE_SYS_STAT_H
+# include <sys/stat.h>
+#endif
+#ifdef STDC_HEADERS
+# include <stdlib.h>
+# include <stddef.h>
+#else
+# ifdef HAVE_STDLIB_H
+#  include <stdlib.h>
+# endif
+#endif
+#ifdef HAVE_STRING_H
+# if !defined STDC_HEADERS && defined HAVE_MEMORY_H
+#  include <memory.h>
+# endif
+# include <string.h>
+#endif
+#ifdef HAVE_STRINGS_H
+# include <strings.h>
+#endif
+#ifdef HAVE_INTTYPES_H
+# include <inttypes.h>
+#endif
+#ifdef HAVE_STDINT_H
+# include <stdint.h>
+#endif
+#ifdef HAVE_UNISTD_H
+# include <unistd.h>
+#endif"
+
+ac_subst_vars='LTLIBOBJS
+LIBOBJS
+with_LIBDIRPATH
+with_SWIGDIRPATH
+with_PYDIRPATH
+with_SWIG_INCLUDES
+with_INCLUDES
+abs_top_builddir
+abs_top_srcdir
+with_dirs
+skipped_dirs
+build_dirs
+usrp2_firmware_LDFLAG
+usrp2_firmware_LIBDIRPATH
+usrp2_firmware_INCLUDEDIR
+usrp2_firmware_LA
+usrp2_firmware_INCLUDES
+BUILDING_USRP2_FIRMWARE_FALSE
+BUILDING_USRP2_FIRMWARE_TRUE
+MB_GCC
+EGREP
+GREP
+RANLIB
+am__fastdepCCAS_FALSE
+am__fastdepCCAS_TRUE
+CCASDEPMODE
+CCASFLAGS
+CCAS
+CPP
+am__fastdepCC_FALSE
+am__fastdepCC_TRUE
+CCDEPMODE
+AMDEPBACKSLASH
+AMDEP_FALSE
+AMDEP_TRUE
+am__quote
+am__include
+DEPDIR
+OBJEXT
+EXEEXT
+ac_ct_CC
+CPPFLAGS
+LDFLAGS
+CFLAGS
+CC
+usrp2_LDFLAG
+usrp2_LIBDIRPATH
+usrp2_INCLUDEDIR
+usrp2_LA
+usrp2_INCLUDES
+am__untar
+am__tar
+AMTAR
+am__leading_dot
+SET_MAKE
+AWK
+mkdir_p
+MKDIR_P
+INSTALL_STRIP_PROGRAM
+STRIP
+install_sh
+MAKEINFO
+AUTOHEADER
+AUTOMAKE
+AUTOCONF
+ACLOCAL
+VERSION
+PACKAGE
+CYGPATH_W
+am__isrc
+INSTALL_DATA
+INSTALL_SCRIPT
+INSTALL_PROGRAM
+target_alias
+host_alias
+build_alias
+LIBS
+ECHO_T
+ECHO_N
+ECHO_C
+DEFS
+mandir
+localedir
+libdir
+psdir
+pdfdir
+dvidir
+htmldir
+infodir
+docdir
+oldincludedir
+includedir
+localstatedir
+sharedstatedir
+sysconfdir
+datadir
+datarootdir
+libexecdir
+sbindir
+bindir
+program_transform_name
+prefix
+exec_prefix
+PACKAGE_BUGREPORT
+PACKAGE_STRING
+PACKAGE_VERSION
+PACKAGE_TARNAME
+PACKAGE_NAME
+PATH_SEPARATOR
+SHELL'
+ac_subst_files=''
+ac_user_opts='
+enable_option_checking
+enable_all_components
+enable_usrp2
+enable_usrp2_firmware
+enable_dependency_tracking
+'
+      ac_precious_vars='build_alias
+host_alias
+target_alias
+CC
+CFLAGS
+LDFLAGS
+LIBS
+CPPFLAGS
+CPP
+CCAS
+CCASFLAGS'
+
+
+# Initialize some variables set by options.
+ac_init_help=
+ac_init_version=false
+ac_unrecognized_opts=
+ac_unrecognized_sep=
+# The variables have the same names as the options, with
+# dashes changed to underlines.
+cache_file=/dev/null
+exec_prefix=NONE
+no_create=
+no_recursion=
+prefix=NONE
+program_prefix=NONE
+program_suffix=NONE
+program_transform_name=s,x,x,
+silent=
+site=
+srcdir=
+verbose=
+x_includes=NONE
+x_libraries=NONE
+
+# Installation directory options.
+# These are left unexpanded so users can "make install exec_prefix=/foo"
+# and all the variables that are supposed to be based on exec_prefix
+# by default will actually change.
+# Use braces instead of parens because sh, perl, etc. also accept them.
+# (The list follows the same order as the GNU Coding Standards.)
+bindir='${exec_prefix}/bin'
+sbindir='${exec_prefix}/sbin'
+libexecdir='${exec_prefix}/libexec'
+datarootdir='${prefix}/share'
+datadir='${datarootdir}'
+sysconfdir='${prefix}/etc'
+sharedstatedir='${prefix}/com'
+localstatedir='${prefix}/var'
+includedir='${prefix}/include'
+oldincludedir='/usr/include'
+docdir='${datarootdir}/doc/${PACKAGE}'
+infodir='${datarootdir}/info'
+htmldir='${docdir}'
+dvidir='${docdir}'
+pdfdir='${docdir}'
+psdir='${docdir}'
+libdir='${exec_prefix}/lib'
+localedir='${datarootdir}/locale'
+mandir='${datarootdir}/man'
+
+ac_prev=
+ac_dashdash=
+for ac_option
+do
+  # If the previous option needs an argument, assign it.
+  if test -n "$ac_prev"; then
+    eval $ac_prev=\$ac_option
+    ac_prev=
+    continue
+  fi
+
+  case $ac_option in
+  *=*) ac_optarg=`expr "X$ac_option" : '[^=]*=\(.*\)'` ;;
+  *)   ac_optarg=yes ;;
+  esac
+
+  # Accept the important Cygnus configure options, so we can diagnose typos.
+
+  case $ac_dashdash$ac_option in
+  --)
+    ac_dashdash=yes ;;
+
+  -bindir | --bindir | --bindi | --bind | --bin | --bi)
+    ac_prev=bindir ;;
+  -bindir=* | --bindir=* | --bindi=* | --bind=* | --bin=* | --bi=*)
+    bindir=$ac_optarg ;;
+
+  -build | --build | --buil | --bui | --bu)
+    ac_prev=build_alias ;;
+  -build=* | --build=* | --buil=* | --bui=* | --bu=*)
+    build_alias=$ac_optarg ;;
+
+  -cache-file | --cache-file | --cache-fil | --cache-fi \
+  | --cache-f | --cache- | --cache | --cach | --cac | --ca | --c)
+    ac_prev=cache_file ;;
+  -cache-file=* | --cache-file=* | --cache-fil=* | --cache-fi=* \
+  | --cache-f=* | --cache-=* | --cache=* | --cach=* | --cac=* | --ca=* | --c=*)
+    cache_file=$ac_optarg ;;
+
+  --config-cache | -C)
+    cache_file=config.cache ;;
+
+  -datadir | --datadir | --datadi | --datad)
+    ac_prev=datadir ;;
+  -datadir=* | --datadir=* | --datadi=* | --datad=*)
+    datadir=$ac_optarg ;;
+
+  -datarootdir | --datarootdir | --datarootdi | --datarootd | --dataroot \
+  | --dataroo | --dataro | --datar)
+    ac_prev=datarootdir ;;
+  -datarootdir=* | --datarootdir=* | --datarootdi=* | --datarootd=* \
+  | --dataroot=* | --dataroo=* | --dataro=* | --datar=*)
+    datarootdir=$ac_optarg ;;
+
+  -disable-* | --disable-*)
+    ac_useropt=`expr "x$ac_option" : 'x-*disable-\(.*\)'`
+    # Reject names that are not valid shell variable names.
+    expr "x$ac_useropt" : ".*[^-+._$as_cr_alnum]" >/dev/null &&
+      { $as_echo "$as_me: error: invalid feature name: $ac_useropt" >&2
+   { (exit 1); exit 1; }; }
+    ac_useropt_orig=$ac_useropt
+    ac_useropt=`$as_echo "$ac_useropt" | sed 's/[-+.]/_/g'`
+    case $ac_user_opts in
+      *"
+"enable_$ac_useropt"
+"*) ;;
+      *) ac_unrecognized_opts="$ac_unrecognized_opts$ac_unrecognized_sep--disable-$ac_useropt_orig"
+        ac_unrecognized_sep=', ';;
+    esac
+    eval enable_$ac_useropt=no ;;
+
+  -docdir | --docdir | --docdi | --doc | --do)
+    ac_prev=docdir ;;
+  -docdir=* | --docdir=* | --docdi=* | --doc=* | --do=*)
+    docdir=$ac_optarg ;;
+
+  -dvidir | --dvidir | --dvidi | --dvid | --dvi | --dv)
+    ac_prev=dvidir ;;
+  -dvidir=* | --dvidir=* | --dvidi=* | --dvid=* | --dvi=* | --dv=*)
+    dvidir=$ac_optarg ;;
+
+  -enable-* | --enable-*)
+    ac_useropt=`expr "x$ac_option" : 'x-*enable-\([^=]*\)'`
+    # Reject names that are not valid shell variable names.
+    expr "x$ac_useropt" : ".*[^-+._$as_cr_alnum]" >/dev/null &&
+      { $as_echo "$as_me: error: invalid feature name: $ac_useropt" >&2
+   { (exit 1); exit 1; }; }
+    ac_useropt_orig=$ac_useropt
+    ac_useropt=`$as_echo "$ac_useropt" | sed 's/[-+.]/_/g'`
+    case $ac_user_opts in
+      *"
+"enable_$ac_useropt"
+"*) ;;
+      *) ac_unrecognized_opts="$ac_unrecognized_opts$ac_unrecognized_sep--enable-$ac_useropt_orig"
+        ac_unrecognized_sep=', ';;
+    esac
+    eval enable_$ac_useropt=\$ac_optarg ;;
+
+  -exec-prefix | --exec_prefix | --exec-prefix | --exec-prefi \
+  | --exec-pref | --exec-pre | --exec-pr | --exec-p | --exec- \
+  | --exec | --exe | --ex)
+    ac_prev=exec_prefix ;;
+  -exec-prefix=* | --exec_prefix=* | --exec-prefix=* | --exec-prefi=* \
+  | --exec-pref=* | --exec-pre=* | --exec-pr=* | --exec-p=* | --exec-=* \
+  | --exec=* | --exe=* | --ex=*)
+    exec_prefix=$ac_optarg ;;
+
+  -gas | --gas | --ga | --g)
+    # Obsolete; use --with-gas.
+    with_gas=yes ;;
+
+  -help | --help | --hel | --he | -h)
+    ac_init_help=long ;;
+  -help=r* | --help=r* | --hel=r* | --he=r* | -hr*)
+    ac_init_help=recursive ;;
+  -help=s* | --help=s* | --hel=s* | --he=s* | -hs*)
+    ac_init_help=short ;;
+
+  -host | --host | --hos | --ho)
+    ac_prev=host_alias ;;
+  -host=* | --host=* | --hos=* | --ho=*)
+    host_alias=$ac_optarg ;;
+
+  -htmldir | --htmldir | --htmldi | --htmld | --html | --htm | --ht)
+    ac_prev=htmldir ;;
+  -htmldir=* | --htmldir=* | --htmldi=* | --htmld=* | --html=* | --htm=* \
+  | --ht=*)
+    htmldir=$ac_optarg ;;
+
+  -includedir | --includedir | --includedi | --included | --include \
+  | --includ | --inclu | --incl | --inc)
+    ac_prev=includedir ;;
+  -includedir=* | --includedir=* | --includedi=* | --included=* | --include=* \
+  | --includ=* | --inclu=* | --incl=* | --inc=*)
+    includedir=$ac_optarg ;;
+
+  -infodir | --infodir | --infodi | --infod | --info | --inf)
+    ac_prev=infodir ;;
+  -infodir=* | --infodir=* | --infodi=* | --infod=* | --info=* | --inf=*)
+    infodir=$ac_optarg ;;
+
+  -libdir | --libdir | --libdi | --libd)
+    ac_prev=libdir ;;
+  -libdir=* | --libdir=* | --libdi=* | --libd=*)
+    libdir=$ac_optarg ;;
+
+  -libexecdir | --libexecdir | --libexecdi | --libexecd | --libexec \
+  | --libexe | --libex | --libe)
+    ac_prev=libexecdir ;;
+  -libexecdir=* | --libexecdir=* | --libexecdi=* | --libexecd=* | --libexec=* \
+  | --libexe=* | --libex=* | --libe=*)
+    libexecdir=$ac_optarg ;;
+
+  -localedir | --localedir | --localedi | --localed | --locale)
+    ac_prev=localedir ;;
+  -localedir=* | --localedir=* | --localedi=* | --localed=* | --locale=*)
+    localedir=$ac_optarg ;;
+
+  -localstatedir | --localstatedir | --localstatedi | --localstated \
+  | --localstate | --localstat | --localsta | --localst | --locals)
+    ac_prev=localstatedir ;;
+  -localstatedir=* | --localstatedir=* | --localstatedi=* | --localstated=* \
+  | --localstate=* | --localstat=* | --localsta=* | --localst=* | --locals=*)
+    localstatedir=$ac_optarg ;;
+
+  -mandir | --mandir | --mandi | --mand | --man | --ma | --m)
+    ac_prev=mandir ;;
+  -mandir=* | --mandir=* | --mandi=* | --mand=* | --man=* | --ma=* | --m=*)
+    mandir=$ac_optarg ;;
+
+  -nfp | --nfp | --nf)
+    # Obsolete; use --without-fp.
+    with_fp=no ;;
+
+  -no-create | --no-create | --no-creat | --no-crea | --no-cre \
+  | --no-cr | --no-c | -n)
+    no_create=yes ;;
+
+  -no-recursion | --no-recursion | --no-recursio | --no-recursi \
+  | --no-recurs | --no-recur | --no-recu | --no-rec | --no-re | --no-r)
+    no_recursion=yes ;;
+
+  -oldincludedir | --oldincludedir | --oldincludedi | --oldincluded \
+  | --oldinclude | --oldinclud | --oldinclu | --oldincl | --oldinc \
+  | --oldin | --oldi | --old | --ol | --o)
+    ac_prev=oldincludedir ;;
+  -oldincludedir=* | --oldincludedir=* | --oldincludedi=* | --oldincluded=* \
+  | --oldinclude=* | --oldinclud=* | --oldinclu=* | --oldincl=* | --oldinc=* \
+  | --oldin=* | --oldi=* | --old=* | --ol=* | --o=*)
+    oldincludedir=$ac_optarg ;;
+
+  -prefix | --prefix | --prefi | --pref | --pre | --pr | --p)
+    ac_prev=prefix ;;
+  -prefix=* | --prefix=* | --prefi=* | --pref=* | --pre=* | --pr=* | --p=*)
+    prefix=$ac_optarg ;;
+
+  -program-prefix | --program-prefix | --program-prefi | --program-pref \
+  | --program-pre | --program-pr | --program-p)
+    ac_prev=program_prefix ;;
+  -program-prefix=* | --program-prefix=* | --program-prefi=* \
+  | --program-pref=* | --program-pre=* | --program-pr=* | --program-p=*)
+    program_prefix=$ac_optarg ;;
+
+  -program-suffix | --program-suffix | --program-suffi | --program-suff \
+  | --program-suf | --program-su | --program-s)
+    ac_prev=program_suffix ;;
+  -program-suffix=* | --program-suffix=* | --program-suffi=* \
+  | --program-suff=* | --program-suf=* | --program-su=* | --program-s=*)
+    program_suffix=$ac_optarg ;;
+
+  -program-transform-name | --program-transform-name \
+  | --program-transform-nam | --program-transform-na \
+  | --program-transform-n | --program-transform- \
+  | --program-transform | --program-transfor \
+  | --program-transfo | --program-transf \
+  | --program-trans | --program-tran \
+  | --progr-tra | --program-tr | --program-t)
+    ac_prev=program_transform_name ;;
+  -program-transform-name=* | --program-transform-name=* \
+  | --program-transform-nam=* | --program-transform-na=* \
+  | --program-transform-n=* | --program-transform-=* \
+  | --program-transform=* | --program-transfor=* \
+  | --program-transfo=* | --program-transf=* \
+  | --program-trans=* | --program-tran=* \
+  | --progr-tra=* | --program-tr=* | --program-t=*)
+    program_transform_name=$ac_optarg ;;
+
+  -pdfdir | --pdfdir | --pdfdi | --pdfd | --pdf | --pd)
+    ac_prev=pdfdir ;;
+  -pdfdir=* | --pdfdir=* | --pdfdi=* | --pdfd=* | --pdf=* | --pd=*)
+    pdfdir=$ac_optarg ;;
+
+  -psdir | --psdir | --psdi | --psd | --ps)
+    ac_prev=psdir ;;
+  -psdir=* | --psdir=* | --psdi=* | --psd=* | --ps=*)
+    psdir=$ac_optarg ;;
+
+  -q | -quiet | --quiet | --quie | --qui | --qu | --q \
+  | -silent | --silent | --silen | --sile | --sil)
+    silent=yes ;;
+
+  -sbindir | --sbindir | --sbindi | --sbind | --sbin | --sbi | --sb)
+    ac_prev=sbindir ;;
+  -sbindir=* | --sbindir=* | --sbindi=* | --sbind=* | --sbin=* \
+  | --sbi=* | --sb=*)
+    sbindir=$ac_optarg ;;
+
+  -sharedstatedir | --sharedstatedir | --sharedstatedi \
+  | --sharedstated | --sharedstate | --sharedstat | --sharedsta \
+  | --sharedst | --shareds | --shared | --share | --shar \
+  | --sha | --sh)
+    ac_prev=sharedstatedir ;;
+  -sharedstatedir=* | --sharedstatedir=* | --sharedstatedi=* \
+  | --sharedstated=* | --sharedstate=* | --sharedstat=* | --sharedsta=* \
+  | --sharedst=* | --shareds=* | --shared=* | --share=* | --shar=* \
+  | --sha=* | --sh=*)
+    sharedstatedir=$ac_optarg ;;
+
+  -site | --site | --sit)
+    ac_prev=site ;;
+  -site=* | --site=* | --sit=*)
+    site=$ac_optarg ;;
+
+  -srcdir | --srcdir | --srcdi | --srcd | --src | --sr)
+    ac_prev=srcdir ;;
+  -srcdir=* | --srcdir=* | --srcdi=* | --srcd=* | --src=* | --sr=*)
+    srcdir=$ac_optarg ;;
+
+  -sysconfdir | --sysconfdir | --sysconfdi | --sysconfd | --sysconf \
+  | --syscon | --sysco | --sysc | --sys | --sy)
+    ac_prev=sysconfdir ;;
+  -sysconfdir=* | --sysconfdir=* | --sysconfdi=* | --sysconfd=* | --sysconf=* \
+  | --syscon=* | --sysco=* | --sysc=* | --sys=* | --sy=*)
+    sysconfdir=$ac_optarg ;;
+
+  -target | --target | --targe | --targ | --tar | --ta | --t)
+    ac_prev=target_alias ;;
+  -target=* | --target=* | --targe=* | --targ=* | --tar=* | --ta=* | --t=*)
+    target_alias=$ac_optarg ;;
+
+  -v | -verbose | --verbose | --verbos | --verbo | --verb)
+    verbose=yes ;;
+
+  -version | --version | --versio | --versi | --vers | -V)
+    ac_init_version=: ;;
+
+  -with-* | --with-*)
+    ac_useropt=`expr "x$ac_option" : 'x-*with-\([^=]*\)'`
+    # Reject names that are not valid shell variable names.
+    expr "x$ac_useropt" : ".*[^-+._$as_cr_alnum]" >/dev/null &&
+      { $as_echo "$as_me: error: invalid package name: $ac_useropt" >&2
+   { (exit 1); exit 1; }; }
+    ac_useropt_orig=$ac_useropt
+    ac_useropt=`$as_echo "$ac_useropt" | sed 's/[-+.]/_/g'`
+    case $ac_user_opts in
+      *"
+"with_$ac_useropt"
+"*) ;;
+      *) ac_unrecognized_opts="$ac_unrecognized_opts$ac_unrecognized_sep--with-$ac_useropt_orig"
+        ac_unrecognized_sep=', ';;
+    esac
+    eval with_$ac_useropt=\$ac_optarg ;;
+
+  -without-* | --without-*)
+    ac_useropt=`expr "x$ac_option" : 'x-*without-\(.*\)'`
+    # Reject names that are not valid shell variable names.
+    expr "x$ac_useropt" : ".*[^-+._$as_cr_alnum]" >/dev/null &&
+      { $as_echo "$as_me: error: invalid package name: $ac_useropt" >&2
+   { (exit 1); exit 1; }; }
+    ac_useropt_orig=$ac_useropt
+    ac_useropt=`$as_echo "$ac_useropt" | sed 's/[-+.]/_/g'`
+    case $ac_user_opts in
+      *"
+"with_$ac_useropt"
+"*) ;;
+      *) ac_unrecognized_opts="$ac_unrecognized_opts$ac_unrecognized_sep--without-$ac_useropt_orig"
+        ac_unrecognized_sep=', ';;
+    esac
+    eval with_$ac_useropt=no ;;
+
+  --x)
+    # Obsolete; use --with-x.
+    with_x=yes ;;
+
+  -x-includes | --x-includes | --x-include | --x-includ | --x-inclu \
+  | --x-incl | --x-inc | --x-in | --x-i)
+    ac_prev=x_includes ;;
+  -x-includes=* | --x-includes=* | --x-include=* | --x-includ=* | --x-inclu=* \
+  | --x-incl=* | --x-inc=* | --x-in=* | --x-i=*)
+    x_includes=$ac_optarg ;;
+
+  -x-libraries | --x-libraries | --x-librarie | --x-librari \
+  | --x-librar | --x-libra | --x-libr | --x-lib | --x-li | --x-l)
+    ac_prev=x_libraries ;;
+  -x-libraries=* | --x-libraries=* | --x-librarie=* | --x-librari=* \
+  | --x-librar=* | --x-libra=* | --x-libr=* | --x-lib=* | --x-li=* | --x-l=*)
+    x_libraries=$ac_optarg ;;
+
+  -*) { $as_echo "$as_me: error: unrecognized option: $ac_option
+Try \`$0 --help' for more information." >&2
+   { (exit 1); exit 1; }; }
+    ;;
+
+  *=*)
+    ac_envvar=`expr "x$ac_option" : 'x\([^=]*\)='`
+    # Reject names that are not valid shell variable names.
+    expr "x$ac_envvar" : ".*[^_$as_cr_alnum]" >/dev/null &&
+      { $as_echo "$as_me: error: invalid variable name: $ac_envvar" >&2
+   { (exit 1); exit 1; }; }
+    eval $ac_envvar=\$ac_optarg
+    export $ac_envvar ;;
+
+  *)
+    # FIXME: should be removed in autoconf 3.0.
+    $as_echo "$as_me: WARNING: you should use --build, --host, --target" >&2
+    expr "x$ac_option" : ".*[^-._$as_cr_alnum]" >/dev/null &&
+      $as_echo "$as_me: WARNING: invalid host type: $ac_option" >&2
+    : ${build_alias=$ac_option} ${host_alias=$ac_option} ${target_alias=$ac_option}
+    ;;
+
+  esac
+done
+
+if test -n "$ac_prev"; then
+  ac_option=--`echo $ac_prev | sed 's/_/-/g'`
+  { $as_echo "$as_me: error: missing argument to $ac_option" >&2
+   { (exit 1); exit 1; }; }
+fi
+
+if test -n "$ac_unrecognized_opts"; then
+  case $enable_option_checking in
+    no) ;;
+    fatal) { $as_echo "$as_me: error: unrecognized options: $ac_unrecognized_opts" >&2
+   { (exit 1); exit 1; }; } ;;
+    *)     $as_echo "$as_me: WARNING: unrecognized options: $ac_unrecognized_opts" >&2 ;;
+  esac
+fi
+
+# Check all directory arguments for consistency.
+for ac_var in  exec_prefix prefix bindir sbindir libexecdir datarootdir \
+               datadir sysconfdir sharedstatedir localstatedir includedir \
+               oldincludedir docdir infodir htmldir dvidir pdfdir psdir \
+               libdir localedir mandir
+do
+  eval ac_val=\$$ac_var
+  # Remove trailing slashes.
+  case $ac_val in
+    */ )
+      ac_val=`expr "X$ac_val" : 'X\(.*[^/]\)' \| "X$ac_val" : 'X\(.*\)'`
+      eval $ac_var=\$ac_val;;
+  esac
+  # Be sure to have absolute directory names.
+  case $ac_val in
+    [\\/$]* | ?:[\\/]* )  continue;;
+    NONE | '' ) case $ac_var in *prefix ) continue;; esac;;
+  esac
+  { $as_echo "$as_me: error: expected an absolute directory name for --$ac_var: $ac_val" >&2
+   { (exit 1); exit 1; }; }
+done
+
+# There might be people who depend on the old broken behavior: `$host'
+# used to hold the argument of --host etc.
+# FIXME: To remove some day.
+build=$build_alias
+host=$host_alias
+target=$target_alias
+
+# FIXME: To remove some day.
+if test "x$host_alias" != x; then
+  if test "x$build_alias" = x; then
+    cross_compiling=maybe
+    $as_echo "$as_me: WARNING: If you wanted to set the --build type, don't use --host.
+    If a cross compiler is detected then cross compile mode will be used." >&2
+  elif test "x$build_alias" != "x$host_alias"; then
+    cross_compiling=yes
+  fi
+fi
+
+ac_tool_prefix=
+test -n "$host_alias" && ac_tool_prefix=$host_alias-
+
+test "$silent" = yes && exec 6>/dev/null
+
+
+ac_pwd=`pwd` && test -n "$ac_pwd" &&
+ac_ls_di=`ls -di .` &&
+ac_pwd_ls_di=`cd "$ac_pwd" && ls -di .` ||
+  { $as_echo "$as_me: error: working directory cannot be determined" >&2
+   { (exit 1); exit 1; }; }
+test "X$ac_ls_di" = "X$ac_pwd_ls_di" ||
+  { $as_echo "$as_me: error: pwd does not report name of working directory" >&2
+   { (exit 1); exit 1; }; }
+
+
+# Find the source files, if location was not specified.
+if test -z "$srcdir"; then
+  ac_srcdir_defaulted=yes
+  # Try the directory containing this script, then the parent directory.
+  ac_confdir=`$as_dirname -- "$as_myself" ||
+$as_expr X"$as_myself" : 'X\(.*[^/]\)//*[^/][^/]*/*$' \| \
+        X"$as_myself" : 'X\(//\)[^/]' \| \
+        X"$as_myself" : 'X\(//\)$' \| \
+        X"$as_myself" : 'X\(/\)' \| . 2>/dev/null ||
+$as_echo X"$as_myself" |
+    sed '/^X\(.*[^/]\)\/\/*[^/][^/]*\/*$/{
+           s//\1/
+           q
+         }
+         /^X\(\/\/\)[^/].*/{
+           s//\1/
+           q
+         }
+         /^X\(\/\/\)$/{
+           s//\1/
+           q
+         }
+         /^X\(\/\).*/{
+           s//\1/
+           q
+         }
+         s/.*/./; q'`
+  srcdir=$ac_confdir
+  if test ! -r "$srcdir/$ac_unique_file"; then
+    srcdir=..
+  fi
+else
+  ac_srcdir_defaulted=no
+fi
+if test ! -r "$srcdir/$ac_unique_file"; then
+  test "$ac_srcdir_defaulted" = yes && srcdir="$ac_confdir or .."
+  { $as_echo "$as_me: error: cannot find sources ($ac_unique_file) in $srcdir" >&2
+   { (exit 1); exit 1; }; }
+fi
+ac_msg="sources are in $srcdir, but \`cd $srcdir' does not work"
+ac_abs_confdir=`(
+       cd "$srcdir" && test -r "./$ac_unique_file" || { $as_echo "$as_me: error: $ac_msg" >&2
+   { (exit 1); exit 1; }; }
+       pwd)`
+# When building in place, set srcdir=.
+if test "$ac_abs_confdir" = "$ac_pwd"; then
+  srcdir=.
+fi
+# Remove unnecessary trailing slashes from srcdir.
+# Double slashes in file names in object file debugging info
+# mess up M-x gdb in Emacs.
+case $srcdir in
+*/) srcdir=`expr "X$srcdir" : 'X\(.*[^/]\)' \| "X$srcdir" : 'X\(.*\)'`;;
+esac
+for ac_var in $ac_precious_vars; do
+  eval ac_env_${ac_var}_set=\${${ac_var}+set}
+  eval ac_env_${ac_var}_value=\$${ac_var}
+  eval ac_cv_env_${ac_var}_set=\${${ac_var}+set}
+  eval ac_cv_env_${ac_var}_value=\$${ac_var}
+done
+
+#
+# Report the --help message.
+#
+if test "$ac_init_help" = "long"; then
+  # Omit some internal or obsolete options to make the list less imposing.
+  # This message is too long to be a string in the A/UX 3.1 sh.
+  cat <<_ACEOF
+\`configure' configures this package to adapt to many kinds of systems.
+
+Usage: $0 [OPTION]... [VAR=VALUE]...
+
+To assign environment variables (e.g., CC, CFLAGS...), specify them as
+VAR=VALUE.  See below for descriptions of some of the useful variables.
+
+Defaults for the options are specified in brackets.
+
+Configuration:
+  -h, --help              display this help and exit
+      --help=short        display options specific to this package
+      --help=recursive    display the short help of all the included packages
+  -V, --version           display version information and exit
+  -q, --quiet, --silent   do not print \`checking...' messages
+      --cache-file=FILE   cache test results in FILE [disabled]
+  -C, --config-cache      alias for \`--cache-file=config.cache'
+  -n, --no-create         do not create output files
+      --srcdir=DIR        find the sources in DIR [configure dir or \`..']
+
+Installation directories:
+  --prefix=PREFIX         install architecture-independent files in PREFIX
+                          [$ac_default_prefix]
+  --exec-prefix=EPREFIX   install architecture-dependent files in EPREFIX
+                          [PREFIX]
+
+By default, \`make install' will install all the files in
+\`$ac_default_prefix/bin', \`$ac_default_prefix/lib' etc.  You can specify
+an installation prefix other than \`$ac_default_prefix' using \`--prefix',
+for instance \`--prefix=\$HOME'.
+
+For better control, use the options below.
+
+Fine tuning of the installation directories:
+  --bindir=DIR            user executables [EPREFIX/bin]
+  --sbindir=DIR           system admin executables [EPREFIX/sbin]
+  --libexecdir=DIR        program executables [EPREFIX/libexec]
+  --sysconfdir=DIR        read-only single-machine data [PREFIX/etc]
+  --sharedstatedir=DIR    modifiable architecture-independent data [PREFIX/com]
+  --localstatedir=DIR     modifiable single-machine data [PREFIX/var]
+  --libdir=DIR            object code libraries [EPREFIX/lib]
+  --includedir=DIR        C header files [PREFIX/include]
+  --oldincludedir=DIR     C header files for non-gcc [/usr/include]
+  --datarootdir=DIR       read-only arch.-independent data root [PREFIX/share]
+  --datadir=DIR           read-only architecture-independent data [DATAROOTDIR]
+  --infodir=DIR           info documentation [DATAROOTDIR/info]
+  --localedir=DIR         locale-dependent data [DATAROOTDIR/locale]
+  --mandir=DIR            man documentation [DATAROOTDIR/man]
+  --docdir=DIR            documentation root [DATAROOTDIR/doc/PACKAGE]
+  --htmldir=DIR           html documentation [DOCDIR]
+  --dvidir=DIR            dvi documentation [DOCDIR]
+  --pdfdir=DIR            pdf documentation [DOCDIR]
+  --psdir=DIR             ps documentation [DOCDIR]
+_ACEOF
+
+  cat <<\_ACEOF
+
+Program names:
+  --program-prefix=PREFIX            prepend PREFIX to installed program names
+  --program-suffix=SUFFIX            append SUFFIX to installed program names
+  --program-transform-name=PROGRAM   run sed PROGRAM on installed program names
+_ACEOF
+fi
+
+if test -n "$ac_init_help"; then
+
+  cat <<\_ACEOF
+
+Optional Features:
+  --disable-option-checking  ignore unrecognized --enable/--with options
+  --disable-FEATURE       do not include FEATURE (same as --enable-FEATURE=no)
+  --enable-FEATURE[=ARG]  include FEATURE [ARG=yes]
+  --enable-all-components Build all configurable components (default), or stop on failed dependencies
+
+  --enable-usrp2          Stop if usrp2 fails configuration
+  --enable-usrp2-firmware Stop if usrp2-firmware fails configuration
+  --disable-dependency-tracking  speeds up one-time build
+  --enable-dependency-tracking   do not reject slow dependency extractors
+
+Some influential environment variables:
+  CC          C compiler command
+  CFLAGS      C compiler flags
+  LDFLAGS     linker flags, e.g. -L<lib dir> if you have libraries in a
+              nonstandard directory <lib dir>
+  LIBS        libraries to pass to the linker, e.g. -l<library>
+  CPPFLAGS    C/C++/Objective C preprocessor flags, e.g. -I<include dir> if
+              you have headers in a nonstandard directory <include dir>
+  CPP         C preprocessor
+  CCAS        assembler compiler command (defaults to CC)
+  CCASFLAGS   assembler compiler flags (defaults to CFLAGS)
+
+Use these variables to override the choices made by `configure' or to help
+it to find libraries and programs with nonstandard names/locations.
+
+_ACEOF
+ac_status=$?
+fi
+
+if test "$ac_init_help" = "recursive"; then
+  # If there are subdirs, report their specific --help.
+  for ac_dir in : $ac_subdirs_all; do test "x$ac_dir" = x: && continue
+    test -d "$ac_dir" ||
+      { cd "$srcdir" && ac_pwd=`pwd` && srcdir=. && test -d "$ac_dir"; } ||
+      continue
+    ac_builddir=.
+
+case "$ac_dir" in
+.) ac_dir_suffix= ac_top_builddir_sub=. ac_top_build_prefix= ;;
+*)
+  ac_dir_suffix=/`$as_echo "$ac_dir" | sed 's|^\.[\\/]||'`
+  # A ".." for each directory in $ac_dir_suffix.
+  ac_top_builddir_sub=`$as_echo "$ac_dir_suffix" | sed 's|/[^\\/]*|/..|g;s|/||'`
+  case $ac_top_builddir_sub in
+  "") ac_top_builddir_sub=. ac_top_build_prefix= ;;
+  *)  ac_top_build_prefix=$ac_top_builddir_sub/ ;;
+  esac ;;
+esac
+ac_abs_top_builddir=$ac_pwd
+ac_abs_builddir=$ac_pwd$ac_dir_suffix
+# for backward compatibility:
+ac_top_builddir=$ac_top_build_prefix
+
+case $srcdir in
+  .)  # We are building in place.
+    ac_srcdir=.
+    ac_top_srcdir=$ac_top_builddir_sub
+    ac_abs_top_srcdir=$ac_pwd ;;
+  [\\/]* | ?:[\\/]* )  # Absolute name.
+    ac_srcdir=$srcdir$ac_dir_suffix;
+    ac_top_srcdir=$srcdir
+    ac_abs_top_srcdir=$srcdir ;;
+  *) # Relative name.
+    ac_srcdir=$ac_top_build_prefix$srcdir$ac_dir_suffix
+    ac_top_srcdir=$ac_top_build_prefix$srcdir
+    ac_abs_top_srcdir=$ac_pwd/$srcdir ;;
+esac
+ac_abs_srcdir=$ac_abs_top_srcdir$ac_dir_suffix
+
+    cd "$ac_dir" || { ac_status=$?; continue; }
+    # Check for guested configure.
+    if test -f "$ac_srcdir/configure.gnu"; then
+      echo &&
+      $SHELL "$ac_srcdir/configure.gnu" --help=recursive
+    elif test -f "$ac_srcdir/configure"; then
+      echo &&
+      $SHELL "$ac_srcdir/configure" --help=recursive
+    else
+      $as_echo "$as_me: WARNING: no configuration information is in $ac_dir" >&2
+    fi || ac_status=$?
+    cd "$ac_pwd" || { ac_status=$?; break; }
+  done
+fi
+
+test -n "$ac_init_help" && exit $ac_status
+if $ac_init_version; then
+  cat <<\_ACEOF
+configure
+generated by GNU Autoconf 2.63
+
+Copyright (C) 1992, 1993, 1994, 1995, 1996, 1998, 1999, 2000, 2001,
+2002, 2003, 2004, 2005, 2006, 2007, 2008 Free Software Foundation, Inc.
+This configure script is free software; the Free Software Foundation
+gives unlimited permission to copy, distribute and modify it.
+_ACEOF
+  exit
+fi
+cat >config.log <<_ACEOF
+This file contains any messages produced by compilers while
+running configure, to aid debugging if configure makes a mistake.
+
+It was created by $as_me, which was
+generated by GNU Autoconf 2.63.  Invocation command line was
+
+  $ $0 $@
+
+_ACEOF
+exec 5>>config.log
+{
+cat <<_ASUNAME
+## --------- ##
+## Platform. ##
+## --------- ##
+
+hostname = `(hostname || uname -n) 2>/dev/null | sed 1q`
+uname -m = `(uname -m) 2>/dev/null || echo unknown`
+uname -r = `(uname -r) 2>/dev/null || echo unknown`
+uname -s = `(uname -s) 2>/dev/null || echo unknown`
+uname -v = `(uname -v) 2>/dev/null || echo unknown`
+
+/usr/bin/uname -p = `(/usr/bin/uname -p) 2>/dev/null || echo unknown`
+/bin/uname -X     = `(/bin/uname -X) 2>/dev/null     || echo unknown`
+
+/bin/arch              = `(/bin/arch) 2>/dev/null              || echo unknown`
+/usr/bin/arch -k       = `(/usr/bin/arch -k) 2>/dev/null       || echo unknown`
+/usr/convex/getsysinfo = `(/usr/convex/getsysinfo) 2>/dev/null || echo unknown`
+/usr/bin/hostinfo      = `(/usr/bin/hostinfo) 2>/dev/null      || echo unknown`
+/bin/machine           = `(/bin/machine) 2>/dev/null           || echo unknown`
+/usr/bin/oslevel       = `(/usr/bin/oslevel) 2>/dev/null       || echo unknown`
+/bin/universe          = `(/bin/universe) 2>/dev/null          || echo unknown`
+
+_ASUNAME
+
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  $as_echo "PATH: $as_dir"
+done
+IFS=$as_save_IFS
+
+} >&5
+
+cat >&5 <<_ACEOF
+
+
+## ----------- ##
+## Core tests. ##
+## ----------- ##
+
+_ACEOF
+
+
+# Keep a trace of the command line.
+# Strip out --no-create and --no-recursion so they do not pile up.
+# Strip out --silent because we don't want to record it for future runs.
+# Also quote any args containing shell meta-characters.
+# Make two passes to allow for proper duplicate-argument suppression.
+ac_configure_args=
+ac_configure_args0=
+ac_configure_args1=
+ac_must_keep_next=false
+for ac_pass in 1 2
+do
+  for ac_arg
+  do
+    case $ac_arg in
+    -no-create | --no-c* | -n | -no-recursion | --no-r*) continue ;;
+    -q | -quiet | --quiet | --quie | --qui | --qu | --q \
+    | -silent | --silent | --silen | --sile | --sil)
+      continue ;;
+    *\'*)
+      ac_arg=`$as_echo "$ac_arg" | sed "s/'/'\\\\\\\\''/g"` ;;
+    esac
+    case $ac_pass in
+    1) ac_configure_args0="$ac_configure_args0 '$ac_arg'" ;;
+    2)
+      ac_configure_args1="$ac_configure_args1 '$ac_arg'"
+      if test $ac_must_keep_next = true; then
+       ac_must_keep_next=false # Got value, back to normal.
+      else
+       case $ac_arg in
+         *=* | --config-cache | -C | -disable-* | --disable-* \
+         | -enable-* | --enable-* | -gas | --g* | -nfp | --nf* \
+         | -q | -quiet | --q* | -silent | --sil* | -v | -verb* \
+         | -with-* | --with-* | -without-* | --without-* | --x)
+           case "$ac_configure_args0 " in
+             "$ac_configure_args1"*" '$ac_arg' "* ) continue ;;
+           esac
+           ;;
+         -* ) ac_must_keep_next=true ;;
+       esac
+      fi
+      ac_configure_args="$ac_configure_args '$ac_arg'"
+      ;;
+    esac
+  done
+done
+$as_unset ac_configure_args0 || test "${ac_configure_args0+set}" != set || { ac_configure_args0=; export ac_configure_args0; }
+$as_unset ac_configure_args1 || test "${ac_configure_args1+set}" != set || { ac_configure_args1=; export ac_configure_args1; }
+
+# When interrupted or exit'd, cleanup temporary files, and complete
+# config.log.  We remove comments because anyway the quotes in there
+# would cause problems or look ugly.
+# WARNING: Use '\'' to represent an apostrophe within the trap.
+# WARNING: Do not start the trap code with a newline, due to a FreeBSD 4.0 bug.
+trap 'exit_status=$?
+  # Save into config.log some information that might help in debugging.
+  {
+    echo
+
+    cat <<\_ASBOX
+## ---------------- ##
+## Cache variables. ##
+## ---------------- ##
+_ASBOX
+    echo
+    # The following way of writing the cache mishandles newlines in values,
+(
+  for ac_var in `(set) 2>&1 | sed -n '\''s/^\([a-zA-Z_][a-zA-Z0-9_]*\)=.*/\1/p'\''`; do
+    eval ac_val=\$$ac_var
+    case $ac_val in #(
+    *${as_nl}*)
+      case $ac_var in #(
+      *_cv_*) { $as_echo "$as_me:$LINENO: WARNING: cache variable $ac_var contains a newline" >&5
+$as_echo "$as_me: WARNING: cache variable $ac_var contains a newline" >&2;} ;;
+      esac
+      case $ac_var in #(
+      _ | IFS | as_nl) ;; #(
+      BASH_ARGV | BASH_SOURCE) eval $ac_var= ;; #(
+      *) $as_unset $ac_var ;;
+      esac ;;
+    esac
+  done
+  (set) 2>&1 |
+    case $as_nl`(ac_space='\'' '\''; set) 2>&1` in #(
+    *${as_nl}ac_space=\ *)
+      sed -n \
+       "s/'\''/'\''\\\\'\'''\''/g;
+         s/^\\([_$as_cr_alnum]*_cv_[_$as_cr_alnum]*\\)=\\(.*\\)/\\1='\''\\2'\''/p"
+      ;; #(
+    *)
+      sed -n "/^[_$as_cr_alnum]*_cv_[_$as_cr_alnum]*=/p"
+      ;;
+    esac |
+    sort
+)
+    echo
+
+    cat <<\_ASBOX
+## ----------------- ##
+## Output variables. ##
+## ----------------- ##
+_ASBOX
+    echo
+    for ac_var in $ac_subst_vars
+    do
+      eval ac_val=\$$ac_var
+      case $ac_val in
+      *\'\''*) ac_val=`$as_echo "$ac_val" | sed "s/'\''/'\''\\\\\\\\'\'''\''/g"`;;
+      esac
+      $as_echo "$ac_var='\''$ac_val'\''"
+    done | sort
+    echo
+
+    if test -n "$ac_subst_files"; then
+      cat <<\_ASBOX
+## ------------------- ##
+## File substitutions. ##
+## ------------------- ##
+_ASBOX
+      echo
+      for ac_var in $ac_subst_files
+      do
+       eval ac_val=\$$ac_var
+       case $ac_val in
+       *\'\''*) ac_val=`$as_echo "$ac_val" | sed "s/'\''/'\''\\\\\\\\'\'''\''/g"`;;
+       esac
+       $as_echo "$ac_var='\''$ac_val'\''"
+      done | sort
+      echo
+    fi
+
+    if test -s confdefs.h; then
+      cat <<\_ASBOX
+## ----------- ##
+## confdefs.h. ##
+## ----------- ##
+_ASBOX
+      echo
+      cat confdefs.h
+      echo
+    fi
+    test "$ac_signal" != 0 &&
+      $as_echo "$as_me: caught signal $ac_signal"
+    $as_echo "$as_me: exit $exit_status"
+  } >&5
+  rm -f core *.core core.conftest.* &&
+    rm -f -r conftest* confdefs* conf$$* $ac_clean_files &&
+    exit $exit_status
+' 0
+for ac_signal in 1 2 13 15; do
+  trap 'ac_signal='$ac_signal'; { (exit 1); exit 1; }' $ac_signal
+done
+ac_signal=0
+
+# confdefs.h avoids OS command line length limits that DEFS can exceed.
+rm -f -r conftest* confdefs.h
+
+# Predefined preprocessor variables.
+
+cat >>confdefs.h <<_ACEOF
+#define PACKAGE_NAME "$PACKAGE_NAME"
+_ACEOF
+
+
+cat >>confdefs.h <<_ACEOF
+#define PACKAGE_TARNAME "$PACKAGE_TARNAME"
+_ACEOF
+
+
+cat >>confdefs.h <<_ACEOF
+#define PACKAGE_VERSION "$PACKAGE_VERSION"
+_ACEOF
+
+
+cat >>confdefs.h <<_ACEOF
+#define PACKAGE_STRING "$PACKAGE_STRING"
+_ACEOF
+
+
+cat >>confdefs.h <<_ACEOF
+#define PACKAGE_BUGREPORT "$PACKAGE_BUGREPORT"
+_ACEOF
+
+
+# Let the site file select an alternate cache file if it wants to.
+# Prefer an explicitly selected file to automatically selected ones.
+ac_site_file1=NONE
+ac_site_file2=NONE
+if test -n "$CONFIG_SITE"; then
+  ac_site_file1=$CONFIG_SITE
+elif test "x$prefix" != xNONE; then
+  ac_site_file1=$prefix/share/config.site
+  ac_site_file2=$prefix/etc/config.site
+else
+  ac_site_file1=$ac_default_prefix/share/config.site
+  ac_site_file2=$ac_default_prefix/etc/config.site
+fi
+for ac_site_file in "$ac_site_file1" "$ac_site_file2"
+do
+  test "x$ac_site_file" = xNONE && continue
+  if test -r "$ac_site_file"; then
+    { $as_echo "$as_me:$LINENO: loading site script $ac_site_file" >&5
+$as_echo "$as_me: loading site script $ac_site_file" >&6;}
+    sed 's/^/| /' "$ac_site_file" >&5
+    . "$ac_site_file"
+  fi
+done
+
+if test -r "$cache_file"; then
+  # Some versions of bash will fail to source /dev/null (special
+  # files actually), so we avoid doing that.
+  if test -f "$cache_file"; then
+    { $as_echo "$as_me:$LINENO: loading cache $cache_file" >&5
+$as_echo "$as_me: loading cache $cache_file" >&6;}
+    case $cache_file in
+      [\\/]* | ?:[\\/]* ) . "$cache_file";;
+      *)                      . "./$cache_file";;
+    esac
+  fi
+else
+  { $as_echo "$as_me:$LINENO: creating cache $cache_file" >&5
+$as_echo "$as_me: creating cache $cache_file" >&6;}
+  >$cache_file
+fi
+
+# Check that the precious variables saved in the cache have kept the same
+# value.
+ac_cache_corrupted=false
+for ac_var in $ac_precious_vars; do
+  eval ac_old_set=\$ac_cv_env_${ac_var}_set
+  eval ac_new_set=\$ac_env_${ac_var}_set
+  eval ac_old_val=\$ac_cv_env_${ac_var}_value
+  eval ac_new_val=\$ac_env_${ac_var}_value
+  case $ac_old_set,$ac_new_set in
+    set,)
+      { $as_echo "$as_me:$LINENO: error: \`$ac_var' was set to \`$ac_old_val' in the previous run" >&5
+$as_echo "$as_me: error: \`$ac_var' was set to \`$ac_old_val' in the previous run" >&2;}
+      ac_cache_corrupted=: ;;
+    ,set)
+      { $as_echo "$as_me:$LINENO: error: \`$ac_var' was not set in the previous run" >&5
+$as_echo "$as_me: error: \`$ac_var' was not set in the previous run" >&2;}
+      ac_cache_corrupted=: ;;
+    ,);;
+    *)
+      if test "x$ac_old_val" != "x$ac_new_val"; then
+       # differences in whitespace do not lead to failure.
+       ac_old_val_w=`echo x $ac_old_val`
+       ac_new_val_w=`echo x $ac_new_val`
+       if test "$ac_old_val_w" != "$ac_new_val_w"; then
+         { $as_echo "$as_me:$LINENO: error: \`$ac_var' has changed since the previous run:" >&5
+$as_echo "$as_me: error: \`$ac_var' has changed since the previous run:" >&2;}
+         ac_cache_corrupted=:
+       else
+         { $as_echo "$as_me:$LINENO: warning: ignoring whitespace changes in \`$ac_var' since the previous run:" >&5
+$as_echo "$as_me: warning: ignoring whitespace changes in \`$ac_var' since the previous run:" >&2;}
+         eval $ac_var=\$ac_old_val
+       fi
+       { $as_echo "$as_me:$LINENO:   former value:  \`$ac_old_val'" >&5
+$as_echo "$as_me:   former value:  \`$ac_old_val'" >&2;}
+       { $as_echo "$as_me:$LINENO:   current value: \`$ac_new_val'" >&5
+$as_echo "$as_me:   current value: \`$ac_new_val'" >&2;}
+      fi;;
+  esac
+  # Pass precious variables to config.status.
+  if test "$ac_new_set" = set; then
+    case $ac_new_val in
+    *\'*) ac_arg=$ac_var=`$as_echo "$ac_new_val" | sed "s/'/'\\\\\\\\''/g"` ;;
+    *) ac_arg=$ac_var=$ac_new_val ;;
+    esac
+    case " $ac_configure_args " in
+      *" '$ac_arg' "*) ;; # Avoid dups.  Use of quotes ensures accuracy.
+      *) ac_configure_args="$ac_configure_args '$ac_arg'" ;;
+    esac
+  fi
+done
+if $ac_cache_corrupted; then
+  { $as_echo "$as_me:$LINENO: error: in \`$ac_pwd':" >&5
+$as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
+  { $as_echo "$as_me:$LINENO: error: changes in the environment can compromise the build" >&5
+$as_echo "$as_me: error: changes in the environment can compromise the build" >&2;}
+  { { $as_echo "$as_me:$LINENO: error: run \`make distclean' and/or \`rm $cache_file' and start over" >&5
+$as_echo "$as_me: error: run \`make distclean' and/or \`rm $cache_file' and start over" >&2;}
+   { (exit 1); exit 1; }; }
+fi
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+
+ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+
+
+ac_config_headers="$ac_config_headers config.h"
+
+ac_aux_dir=
+for ac_dir in . "$srcdir"/.; do
+  if test -f "$ac_dir/install-sh"; then
+    ac_aux_dir=$ac_dir
+    ac_install_sh="$ac_aux_dir/install-sh -c"
+    break
+  elif test -f "$ac_dir/install.sh"; then
+    ac_aux_dir=$ac_dir
+    ac_install_sh="$ac_aux_dir/install.sh -c"
+    break
+  elif test -f "$ac_dir/shtool"; then
+    ac_aux_dir=$ac_dir
+    ac_install_sh="$ac_aux_dir/shtool install -c"
+    break
+  fi
+done
+if test -z "$ac_aux_dir"; then
+  { { $as_echo "$as_me:$LINENO: error: cannot find install-sh or install.sh in . \"$srcdir\"/." >&5
+$as_echo "$as_me: error: cannot find install-sh or install.sh in . \"$srcdir\"/." >&2;}
+   { (exit 1); exit 1; }; }
+fi
+
+# These three variables are undocumented and unsupported,
+# and are intended to be withdrawn in a future Autoconf release.
+# They can cause serious problems if a builder's source tree is in a directory
+# whose full name contains unusual characters.
+ac_config_guess="$SHELL $ac_aux_dir/config.guess"  # Please don't use this var.
+ac_config_sub="$SHELL $ac_aux_dir/config.sub"  # Please don't use this var.
+ac_configure="$SHELL $ac_aux_dir/configure"  # Please don't use this var.
+
+
+
+
+am__api_version='1.10'
+
+# Find a good install program.  We prefer a C program (faster),
+# so one script is as good as another.  But avoid the broken or
+# incompatible versions:
+# SysV /etc/install, /usr/sbin/install
+# SunOS /usr/etc/install
+# IRIX /sbin/install
+# AIX /bin/install
+# AmigaOS /C/install, which installs bootblocks on floppy discs
+# AIX 4 /usr/bin/installbsd, which doesn't work without a -g flag
+# AFS /usr/afsws/bin/install, which mishandles nonexistent args
+# SVR4 /usr/ucb/install, which tries to use the nonexistent group "staff"
+# OS/2's system install, which has a completely different semantic
+# ./install, which can be erroneously created by make from ./install.sh.
+# Reject install programs that cannot install multiple files.
+{ $as_echo "$as_me:$LINENO: checking for a BSD-compatible install" >&5
+$as_echo_n "checking for a BSD-compatible install... " >&6; }
+if test -z "$INSTALL"; then
+if test "${ac_cv_path_install+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  # Account for people who put trailing slashes in PATH elements.
+case $as_dir/ in
+  ./ | .// | /cC/* | \
+  /etc/* | /usr/sbin/* | /usr/etc/* | /sbin/* | /usr/afsws/bin/* | \
+  ?:\\/os2\\/install\\/* | ?:\\/OS2\\/INSTALL\\/* | \
+  /usr/ucb/* ) ;;
+  *)
+    # OSF1 and SCO ODT 3.0 have their own names for install.
+    # Don't use installbsd from OSF since it installs stuff as root
+    # by default.
+    for ac_prog in ginstall scoinst install; do
+      for ac_exec_ext in '' $ac_executable_extensions; do
+       if { test -f "$as_dir/$ac_prog$ac_exec_ext" && $as_test_x "$as_dir/$ac_prog$ac_exec_ext"; }; then
+         if test $ac_prog = install &&
+           grep dspmsg "$as_dir/$ac_prog$ac_exec_ext" >/dev/null 2>&1; then
+           # AIX install.  It has an incompatible calling convention.
+           :
+         elif test $ac_prog = install &&
+           grep pwplus "$as_dir/$ac_prog$ac_exec_ext" >/dev/null 2>&1; then
+           # program-specific install script used by HP pwplus--don't use.
+           :
+         else
+           rm -rf conftest.one conftest.two conftest.dir
+           echo one > conftest.one
+           echo two > conftest.two
+           mkdir conftest.dir
+           if "$as_dir/$ac_prog$ac_exec_ext" -c conftest.one conftest.two "`pwd`/conftest.dir" &&
+             test -s conftest.one && test -s conftest.two &&
+             test -s conftest.dir/conftest.one &&
+             test -s conftest.dir/conftest.two
+           then
+             ac_cv_path_install="$as_dir/$ac_prog$ac_exec_ext -c"
+             break 3
+           fi
+         fi
+       fi
+      done
+    done
+    ;;
+esac
+
+done
+IFS=$as_save_IFS
+
+rm -rf conftest.one conftest.two conftest.dir
+
+fi
+  if test "${ac_cv_path_install+set}" = set; then
+    INSTALL=$ac_cv_path_install
+  else
+    # As a last resort, use the slow shell script.  Don't cache a
+    # value for INSTALL within a source directory, because that will
+    # break other packages using the cache if that directory is
+    # removed, or if the value is a relative name.
+    INSTALL=$ac_install_sh
+  fi
+fi
+{ $as_echo "$as_me:$LINENO: result: $INSTALL" >&5
+$as_echo "$INSTALL" >&6; }
+
+# Use test -z because SunOS4 sh mishandles braces in ${var-val}.
+# It thinks the first close brace ends the variable substitution.
+test -z "$INSTALL_PROGRAM" && INSTALL_PROGRAM='${INSTALL}'
+
+test -z "$INSTALL_SCRIPT" && INSTALL_SCRIPT='${INSTALL}'
+
+test -z "$INSTALL_DATA" && INSTALL_DATA='${INSTALL} -m 644'
+
+{ $as_echo "$as_me:$LINENO: checking whether build environment is sane" >&5
+$as_echo_n "checking whether build environment is sane... " >&6; }
+# Just in case
+sleep 1
+echo timestamp > conftest.file
+# Do `set' in a subshell so we don't clobber the current shell's
+# arguments.  Must try -L first in case configure is actually a
+# symlink; some systems play weird games with the mod time of symlinks
+# (eg FreeBSD returns the mod time of the symlink's containing
+# directory).
+if (
+   set X `ls -Lt $srcdir/configure conftest.file 2> /dev/null`
+   if test "$*" = "X"; then
+      # -L didn't work.
+      set X `ls -t $srcdir/configure conftest.file`
+   fi
+   rm -f conftest.file
+   if test "$*" != "X $srcdir/configure conftest.file" \
+      && test "$*" != "X conftest.file $srcdir/configure"; then
+
+      # If neither matched, then we have a broken ls.  This can happen
+      # if, for instance, CONFIG_SHELL is bash and it inherits a
+      # broken ls alias from the environment.  This has actually
+      # happened.  Such a system could not be considered "sane".
+      { { $as_echo "$as_me:$LINENO: error: ls -t appears to fail.  Make sure there is not a broken
+alias in your environment" >&5
+$as_echo "$as_me: error: ls -t appears to fail.  Make sure there is not a broken
+alias in your environment" >&2;}
+   { (exit 1); exit 1; }; }
+   fi
+
+   test "$2" = conftest.file
+   )
+then
+   # Ok.
+   :
+else
+   { { $as_echo "$as_me:$LINENO: error: newly created file is older than distributed files!
+Check your system clock" >&5
+$as_echo "$as_me: error: newly created file is older than distributed files!
+Check your system clock" >&2;}
+   { (exit 1); exit 1; }; }
+fi
+{ $as_echo "$as_me:$LINENO: result: yes" >&5
+$as_echo "yes" >&6; }
+test "$program_prefix" != NONE &&
+  program_transform_name="s&^&$program_prefix&;$program_transform_name"
+# Use a double $ so make ignores it.
+test "$program_suffix" != NONE &&
+  program_transform_name="s&\$&$program_suffix&;$program_transform_name"
+# Double any \ or $.
+# By default was `s,x,x', remove it if useless.
+ac_script='s/[\\$]/&&/g;s/;s,x,x,$//'
+program_transform_name=`$as_echo "$program_transform_name" | sed "$ac_script"`
+
+# expand $ac_aux_dir to an absolute path
+am_aux_dir=`cd $ac_aux_dir && pwd`
+
+test x"${MISSING+set}" = xset || MISSING="\${SHELL} $am_aux_dir/missing"
+# Use eval to expand $SHELL
+if eval "$MISSING --run true"; then
+  am_missing_run="$MISSING --run "
+else
+  am_missing_run=
+  { $as_echo "$as_me:$LINENO: WARNING: \`missing' script is too old or missing" >&5
+$as_echo "$as_me: WARNING: \`missing' script is too old or missing" >&2;}
+fi
+
+{ $as_echo "$as_me:$LINENO: checking for a thread-safe mkdir -p" >&5
+$as_echo_n "checking for a thread-safe mkdir -p... " >&6; }
+if test -z "$MKDIR_P"; then
+  if test "${ac_cv_path_mkdir+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH$PATH_SEPARATOR/opt/sfw/bin
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_prog in mkdir gmkdir; do
+        for ac_exec_ext in '' $ac_executable_extensions; do
+          { test -f "$as_dir/$ac_prog$ac_exec_ext" && $as_test_x "$as_dir/$ac_prog$ac_exec_ext"; } || continue
+          case `"$as_dir/$ac_prog$ac_exec_ext" --version 2>&1` in #(
+            'mkdir (GNU coreutils) '* | \
+            'mkdir (coreutils) '* | \
+            'mkdir (fileutils) '4.1*)
+              ac_cv_path_mkdir=$as_dir/$ac_prog$ac_exec_ext
+              break 3;;
+          esac
+        done
+       done
+done
+IFS=$as_save_IFS
+
+fi
+
+  if test "${ac_cv_path_mkdir+set}" = set; then
+    MKDIR_P="$ac_cv_path_mkdir -p"
+  else
+    # As a last resort, use the slow shell script.  Don't cache a
+    # value for MKDIR_P within a source directory, because that will
+    # break other packages using the cache if that directory is
+    # removed, or if the value is a relative name.
+    test -d ./--version && rmdir ./--version
+    MKDIR_P="$ac_install_sh -d"
+  fi
+fi
+{ $as_echo "$as_me:$LINENO: result: $MKDIR_P" >&5
+$as_echo "$MKDIR_P" >&6; }
+
+mkdir_p="$MKDIR_P"
+case $mkdir_p in
+  [\\/$]* | ?:[\\/]*) ;;
+  */*) mkdir_p="\$(top_builddir)/$mkdir_p" ;;
+esac
+
+for ac_prog in gawk mawk nawk awk
+do
+  # Extract the first word of "$ac_prog", so it can be a program name with args.
+set dummy $ac_prog; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_AWK+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$AWK"; then
+  ac_cv_prog_AWK="$AWK" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_AWK="$ac_prog"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+AWK=$ac_cv_prog_AWK
+if test -n "$AWK"; then
+  { $as_echo "$as_me:$LINENO: result: $AWK" >&5
+$as_echo "$AWK" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+  test -n "$AWK" && break
+done
+
+{ $as_echo "$as_me:$LINENO: checking whether ${MAKE-make} sets \$(MAKE)" >&5
+$as_echo_n "checking whether ${MAKE-make} sets \$(MAKE)... " >&6; }
+set x ${MAKE-make}
+ac_make=`$as_echo "$2" | sed 's/+/p/g; s/[^a-zA-Z0-9_]/_/g'`
+if { as_var=ac_cv_prog_make_${ac_make}_set; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.make <<\_ACEOF
+SHELL = /bin/sh
+all:
+       @echo '@@@%%%=$(MAKE)=@@@%%%'
+_ACEOF
+# GNU make sometimes prints "make[1]: Entering...", which would confuse us.
+case `${MAKE-make} -f conftest.make 2>/dev/null` in
+  *@@@%%%=?*=@@@%%%*)
+    eval ac_cv_prog_make_${ac_make}_set=yes;;
+  *)
+    eval ac_cv_prog_make_${ac_make}_set=no;;
+esac
+rm -f conftest.make
+fi
+if eval test \$ac_cv_prog_make_${ac_make}_set = yes; then
+  { $as_echo "$as_me:$LINENO: result: yes" >&5
+$as_echo "yes" >&6; }
+  SET_MAKE=
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+  SET_MAKE="MAKE=${MAKE-make}"
+fi
+
+rm -rf .tst 2>/dev/null
+mkdir .tst 2>/dev/null
+if test -d .tst; then
+  am__leading_dot=.
+else
+  am__leading_dot=_
+fi
+rmdir .tst 2>/dev/null
+
+if test "`cd $srcdir && pwd`" != "`pwd`"; then
+  # Use -I$(srcdir) only when $(srcdir) != ., so that make's output
+  # is not polluted with repeated "-I."
+  am__isrc=' -I$(srcdir)'
+  # test to see if srcdir already configured
+  if test -f $srcdir/config.status; then
+    { { $as_echo "$as_me:$LINENO: error: source directory already configured; run \"make distclean\" there first" >&5
+$as_echo "$as_me: error: source directory already configured; run \"make distclean\" there first" >&2;}
+   { (exit 1); exit 1; }; }
+  fi
+fi
+
+# test whether we have cygpath
+if test -z "$CYGPATH_W"; then
+  if (cygpath --version) >/dev/null 2>/dev/null; then
+    CYGPATH_W='cygpath -w'
+  else
+    CYGPATH_W=echo
+  fi
+fi
+
+
+# Define the identity of the package.
+ PACKAGE=usrp2-firmware
+ VERSION=0.0svn
+
+
+cat >>confdefs.h <<_ACEOF
+#define PACKAGE "$PACKAGE"
+_ACEOF
+
+
+cat >>confdefs.h <<_ACEOF
+#define VERSION "$VERSION"
+_ACEOF
+
+# Some tools Automake needs.
+
+ACLOCAL=${ACLOCAL-"${am_missing_run}aclocal-${am__api_version}"}
+
+
+AUTOCONF=${AUTOCONF-"${am_missing_run}autoconf"}
+
+
+AUTOMAKE=${AUTOMAKE-"${am_missing_run}automake-${am__api_version}"}
+
+
+AUTOHEADER=${AUTOHEADER-"${am_missing_run}autoheader"}
+
+
+MAKEINFO=${MAKEINFO-"${am_missing_run}makeinfo"}
+
+install_sh=${install_sh-"\$(SHELL) $am_aux_dir/install-sh"}
+
+# Installed binaries are usually stripped using `strip' when the user
+# run `make install-strip'.  However `strip' might not be the right
+# tool to use in cross-compilation environments, therefore Automake
+# will honor the `STRIP' environment variable to overrule this program.
+if test "$cross_compiling" != no; then
+  if test -n "$ac_tool_prefix"; then
+  # Extract the first word of "${ac_tool_prefix}strip", so it can be a program name with args.
+set dummy ${ac_tool_prefix}strip; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_STRIP+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$STRIP"; then
+  ac_cv_prog_STRIP="$STRIP" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_STRIP="${ac_tool_prefix}strip"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+STRIP=$ac_cv_prog_STRIP
+if test -n "$STRIP"; then
+  { $as_echo "$as_me:$LINENO: result: $STRIP" >&5
+$as_echo "$STRIP" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+fi
+if test -z "$ac_cv_prog_STRIP"; then
+  ac_ct_STRIP=$STRIP
+  # Extract the first word of "strip", so it can be a program name with args.
+set dummy strip; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_ac_ct_STRIP+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_STRIP"; then
+  ac_cv_prog_ac_ct_STRIP="$ac_ct_STRIP" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_ac_ct_STRIP="strip"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_STRIP=$ac_cv_prog_ac_ct_STRIP
+if test -n "$ac_ct_STRIP"; then
+  { $as_echo "$as_me:$LINENO: result: $ac_ct_STRIP" >&5
+$as_echo "$ac_ct_STRIP" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+  if test "x$ac_ct_STRIP" = x; then
+    STRIP=":"
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:$LINENO: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    STRIP=$ac_ct_STRIP
+  fi
+else
+  STRIP="$ac_cv_prog_STRIP"
+fi
+
+fi
+INSTALL_STRIP_PROGRAM="\$(install_sh) -c -s"
+
+# We need awk for the "check" target.  The system "awk" is bad on
+# some platforms.
+# Always define AMTAR for backward compatibility.
+
+AMTAR=${AMTAR-"${am_missing_run}tar"}
+
+am__tar='${AMTAR} chof - "$$tardir"'; am__untar='${AMTAR} xf -'
+
+
+
+
+
+
+
+# Check whether --enable-all-components was given.
+if test "${enable_all_components+set}" = set; then
+  enableval=$enable_all_components;
+fi
+
+
+#build_dirs="config"
+build_dirs=
+
+
+
+    passed=yes
+    # Check whether --enable-usrp2 was given.
+if test "${enable_usrp2+set}" = set; then
+  enableval=$enable_usrp2;
+else
+
+        enable_usrp2=$enable_all_components
+        if test x$enable_all_components = xno; then
+            passed=no
+        fi
+
+fi
+
+
+
+
+
+    usrp2_with=no
+    if test $passed = no; then
+       if test x$enable_usrp2 = xyes; then
+           { { $as_echo "$as_me:$LINENO: error: Component usrp2 has errors; stopping." >&5
+$as_echo "$as_me: error: Component usrp2 has errors; stopping." >&2;}
+   { (exit 1); exit 1; }; }
+       else
+           { $as_echo "$as_me:$LINENO: result: Not building component usrp2." >&5
+$as_echo "Not building component usrp2." >&6; }
+       fi
+    else
+        if test $passed = with; then
+           with_dirs="$with_dirs usrp2"
+
+    if test "x${usrp2_INCLUDES}" != "x"; then
+        if test "x$with_INCLUDES" = "x"; then
+            with_INCLUDES="${usrp2_INCLUDES}"
+       else
+           with_INCLUDES="${usrp2_INCLUDES}"" ""$with_INCLUDES"
+       fi
+       usrp2_INCLUDES=
+    fi
+
+
+    if test "x${usrp2_SWIG_INCLUDES}" != "x"; then
+        if test "x$with_SWIG_INCLUDES" = "x"; then
+            with_SWIG_INCLUDES="${usrp2_SWIG_INCLUDES}"
+       else
+           with_SWIG_INCLUDES="${usrp2_SWIG_INCLUDES}"" ""$with_SWIG_INCLUDES"
+       fi
+       usrp2_SWIG_INCLUDES=
+    fi
+
+
+    if test "x${usrp2_PYDIRPATH}" != "x"; then
+        if test "x$with_PYDIRPATH" = "x"; then
+            with_PYDIRPATH="${usrp2_PYDIRPATH}"
+       else
+           with_PYDIRPATH="${usrp2_PYDIRPATH}"":""$with_PYDIRPATH"
+       fi
+       usrp2_PYDIRPATH=
+    fi
+
+
+    if test "x${usrp2_SWIGDIRPATH}" != "x"; then
+        if test "x$with_SWIGDIRPATH" = "x"; then
+            with_SWIGDIRPATH="${usrp2_SWIGDIRPATH}"
+       else
+           with_SWIGDIRPATH="${usrp2_SWIGDIRPATH}"":""$with_SWIGDIRPATH"
+       fi
+       usrp2_SWIGDIRPATH=
+    fi
+
+
+    if test "x${usrp2_LIBDIRPATH}" != "x"; then
+        if test "x$with_LIBDIRPATH" = "x"; then
+            with_LIBDIRPATH="${usrp2_LIBDIRPATH}"
+       else
+           with_LIBDIRPATH="${usrp2_LIBDIRPATH}"":""$with_LIBDIRPATH"
+       fi
+       usrp2_LIBDIRPATH=
+    fi
+
+           { $as_echo "$as_me:$LINENO: result: Component usrp2 will be included from a pre-installed library and includes." >&5
+$as_echo "Component usrp2 will be included from a pre-installed library and includes." >&6; }
+           usrp2_with=yes
+       else
+           usrp2_LDFLAG=
+            if test x$enable_usrp2 != xno; then
+               :
+               build_dirs="$build_dirs usrp2"
+               { $as_echo "$as_me:$LINENO: result: Component usrp2 passed configuration checks; building." >&5
+$as_echo "Component usrp2 passed configuration checks; building." >&6; }
+            else
+               passed=no
+               { $as_echo "$as_me:$LINENO: result: Component usrp2 passed configuration checks; but not building." >&5
+$as_echo "Component usrp2 passed configuration checks; but not building." >&6; }
+           fi
+       fi
+    fi
+    if test $passed = no; then
+        skipped_dirs="$skipped_dirs usrp2"
+        usrp2_skipped=yes
+    else
+        usrp2_skipped=no
+    fi
+
+
+
+
+
+
+
+
+DEPDIR="${am__leading_dot}deps"
+
+ac_config_commands="$ac_config_commands depfiles"
+
+
+am_make=${MAKE-make}
+cat > confinc << 'END'
+am__doit:
+       @echo done
+.PHONY: am__doit
+END
+# If we don't find an include directive, just comment out the code.
+{ $as_echo "$as_me:$LINENO: checking for style of include used by $am_make" >&5
+$as_echo_n "checking for style of include used by $am_make... " >&6; }
+am__include="#"
+am__quote=
+_am_result=none
+# First try GNU make style include.
+echo "include confinc" > confmf
+# We grep out `Entering directory' and `Leaving directory'
+# messages which can occur if `w' ends up in MAKEFLAGS.
+# In particular we don't look at `^make:' because GNU make might
+# be invoked under some other name (usually "gmake"), in which
+# case it prints its new name instead of `make'.
+if test "`$am_make -s -f confmf 2> /dev/null | grep -v 'ing directory'`" = "done"; then
+   am__include=include
+   am__quote=
+   _am_result=GNU
+fi
+# Now try BSD make style include.
+if test "$am__include" = "#"; then
+   echo '.include "confinc"' > confmf
+   if test "`$am_make -s -f confmf 2> /dev/null`" = "done"; then
+      am__include=.include
+      am__quote="\""
+      _am_result=BSD
+   fi
+fi
+
+
+{ $as_echo "$as_me:$LINENO: result: $_am_result" >&5
+$as_echo "$_am_result" >&6; }
+rm -f confinc confmf
+
+# Check whether --enable-dependency-tracking was given.
+if test "${enable_dependency_tracking+set}" = set; then
+  enableval=$enable_dependency_tracking;
+fi
+
+if test "x$enable_dependency_tracking" != xno; then
+  am_depcomp="$ac_aux_dir/depcomp"
+  AMDEPBACKSLASH='\'
+fi
+ if test "x$enable_dependency_tracking" != xno; then
+  AMDEP_TRUE=
+  AMDEP_FALSE='#'
+else
+  AMDEP_TRUE='#'
+  AMDEP_FALSE=
+fi
+
+
+ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+if test -n "$ac_tool_prefix"; then
+  # Extract the first word of "${ac_tool_prefix}gcc", so it can be a program name with args.
+set dummy ${ac_tool_prefix}gcc; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_CC+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$CC"; then
+  ac_cv_prog_CC="$CC" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_CC="${ac_tool_prefix}gcc"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+CC=$ac_cv_prog_CC
+if test -n "$CC"; then
+  { $as_echo "$as_me:$LINENO: result: $CC" >&5
+$as_echo "$CC" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+fi
+if test -z "$ac_cv_prog_CC"; then
+  ac_ct_CC=$CC
+  # Extract the first word of "gcc", so it can be a program name with args.
+set dummy gcc; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_ac_ct_CC+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_CC"; then
+  ac_cv_prog_ac_ct_CC="$ac_ct_CC" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_ac_ct_CC="gcc"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_CC=$ac_cv_prog_ac_ct_CC
+if test -n "$ac_ct_CC"; then
+  { $as_echo "$as_me:$LINENO: result: $ac_ct_CC" >&5
+$as_echo "$ac_ct_CC" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+  if test "x$ac_ct_CC" = x; then
+    CC=""
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:$LINENO: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    CC=$ac_ct_CC
+  fi
+else
+  CC="$ac_cv_prog_CC"
+fi
+
+if test -z "$CC"; then
+          if test -n "$ac_tool_prefix"; then
+    # Extract the first word of "${ac_tool_prefix}cc", so it can be a program name with args.
+set dummy ${ac_tool_prefix}cc; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_CC+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$CC"; then
+  ac_cv_prog_CC="$CC" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_CC="${ac_tool_prefix}cc"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+CC=$ac_cv_prog_CC
+if test -n "$CC"; then
+  { $as_echo "$as_me:$LINENO: result: $CC" >&5
+$as_echo "$CC" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+  fi
+fi
+if test -z "$CC"; then
+  # Extract the first word of "cc", so it can be a program name with args.
+set dummy cc; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_CC+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$CC"; then
+  ac_cv_prog_CC="$CC" # Let the user override the test.
+else
+  ac_prog_rejected=no
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    if test "$as_dir/$ac_word$ac_exec_ext" = "/usr/ucb/cc"; then
+       ac_prog_rejected=yes
+       continue
+     fi
+    ac_cv_prog_CC="cc"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+if test $ac_prog_rejected = yes; then
+  # We found a bogon in the path, so make sure we never use it.
+  set dummy $ac_cv_prog_CC
+  shift
+  if test $# != 0; then
+    # We chose a different compiler from the bogus one.
+    # However, it has the same basename, so the bogon will be chosen
+    # first if we set CC to just the basename; use the full file name.
+    shift
+    ac_cv_prog_CC="$as_dir/$ac_word${1+' '}$@"
+  fi
+fi
+fi
+fi
+CC=$ac_cv_prog_CC
+if test -n "$CC"; then
+  { $as_echo "$as_me:$LINENO: result: $CC" >&5
+$as_echo "$CC" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+fi
+if test -z "$CC"; then
+  if test -n "$ac_tool_prefix"; then
+  for ac_prog in cl.exe
+  do
+    # Extract the first word of "$ac_tool_prefix$ac_prog", so it can be a program name with args.
+set dummy $ac_tool_prefix$ac_prog; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_CC+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$CC"; then
+  ac_cv_prog_CC="$CC" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_CC="$ac_tool_prefix$ac_prog"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+CC=$ac_cv_prog_CC
+if test -n "$CC"; then
+  { $as_echo "$as_me:$LINENO: result: $CC" >&5
+$as_echo "$CC" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+    test -n "$CC" && break
+  done
+fi
+if test -z "$CC"; then
+  ac_ct_CC=$CC
+  for ac_prog in cl.exe
+do
+  # Extract the first word of "$ac_prog", so it can be a program name with args.
+set dummy $ac_prog; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_ac_ct_CC+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_CC"; then
+  ac_cv_prog_ac_ct_CC="$ac_ct_CC" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_ac_ct_CC="$ac_prog"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_CC=$ac_cv_prog_ac_ct_CC
+if test -n "$ac_ct_CC"; then
+  { $as_echo "$as_me:$LINENO: result: $ac_ct_CC" >&5
+$as_echo "$ac_ct_CC" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+  test -n "$ac_ct_CC" && break
+done
+
+  if test "x$ac_ct_CC" = x; then
+    CC=""
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:$LINENO: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    CC=$ac_ct_CC
+  fi
+fi
+
+fi
+
+
+test -z "$CC" && { { $as_echo "$as_me:$LINENO: error: in \`$ac_pwd':" >&5
+$as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
+{ { $as_echo "$as_me:$LINENO: error: no acceptable C compiler found in \$PATH
+See \`config.log' for more details." >&5
+$as_echo "$as_me: error: no acceptable C compiler found in \$PATH
+See \`config.log' for more details." >&2;}
+   { (exit 1); exit 1; }; }; }
+
+# Provide some information about the compiler.
+$as_echo "$as_me:$LINENO: checking for C compiler version" >&5
+set X $ac_compile
+ac_compiler=$2
+{ (ac_try="$ac_compiler --version >&5"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compiler --version >&5") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }
+{ (ac_try="$ac_compiler -v >&5"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compiler -v >&5") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }
+{ (ac_try="$ac_compiler -V >&5"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compiler -V >&5") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }
+
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+ac_clean_files_save=$ac_clean_files
+ac_clean_files="$ac_clean_files a.out a.out.dSYM a.exe b.out"
+# Try to create an executable without -o first, disregard a.out.
+# It will help us diagnose broken compilers, and finding out an intuition
+# of exeext.
+{ $as_echo "$as_me:$LINENO: checking for C compiler default output file name" >&5
+$as_echo_n "checking for C compiler default output file name... " >&6; }
+ac_link_default=`$as_echo "$ac_link" | sed 's/ -o *conftest[^ ]*//'`
+
+# The possible output files:
+ac_files="a.out conftest.exe conftest a.exe a_out.exe b.out conftest.*"
+
+ac_rmfiles=
+for ac_file in $ac_files
+do
+  case $ac_file in
+    *.$ac_ext | *.xcoff | *.tds | *.d | *.pdb | *.xSYM | *.bb | *.bbg | *.map | *.inf | *.dSYM | *.o | *.obj ) ;;
+    * ) ac_rmfiles="$ac_rmfiles $ac_file";;
+  esac
+done
+rm -f $ac_rmfiles
+
+if { (ac_try="$ac_link_default"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link_default") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  # Autoconf-2.13 could set the ac_cv_exeext variable to `no'.
+# So ignore a value of `no', otherwise this would lead to `EXEEXT = no'
+# in a Makefile.  We should not override ac_cv_exeext if it was cached,
+# so that the user can short-circuit this test for compilers unknown to
+# Autoconf.
+for ac_file in $ac_files ''
+do
+  test -f "$ac_file" || continue
+  case $ac_file in
+    *.$ac_ext | *.xcoff | *.tds | *.d | *.pdb | *.xSYM | *.bb | *.bbg | *.map | *.inf | *.dSYM | *.o | *.obj )
+       ;;
+    [ab].out )
+       # We found the default executable, but exeext='' is most
+       # certainly right.
+       break;;
+    *.* )
+        if test "${ac_cv_exeext+set}" = set && test "$ac_cv_exeext" != no;
+       then :; else
+          ac_cv_exeext=`expr "$ac_file" : '[^.]*\(\..*\)'`
+       fi
+       # We set ac_cv_exeext here because the later test for it is not
+       # safe: cross compilers may not add the suffix if given an `-o'
+       # argument, so we may need to know it at that point already.
+       # Even if this section looks crufty: it has the advantage of
+       # actually working.
+       break;;
+    * )
+       break;;
+  esac
+done
+test "$ac_cv_exeext" = no && ac_cv_exeext=
+
+else
+  ac_file=''
+fi
+
+{ $as_echo "$as_me:$LINENO: result: $ac_file" >&5
+$as_echo "$ac_file" >&6; }
+if test -z "$ac_file"; then
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+{ { $as_echo "$as_me:$LINENO: error: in \`$ac_pwd':" >&5
+$as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
+{ { $as_echo "$as_me:$LINENO: error: C compiler cannot create executables
+See \`config.log' for more details." >&5
+$as_echo "$as_me: error: C compiler cannot create executables
+See \`config.log' for more details." >&2;}
+   { (exit 77); exit 77; }; }; }
+fi
+
+ac_exeext=$ac_cv_exeext
+
+# Check that the compiler produces executables we can run.  If not, either
+# the compiler is broken, or we cross compile.
+{ $as_echo "$as_me:$LINENO: checking whether the C compiler works" >&5
+$as_echo_n "checking whether the C compiler works... " >&6; }
+# FIXME: These cross compiler hacks should be removed for Autoconf 3.0
+# If not cross compiling, check that we can run a simple program.
+if test "$cross_compiling" != yes; then
+  if { ac_try='./$ac_file'
+  { (case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_try") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; }; then
+    cross_compiling=no
+  else
+    if test "$cross_compiling" = maybe; then
+       cross_compiling=yes
+    else
+       { { $as_echo "$as_me:$LINENO: error: in \`$ac_pwd':" >&5
+$as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
+{ { $as_echo "$as_me:$LINENO: error: cannot run C compiled programs.
+If you meant to cross compile, use \`--host'.
+See \`config.log' for more details." >&5
+$as_echo "$as_me: error: cannot run C compiled programs.
+If you meant to cross compile, use \`--host'.
+See \`config.log' for more details." >&2;}
+   { (exit 1); exit 1; }; }; }
+    fi
+  fi
+fi
+{ $as_echo "$as_me:$LINENO: result: yes" >&5
+$as_echo "yes" >&6; }
+
+rm -f -r a.out a.out.dSYM a.exe conftest$ac_cv_exeext b.out
+ac_clean_files=$ac_clean_files_save
+# Check that the compiler produces executables we can run.  If not, either
+# the compiler is broken, or we cross compile.
+{ $as_echo "$as_me:$LINENO: checking whether we are cross compiling" >&5
+$as_echo_n "checking whether we are cross compiling... " >&6; }
+{ $as_echo "$as_me:$LINENO: result: $cross_compiling" >&5
+$as_echo "$cross_compiling" >&6; }
+
+{ $as_echo "$as_me:$LINENO: checking for suffix of executables" >&5
+$as_echo_n "checking for suffix of executables... " >&6; }
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  # If both `conftest.exe' and `conftest' are `present' (well, observable)
+# catch `conftest.exe'.  For instance with Cygwin, `ls conftest' will
+# work properly (i.e., refer to `conftest.exe'), while it won't with
+# `rm'.
+for ac_file in conftest.exe conftest conftest.*; do
+  test -f "$ac_file" || continue
+  case $ac_file in
+    *.$ac_ext | *.xcoff | *.tds | *.d | *.pdb | *.xSYM | *.bb | *.bbg | *.map | *.inf | *.dSYM | *.o | *.obj ) ;;
+    *.* ) ac_cv_exeext=`expr "$ac_file" : '[^.]*\(\..*\)'`
+         break;;
+    * ) break;;
+  esac
+done
+else
+  { { $as_echo "$as_me:$LINENO: error: in \`$ac_pwd':" >&5
+$as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
+{ { $as_echo "$as_me:$LINENO: error: cannot compute suffix of executables: cannot compile and link
+See \`config.log' for more details." >&5
+$as_echo "$as_me: error: cannot compute suffix of executables: cannot compile and link
+See \`config.log' for more details." >&2;}
+   { (exit 1); exit 1; }; }; }
+fi
+
+rm -f conftest$ac_cv_exeext
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_exeext" >&5
+$as_echo "$ac_cv_exeext" >&6; }
+
+rm -f conftest.$ac_ext
+EXEEXT=$ac_cv_exeext
+ac_exeext=$EXEEXT
+{ $as_echo "$as_me:$LINENO: checking for suffix of object files" >&5
+$as_echo_n "checking for suffix of object files... " >&6; }
+if test "${ac_cv_objext+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.o conftest.obj
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; then
+  for ac_file in conftest.o conftest.obj conftest.*; do
+  test -f "$ac_file" || continue;
+  case $ac_file in
+    *.$ac_ext | *.xcoff | *.tds | *.d | *.pdb | *.xSYM | *.bb | *.bbg | *.map | *.inf | *.dSYM ) ;;
+    *) ac_cv_objext=`expr "$ac_file" : '.*\.\(.*\)'`
+       break;;
+  esac
+done
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+{ { $as_echo "$as_me:$LINENO: error: in \`$ac_pwd':" >&5
+$as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
+{ { $as_echo "$as_me:$LINENO: error: cannot compute suffix of object files: cannot compile
+See \`config.log' for more details." >&5
+$as_echo "$as_me: error: cannot compute suffix of object files: cannot compile
+See \`config.log' for more details." >&2;}
+   { (exit 1); exit 1; }; }; }
+fi
+
+rm -f conftest.$ac_cv_objext conftest.$ac_ext
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_objext" >&5
+$as_echo "$ac_cv_objext" >&6; }
+OBJEXT=$ac_cv_objext
+ac_objext=$OBJEXT
+{ $as_echo "$as_me:$LINENO: checking whether we are using the GNU C compiler" >&5
+$as_echo_n "checking whether we are using the GNU C compiler... " >&6; }
+if test "${ac_cv_c_compiler_gnu+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+int
+main ()
+{
+#ifndef __GNUC__
+       choke me
+#endif
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_compiler_gnu=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_compiler_gnu=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+ac_cv_c_compiler_gnu=$ac_compiler_gnu
+
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_c_compiler_gnu" >&5
+$as_echo "$ac_cv_c_compiler_gnu" >&6; }
+if test $ac_compiler_gnu = yes; then
+  GCC=yes
+else
+  GCC=
+fi
+ac_test_CFLAGS=${CFLAGS+set}
+ac_save_CFLAGS=$CFLAGS
+{ $as_echo "$as_me:$LINENO: checking whether $CC accepts -g" >&5
+$as_echo_n "checking whether $CC accepts -g... " >&6; }
+if test "${ac_cv_prog_cc_g+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_save_c_werror_flag=$ac_c_werror_flag
+   ac_c_werror_flag=yes
+   ac_cv_prog_cc_g=no
+   CFLAGS="-g"
+   cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_cv_prog_cc_g=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       CFLAGS=""
+      cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  :
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_c_werror_flag=$ac_save_c_werror_flag
+        CFLAGS="-g"
+        cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_cv_prog_cc_g=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+   ac_c_werror_flag=$ac_save_c_werror_flag
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_prog_cc_g" >&5
+$as_echo "$ac_cv_prog_cc_g" >&6; }
+if test "$ac_test_CFLAGS" = set; then
+  CFLAGS=$ac_save_CFLAGS
+elif test $ac_cv_prog_cc_g = yes; then
+  if test "$GCC" = yes; then
+    CFLAGS="-g -O2"
+  else
+    CFLAGS="-g"
+  fi
+else
+  if test "$GCC" = yes; then
+    CFLAGS="-O2"
+  else
+    CFLAGS=
+  fi
+fi
+{ $as_echo "$as_me:$LINENO: checking for $CC option to accept ISO C89" >&5
+$as_echo_n "checking for $CC option to accept ISO C89... " >&6; }
+if test "${ac_cv_prog_cc_c89+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_cv_prog_cc_c89=no
+ac_save_CC=$CC
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <stdarg.h>
+#include <stdio.h>
+#include <sys/types.h>
+#include <sys/stat.h>
+/* Most of the following tests are stolen from RCS 5.7's src/conf.sh.  */
+struct buf { int x; };
+FILE * (*rcsopen) (struct buf *, struct stat *, int);
+static char *e (p, i)
+     char **p;
+     int i;
+{
+  return p[i];
+}
+static char *f (char * (*g) (char **, int), char **p, ...)
+{
+  char *s;
+  va_list v;
+  va_start (v,p);
+  s = g (p, va_arg (v,int));
+  va_end (v);
+  return s;
+}
+
+/* OSF 4.0 Compaq cc is some sort of almost-ANSI by default.  It has
+   function prototypes and stuff, but not '\xHH' hex character constants.
+   These don't provoke an error unfortunately, instead are silently treated
+   as 'x'.  The following induces an error, until -std is added to get
+   proper ANSI mode.  Curiously '\x00'!='x' always comes out true, for an
+   array size at least.  It's necessary to write '\x00'==0 to get something
+   that's true only with -std.  */
+int osf4_cc_array ['\x00' == 0 ? 1 : -1];
+
+/* IBM C 6 for AIX is almost-ANSI by default, but it replaces macro parameters
+   inside strings and character constants.  */
+#define FOO(x) 'x'
+int xlc6_cc_array[FOO(a) == 'x' ? 1 : -1];
+
+int test (int i, double x);
+struct s1 {int (*f) (int a);};
+struct s2 {int (*f) (double a);};
+int pairnames (int, char **, FILE *(*)(struct buf *, struct stat *, int), int, int);
+int argc;
+char **argv;
+int
+main ()
+{
+return f (e, argv, 0) != argv[0]  ||  f (e, argv, 1) != argv[1];
+  ;
+  return 0;
+}
+_ACEOF
+for ac_arg in '' -qlanglvl=extc89 -qlanglvl=ansi -std \
+       -Ae "-Aa -D_HPUX_SOURCE" "-Xc -D__EXTENSIONS__"
+do
+  CC="$ac_save_CC $ac_arg"
+  rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_cv_prog_cc_c89=$ac_arg
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+
+fi
+
+rm -f core conftest.err conftest.$ac_objext
+  test "x$ac_cv_prog_cc_c89" != "xno" && break
+done
+rm -f conftest.$ac_ext
+CC=$ac_save_CC
+
+fi
+# AC_CACHE_VAL
+case "x$ac_cv_prog_cc_c89" in
+  x)
+    { $as_echo "$as_me:$LINENO: result: none needed" >&5
+$as_echo "none needed" >&6; } ;;
+  xno)
+    { $as_echo "$as_me:$LINENO: result: unsupported" >&5
+$as_echo "unsupported" >&6; } ;;
+  *)
+    CC="$CC $ac_cv_prog_cc_c89"
+    { $as_echo "$as_me:$LINENO: result: $ac_cv_prog_cc_c89" >&5
+$as_echo "$ac_cv_prog_cc_c89" >&6; } ;;
+esac
+
+
+ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+depcc="$CC"   am_compiler_list=
+
+{ $as_echo "$as_me:$LINENO: checking dependency style of $depcc" >&5
+$as_echo_n "checking dependency style of $depcc... " >&6; }
+if test "${am_cv_CC_dependencies_compiler_type+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -z "$AMDEP_TRUE" && test -f "$am_depcomp"; then
+  # We make a subdir and do the tests there.  Otherwise we can end up
+  # making bogus files that we don't know about and never remove.  For
+  # instance it was reported that on HP-UX the gcc test will end up
+  # making a dummy file named `D' -- because `-MD' means `put the output
+  # in D'.
+  mkdir conftest.dir
+  # Copy depcomp to subdir because otherwise we won't find it if we're
+  # using a relative directory.
+  cp "$am_depcomp" conftest.dir
+  cd conftest.dir
+  # We will build objects and dependencies in a subdirectory because
+  # it helps to detect inapplicable dependency modes.  For instance
+  # both Tru64's cc and ICC support -MD to output dependencies as a
+  # side effect of compilation, but ICC will put the dependencies in
+  # the current directory while Tru64 will put them in the object
+  # directory.
+  mkdir sub
+
+  am_cv_CC_dependencies_compiler_type=none
+  if test "$am_compiler_list" = ""; then
+     am_compiler_list=`sed -n 's/^#*\([a-zA-Z0-9]*\))$/\1/p' < ./depcomp`
+  fi
+  for depmode in $am_compiler_list; do
+    # Setup a source with many dependencies, because some compilers
+    # like to wrap large dependency lists on column 80 (with \), and
+    # we should not choose a depcomp mode which is confused by this.
+    #
+    # We need to recreate these files for each test, as the compiler may
+    # overwrite some of them when testing with obscure command lines.
+    # This happens at least with the AIX C compiler.
+    : > sub/conftest.c
+    for i in 1 2 3 4 5 6; do
+      echo '#include "conftst'$i'.h"' >> sub/conftest.c
+      # Using `: > sub/conftst$i.h' creates only sub/conftst1.h with
+      # Solaris 8's {/usr,}/bin/sh.
+      touch sub/conftst$i.h
+    done
+    echo "${am__include} ${am__quote}sub/conftest.Po${am__quote}" > confmf
+
+    case $depmode in
+    nosideeffect)
+      # after this tag, mechanisms are not by side-effect, so they'll
+      # only be used when explicitly requested
+      if test "x$enable_dependency_tracking" = xyes; then
+       continue
+      else
+       break
+      fi
+      ;;
+    none) break ;;
+    esac
+    # We check with `-c' and `-o' for the sake of the "dashmstdout"
+    # mode.  It turns out that the SunPro C++ compiler does not properly
+    # handle `-M -o', and we need to detect this.
+    if depmode=$depmode \
+       source=sub/conftest.c object=sub/conftest.${OBJEXT-o} \
+       depfile=sub/conftest.Po tmpdepfile=sub/conftest.TPo \
+       $SHELL ./depcomp $depcc -c -o sub/conftest.${OBJEXT-o} sub/conftest.c \
+         >/dev/null 2>conftest.err &&
+       grep sub/conftst1.h sub/conftest.Po > /dev/null 2>&1 &&
+       grep sub/conftst6.h sub/conftest.Po > /dev/null 2>&1 &&
+       grep sub/conftest.${OBJEXT-o} sub/conftest.Po > /dev/null 2>&1 &&
+       ${MAKE-make} -s -f confmf > /dev/null 2>&1; then
+      # icc doesn't choke on unknown options, it will just issue warnings
+      # or remarks (even with -Werror).  So we grep stderr for any message
+      # that says an option was ignored or not supported.
+      # When given -MP, icc 7.0 and 7.1 complain thusly:
+      #   icc: Command line warning: ignoring option '-M'; no argument required
+      # The diagnosis changed in icc 8.0:
+      #   icc: Command line remark: option '-MP' not supported
+      if (grep 'ignoring option' conftest.err ||
+          grep 'not supported' conftest.err) >/dev/null 2>&1; then :; else
+        am_cv_CC_dependencies_compiler_type=$depmode
+        break
+      fi
+    fi
+  done
+
+  cd ..
+  rm -rf conftest.dir
+else
+  am_cv_CC_dependencies_compiler_type=none
+fi
+
+fi
+{ $as_echo "$as_me:$LINENO: result: $am_cv_CC_dependencies_compiler_type" >&5
+$as_echo "$am_cv_CC_dependencies_compiler_type" >&6; }
+CCDEPMODE=depmode=$am_cv_CC_dependencies_compiler_type
+
+ if
+  test "x$enable_dependency_tracking" != xno \
+  && test "$am_cv_CC_dependencies_compiler_type" = gcc3; then
+  am__fastdepCC_TRUE=
+  am__fastdepCC_FALSE='#'
+else
+  am__fastdepCC_TRUE='#'
+  am__fastdepCC_FALSE=
+fi
+
+
+ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+{ $as_echo "$as_me:$LINENO: checking how to run the C preprocessor" >&5
+$as_echo_n "checking how to run the C preprocessor... " >&6; }
+# On Suns, sometimes $CPP names a directory.
+if test -n "$CPP" && test -d "$CPP"; then
+  CPP=
+fi
+if test -z "$CPP"; then
+  if test "${ac_cv_prog_CPP+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+      # Double quotes because CPP needs to be expanded
+    for CPP in "$CC -E" "$CC -E -traditional-cpp" "/lib/cpp"
+    do
+      ac_preproc_ok=false
+for ac_c_preproc_warn_flag in '' yes
+do
+  # Use a header file that comes with gcc, so configuring glibc
+  # with a fresh cross-compiler works.
+  # Prefer <limits.h> to <assert.h> if __STDC__ is defined, since
+  # <limits.h> exists even on freestanding compilers.
+  # On the NeXT, cc -E runs the code through the compiler's parser,
+  # not just through cpp. "Syntax error" is here to catch this case.
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#ifdef __STDC__
+# include <limits.h>
+#else
+# include <assert.h>
+#endif
+                    Syntax error
+_ACEOF
+if { (ac_try="$ac_cpp conftest.$ac_ext"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } >/dev/null && {
+        test -z "$ac_c_preproc_warn_flag$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       }; then
+  :
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+  # Broken: fails on valid input.
+continue
+fi
+
+rm -f conftest.err conftest.$ac_ext
+
+  # OK, works on sane cases.  Now check whether nonexistent headers
+  # can be detected and how.
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <ac_nonexistent.h>
+_ACEOF
+if { (ac_try="$ac_cpp conftest.$ac_ext"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } >/dev/null && {
+        test -z "$ac_c_preproc_warn_flag$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       }; then
+  # Broken: success on invalid input.
+continue
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+  # Passes both tests.
+ac_preproc_ok=:
+break
+fi
+
+rm -f conftest.err conftest.$ac_ext
+
+done
+# Because of `break', _AC_PREPROC_IFELSE's cleaning code was skipped.
+rm -f conftest.err conftest.$ac_ext
+if $ac_preproc_ok; then
+  break
+fi
+
+    done
+    ac_cv_prog_CPP=$CPP
+
+fi
+  CPP=$ac_cv_prog_CPP
+else
+  ac_cv_prog_CPP=$CPP
+fi
+{ $as_echo "$as_me:$LINENO: result: $CPP" >&5
+$as_echo "$CPP" >&6; }
+ac_preproc_ok=false
+for ac_c_preproc_warn_flag in '' yes
+do
+  # Use a header file that comes with gcc, so configuring glibc
+  # with a fresh cross-compiler works.
+  # Prefer <limits.h> to <assert.h> if __STDC__ is defined, since
+  # <limits.h> exists even on freestanding compilers.
+  # On the NeXT, cc -E runs the code through the compiler's parser,
+  # not just through cpp. "Syntax error" is here to catch this case.
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#ifdef __STDC__
+# include <limits.h>
+#else
+# include <assert.h>
+#endif
+                    Syntax error
+_ACEOF
+if { (ac_try="$ac_cpp conftest.$ac_ext"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } >/dev/null && {
+        test -z "$ac_c_preproc_warn_flag$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       }; then
+  :
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+  # Broken: fails on valid input.
+continue
+fi
+
+rm -f conftest.err conftest.$ac_ext
+
+  # OK, works on sane cases.  Now check whether nonexistent headers
+  # can be detected and how.
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <ac_nonexistent.h>
+_ACEOF
+if { (ac_try="$ac_cpp conftest.$ac_ext"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } >/dev/null && {
+        test -z "$ac_c_preproc_warn_flag$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       }; then
+  # Broken: success on invalid input.
+continue
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+  # Passes both tests.
+ac_preproc_ok=:
+break
+fi
+
+rm -f conftest.err conftest.$ac_ext
+
+done
+# Because of `break', _AC_PREPROC_IFELSE's cleaning code was skipped.
+rm -f conftest.err conftest.$ac_ext
+if $ac_preproc_ok; then
+  :
+else
+  { { $as_echo "$as_me:$LINENO: error: in \`$ac_pwd':" >&5
+$as_echo "$as_me: error: in \`$ac_pwd':" >&2;}
+{ { $as_echo "$as_me:$LINENO: error: C preprocessor \"$CPP\" fails sanity check
+See \`config.log' for more details." >&5
+$as_echo "$as_me: error: C preprocessor \"$CPP\" fails sanity check
+See \`config.log' for more details." >&2;}
+   { (exit 1); exit 1; }; }; }
+fi
+
+ac_ext=c
+ac_cpp='$CPP $CPPFLAGS'
+ac_compile='$CC -c $CFLAGS $CPPFLAGS conftest.$ac_ext >&5'
+ac_link='$CC -o conftest$ac_exeext $CFLAGS $CPPFLAGS $LDFLAGS conftest.$ac_ext $LIBS >&5'
+ac_compiler_gnu=$ac_cv_c_compiler_gnu
+
+# By default we simply use the C compiler to build assembly code.
+
+test "${CCAS+set}" = set || CCAS=$CC
+test "${CCASFLAGS+set}" = set || CCASFLAGS=$CFLAGS
+
+
+
+depcc="$CCAS"   am_compiler_list=
+
+{ $as_echo "$as_me:$LINENO: checking dependency style of $depcc" >&5
+$as_echo_n "checking dependency style of $depcc... " >&6; }
+if test "${am_cv_CCAS_dependencies_compiler_type+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -z "$AMDEP_TRUE" && test -f "$am_depcomp"; then
+  # We make a subdir and do the tests there.  Otherwise we can end up
+  # making bogus files that we don't know about and never remove.  For
+  # instance it was reported that on HP-UX the gcc test will end up
+  # making a dummy file named `D' -- because `-MD' means `put the output
+  # in D'.
+  mkdir conftest.dir
+  # Copy depcomp to subdir because otherwise we won't find it if we're
+  # using a relative directory.
+  cp "$am_depcomp" conftest.dir
+  cd conftest.dir
+  # We will build objects and dependencies in a subdirectory because
+  # it helps to detect inapplicable dependency modes.  For instance
+  # both Tru64's cc and ICC support -MD to output dependencies as a
+  # side effect of compilation, but ICC will put the dependencies in
+  # the current directory while Tru64 will put them in the object
+  # directory.
+  mkdir sub
+
+  am_cv_CCAS_dependencies_compiler_type=none
+  if test "$am_compiler_list" = ""; then
+     am_compiler_list=`sed -n 's/^#*\([a-zA-Z0-9]*\))$/\1/p' < ./depcomp`
+  fi
+  for depmode in $am_compiler_list; do
+    # Setup a source with many dependencies, because some compilers
+    # like to wrap large dependency lists on column 80 (with \), and
+    # we should not choose a depcomp mode which is confused by this.
+    #
+    # We need to recreate these files for each test, as the compiler may
+    # overwrite some of them when testing with obscure command lines.
+    # This happens at least with the AIX C compiler.
+    : > sub/conftest.c
+    for i in 1 2 3 4 5 6; do
+      echo '#include "conftst'$i'.h"' >> sub/conftest.c
+      # Using `: > sub/conftst$i.h' creates only sub/conftst1.h with
+      # Solaris 8's {/usr,}/bin/sh.
+      touch sub/conftst$i.h
+    done
+    echo "${am__include} ${am__quote}sub/conftest.Po${am__quote}" > confmf
+
+    case $depmode in
+    nosideeffect)
+      # after this tag, mechanisms are not by side-effect, so they'll
+      # only be used when explicitly requested
+      if test "x$enable_dependency_tracking" = xyes; then
+       continue
+      else
+       break
+      fi
+      ;;
+    none) break ;;
+    esac
+    # We check with `-c' and `-o' for the sake of the "dashmstdout"
+    # mode.  It turns out that the SunPro C++ compiler does not properly
+    # handle `-M -o', and we need to detect this.
+    if depmode=$depmode \
+       source=sub/conftest.c object=sub/conftest.${OBJEXT-o} \
+       depfile=sub/conftest.Po tmpdepfile=sub/conftest.TPo \
+       $SHELL ./depcomp $depcc -c -o sub/conftest.${OBJEXT-o} sub/conftest.c \
+         >/dev/null 2>conftest.err &&
+       grep sub/conftst1.h sub/conftest.Po > /dev/null 2>&1 &&
+       grep sub/conftst6.h sub/conftest.Po > /dev/null 2>&1 &&
+       grep sub/conftest.${OBJEXT-o} sub/conftest.Po > /dev/null 2>&1 &&
+       ${MAKE-make} -s -f confmf > /dev/null 2>&1; then
+      # icc doesn't choke on unknown options, it will just issue warnings
+      # or remarks (even with -Werror).  So we grep stderr for any message
+      # that says an option was ignored or not supported.
+      # When given -MP, icc 7.0 and 7.1 complain thusly:
+      #   icc: Command line warning: ignoring option '-M'; no argument required
+      # The diagnosis changed in icc 8.0:
+      #   icc: Command line remark: option '-MP' not supported
+      if (grep 'ignoring option' conftest.err ||
+          grep 'not supported' conftest.err) >/dev/null 2>&1; then :; else
+        am_cv_CCAS_dependencies_compiler_type=$depmode
+        break
+      fi
+    fi
+  done
+
+  cd ..
+  rm -rf conftest.dir
+else
+  am_cv_CCAS_dependencies_compiler_type=none
+fi
+
+fi
+{ $as_echo "$as_me:$LINENO: result: $am_cv_CCAS_dependencies_compiler_type" >&5
+$as_echo "$am_cv_CCAS_dependencies_compiler_type" >&6; }
+CCASDEPMODE=depmode=$am_cv_CCAS_dependencies_compiler_type
+
+ if
+  test "x$enable_dependency_tracking" != xno \
+  && test "$am_cv_CCAS_dependencies_compiler_type" = gcc3; then
+  am__fastdepCCAS_TRUE=
+  am__fastdepCCAS_FALSE='#'
+else
+  am__fastdepCCAS_TRUE='#'
+  am__fastdepCCAS_FALSE=
+fi
+
+
+if test -n "$ac_tool_prefix"; then
+  # Extract the first word of "${ac_tool_prefix}ranlib", so it can be a program name with args.
+set dummy ${ac_tool_prefix}ranlib; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_RANLIB+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$RANLIB"; then
+  ac_cv_prog_RANLIB="$RANLIB" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_RANLIB="${ac_tool_prefix}ranlib"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+RANLIB=$ac_cv_prog_RANLIB
+if test -n "$RANLIB"; then
+  { $as_echo "$as_me:$LINENO: result: $RANLIB" >&5
+$as_echo "$RANLIB" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+fi
+if test -z "$ac_cv_prog_RANLIB"; then
+  ac_ct_RANLIB=$RANLIB
+  # Extract the first word of "ranlib", so it can be a program name with args.
+set dummy ranlib; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_ac_ct_RANLIB+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$ac_ct_RANLIB"; then
+  ac_cv_prog_ac_ct_RANLIB="$ac_ct_RANLIB" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_ac_ct_RANLIB="ranlib"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+fi
+fi
+ac_ct_RANLIB=$ac_cv_prog_ac_ct_RANLIB
+if test -n "$ac_ct_RANLIB"; then
+  { $as_echo "$as_me:$LINENO: result: $ac_ct_RANLIB" >&5
+$as_echo "$ac_ct_RANLIB" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+  if test "x$ac_ct_RANLIB" = x; then
+    RANLIB=":"
+  else
+    case $cross_compiling:$ac_tool_warned in
+yes:)
+{ $as_echo "$as_me:$LINENO: WARNING: using cross tools not prefixed with host triplet" >&5
+$as_echo "$as_me: WARNING: using cross tools not prefixed with host triplet" >&2;}
+ac_tool_warned=yes ;;
+esac
+    RANLIB=$ac_ct_RANLIB
+  fi
+else
+  RANLIB="$ac_cv_prog_RANLIB"
+fi
+
+
+
+{ $as_echo "$as_me:$LINENO: checking for grep that handles long lines and -e" >&5
+$as_echo_n "checking for grep that handles long lines and -e... " >&6; }
+if test "${ac_cv_path_GREP+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -z "$GREP"; then
+  ac_path_GREP_found=false
+  # Loop through the user's path and test for each of PROGNAME-LIST
+  as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH$PATH_SEPARATOR/usr/xpg4/bin
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_prog in grep ggrep; do
+    for ac_exec_ext in '' $ac_executable_extensions; do
+      ac_path_GREP="$as_dir/$ac_prog$ac_exec_ext"
+      { test -f "$ac_path_GREP" && $as_test_x "$ac_path_GREP"; } || continue
+# Check for GNU ac_path_GREP and select it if it is found.
+  # Check for GNU $ac_path_GREP
+case `"$ac_path_GREP" --version 2>&1` in
+*GNU*)
+  ac_cv_path_GREP="$ac_path_GREP" ac_path_GREP_found=:;;
+*)
+  ac_count=0
+  $as_echo_n 0123456789 >"conftest.in"
+  while :
+  do
+    cat "conftest.in" "conftest.in" >"conftest.tmp"
+    mv "conftest.tmp" "conftest.in"
+    cp "conftest.in" "conftest.nl"
+    $as_echo 'GREP' >> "conftest.nl"
+    "$ac_path_GREP" -e 'GREP$' -e '-(cannot match)-' < "conftest.nl" >"conftest.out" 2>/dev/null || break
+    diff "conftest.out" "conftest.nl" >/dev/null 2>&1 || break
+    ac_count=`expr $ac_count + 1`
+    if test $ac_count -gt ${ac_path_GREP_max-0}; then
+      # Best one so far, save it but keep looking for a better one
+      ac_cv_path_GREP="$ac_path_GREP"
+      ac_path_GREP_max=$ac_count
+    fi
+    # 10*(2^10) chars as input seems more than enough
+    test $ac_count -gt 10 && break
+  done
+  rm -f conftest.in conftest.tmp conftest.nl conftest.out;;
+esac
+
+      $ac_path_GREP_found && break 3
+    done
+  done
+done
+IFS=$as_save_IFS
+  if test -z "$ac_cv_path_GREP"; then
+    { { $as_echo "$as_me:$LINENO: error: no acceptable grep could be found in $PATH$PATH_SEPARATOR/usr/xpg4/bin" >&5
+$as_echo "$as_me: error: no acceptable grep could be found in $PATH$PATH_SEPARATOR/usr/xpg4/bin" >&2;}
+   { (exit 1); exit 1; }; }
+  fi
+else
+  ac_cv_path_GREP=$GREP
+fi
+
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_path_GREP" >&5
+$as_echo "$ac_cv_path_GREP" >&6; }
+ GREP="$ac_cv_path_GREP"
+
+
+{ $as_echo "$as_me:$LINENO: checking for egrep" >&5
+$as_echo_n "checking for egrep... " >&6; }
+if test "${ac_cv_path_EGREP+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if echo a | $GREP -E '(a|b)' >/dev/null 2>&1
+   then ac_cv_path_EGREP="$GREP -E"
+   else
+     if test -z "$EGREP"; then
+  ac_path_EGREP_found=false
+  # Loop through the user's path and test for each of PROGNAME-LIST
+  as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH$PATH_SEPARATOR/usr/xpg4/bin
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_prog in egrep; do
+    for ac_exec_ext in '' $ac_executable_extensions; do
+      ac_path_EGREP="$as_dir/$ac_prog$ac_exec_ext"
+      { test -f "$ac_path_EGREP" && $as_test_x "$ac_path_EGREP"; } || continue
+# Check for GNU ac_path_EGREP and select it if it is found.
+  # Check for GNU $ac_path_EGREP
+case `"$ac_path_EGREP" --version 2>&1` in
+*GNU*)
+  ac_cv_path_EGREP="$ac_path_EGREP" ac_path_EGREP_found=:;;
+*)
+  ac_count=0
+  $as_echo_n 0123456789 >"conftest.in"
+  while :
+  do
+    cat "conftest.in" "conftest.in" >"conftest.tmp"
+    mv "conftest.tmp" "conftest.in"
+    cp "conftest.in" "conftest.nl"
+    $as_echo 'EGREP' >> "conftest.nl"
+    "$ac_path_EGREP" 'EGREP$' < "conftest.nl" >"conftest.out" 2>/dev/null || break
+    diff "conftest.out" "conftest.nl" >/dev/null 2>&1 || break
+    ac_count=`expr $ac_count + 1`
+    if test $ac_count -gt ${ac_path_EGREP_max-0}; then
+      # Best one so far, save it but keep looking for a better one
+      ac_cv_path_EGREP="$ac_path_EGREP"
+      ac_path_EGREP_max=$ac_count
+    fi
+    # 10*(2^10) chars as input seems more than enough
+    test $ac_count -gt 10 && break
+  done
+  rm -f conftest.in conftest.tmp conftest.nl conftest.out;;
+esac
+
+      $ac_path_EGREP_found && break 3
+    done
+  done
+done
+IFS=$as_save_IFS
+  if test -z "$ac_cv_path_EGREP"; then
+    { { $as_echo "$as_me:$LINENO: error: no acceptable egrep could be found in $PATH$PATH_SEPARATOR/usr/xpg4/bin" >&5
+$as_echo "$as_me: error: no acceptable egrep could be found in $PATH$PATH_SEPARATOR/usr/xpg4/bin" >&2;}
+   { (exit 1); exit 1; }; }
+  fi
+else
+  ac_cv_path_EGREP=$EGREP
+fi
+
+   fi
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_path_EGREP" >&5
+$as_echo "$ac_cv_path_EGREP" >&6; }
+ EGREP="$ac_cv_path_EGREP"
+
+
+{ $as_echo "$as_me:$LINENO: checking for ANSI C header files" >&5
+$as_echo_n "checking for ANSI C header files... " >&6; }
+if test "${ac_cv_header_stdc+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <stdlib.h>
+#include <stdarg.h>
+#include <string.h>
+#include <float.h>
+
+int
+main ()
+{
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_cv_header_stdc=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_header_stdc=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+
+if test $ac_cv_header_stdc = yes; then
+  # SunOS 4.x string.h does not declare mem*, contrary to ANSI.
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <string.h>
+
+_ACEOF
+if (eval "$ac_cpp conftest.$ac_ext") 2>&5 |
+  $EGREP "memchr" >/dev/null 2>&1; then
+  :
+else
+  ac_cv_header_stdc=no
+fi
+rm -f conftest*
+
+fi
+
+if test $ac_cv_header_stdc = yes; then
+  # ISC 2.0.2 stdlib.h does not declare free, contrary to ANSI.
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <stdlib.h>
+
+_ACEOF
+if (eval "$ac_cpp conftest.$ac_ext") 2>&5 |
+  $EGREP "free" >/dev/null 2>&1; then
+  :
+else
+  ac_cv_header_stdc=no
+fi
+rm -f conftest*
+
+fi
+
+if test $ac_cv_header_stdc = yes; then
+  # /bin/cc in Irix-4.0.5 gets non-ANSI ctype macros unless using -ansi.
+  if test "$cross_compiling" = yes; then
+  :
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <ctype.h>
+#include <stdlib.h>
+#if ((' ' & 0x0FF) == 0x020)
+# define ISLOWER(c) ('a' <= (c) && (c) <= 'z')
+# define TOUPPER(c) (ISLOWER(c) ? 'A' + ((c) - 'a') : (c))
+#else
+# define ISLOWER(c) \
+                  (('a' <= (c) && (c) <= 'i') \
+                    || ('j' <= (c) && (c) <= 'r') \
+                    || ('s' <= (c) && (c) <= 'z'))
+# define TOUPPER(c) (ISLOWER(c) ? ((c) | 0x40) : (c))
+#endif
+
+#define XOR(e, f) (((e) && !(f)) || (!(e) && (f)))
+int
+main ()
+{
+  int i;
+  for (i = 0; i < 256; i++)
+    if (XOR (islower (i), ISLOWER (i))
+       || toupper (i) != TOUPPER (i))
+      return 2;
+  return 0;
+}
+_ACEOF
+rm -f conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && { ac_try='./conftest$ac_exeext'
+  { (case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_try") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; }; then
+  :
+else
+  $as_echo "$as_me: program exited with status $ac_status" >&5
+$as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+( exit $ac_status )
+ac_cv_header_stdc=no
+fi
+rm -rf conftest.dSYM
+rm -f core *.core core.conftest.* gmon.out bb.out conftest$ac_exeext conftest.$ac_objext conftest.$ac_ext
+fi
+
+
+fi
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_header_stdc" >&5
+$as_echo "$ac_cv_header_stdc" >&6; }
+if test $ac_cv_header_stdc = yes; then
+
+cat >>confdefs.h <<\_ACEOF
+#define STDC_HEADERS 1
+_ACEOF
+
+fi
+
+# On IRIX 5.3, sys/types and inttypes.h are conflicting.
+
+
+
+
+
+
+
+
+
+for ac_header in sys/types.h sys/stat.h stdlib.h string.h memory.h strings.h \
+                 inttypes.h stdint.h unistd.h
+do
+as_ac_Header=`$as_echo "ac_cv_header_$ac_header" | $as_tr_sh`
+{ $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
+$as_echo_n "checking for $ac_header... " >&6; }
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+$ac_includes_default
+
+#include <$ac_header>
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  eval "$as_ac_Header=yes"
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       eval "$as_ac_Header=no"
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+fi
+ac_res=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+as_val=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+   if test "x$as_val" = x""yes; then
+  cat >>confdefs.h <<_ACEOF
+#define `$as_echo "HAVE_$ac_header" | $as_tr_cpp` 1
+_ACEOF
+
+fi
+
+done
+
+
+
+
+
+    passed=yes
+    # Check whether --enable-usrp2-firmware was given.
+if test "${enable_usrp2_firmware+set}" = set; then
+  enableval=$enable_usrp2_firmware;
+else
+
+        enable_usrp2_firmware=$enable_all_components
+        if test x$enable_all_components = xno; then
+            passed=no
+        fi
+
+fi
+
+
+
+
+
+    if test $passed != no; then
+        if test $passed = yes; then
+                        if test x$usrp2_skipped = xyes; then
+                { $as_echo "$as_me:$LINENO: result: Component usrp2-firmware requires usrp2, which is not being built or specified via pre-installed files." >&5
+$as_echo "Component usrp2-firmware requires usrp2, which is not being built or specified via pre-installed files." >&6; }
+                passed=no
+            fi
+        else
+                        if test x$usrp2_with = xno; then
+                { { $as_echo "$as_me:$LINENO: error: Component usrp2-firmware requires usrp2 to be included as --with-usrp2-firmware[=arg]" >&5
+$as_echo "$as_me: error: Component usrp2-firmware requires usrp2 to be included as --with-usrp2-firmware[=arg]" >&2;}
+   { (exit 1); exit 1; }; }
+            fi
+        fi
+    fi
+
+
+
+
+
+
+
+
+
+
+
+for ac_header in arpa/inet.h netinet/in.h byteswap.h
+do
+as_ac_Header=`$as_echo "ac_cv_header_$ac_header" | $as_tr_sh`
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  { $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
+$as_echo_n "checking for $ac_header... " >&6; }
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+fi
+ac_res=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+else
+  # Is the header compilable?
+{ $as_echo "$as_me:$LINENO: checking $ac_header usability" >&5
+$as_echo_n "checking $ac_header usability... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+$ac_includes_default
+#include <$ac_header>
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_header_compiler=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_header_compiler=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_compiler" >&5
+$as_echo "$ac_header_compiler" >&6; }
+
+# Is the header present?
+{ $as_echo "$as_me:$LINENO: checking $ac_header presence" >&5
+$as_echo_n "checking $ac_header presence... " >&6; }
+cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <$ac_header>
+_ACEOF
+if { (ac_try="$ac_cpp conftest.$ac_ext"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_cpp conftest.$ac_ext") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } >/dev/null && {
+        test -z "$ac_c_preproc_warn_flag$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       }; then
+  ac_header_preproc=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+  ac_header_preproc=no
+fi
+
+rm -f conftest.err conftest.$ac_ext
+{ $as_echo "$as_me:$LINENO: result: $ac_header_preproc" >&5
+$as_echo "$ac_header_preproc" >&6; }
+
+# So?  What about this header?
+case $ac_header_compiler:$ac_header_preproc:$ac_c_preproc_warn_flag in
+  yes:no: )
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: accepted by the compiler, rejected by the preprocessor!" >&5
+$as_echo "$as_me: WARNING: $ac_header: accepted by the compiler, rejected by the preprocessor!" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: proceeding with the compiler's result" >&5
+$as_echo "$as_me: WARNING: $ac_header: proceeding with the compiler's result" >&2;}
+    ac_header_preproc=yes
+    ;;
+  no:yes:* )
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: present but cannot be compiled" >&5
+$as_echo "$as_me: WARNING: $ac_header: present but cannot be compiled" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header:     check for missing prerequisite headers?" >&5
+$as_echo "$as_me: WARNING: $ac_header:     check for missing prerequisite headers?" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: see the Autoconf documentation" >&5
+$as_echo "$as_me: WARNING: $ac_header: see the Autoconf documentation" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header:     section \"Present But Cannot Be Compiled\"" >&5
+$as_echo "$as_me: WARNING: $ac_header:     section \"Present But Cannot Be Compiled\"" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: proceeding with the preprocessor's result" >&5
+$as_echo "$as_me: WARNING: $ac_header: proceeding with the preprocessor's result" >&2;}
+    { $as_echo "$as_me:$LINENO: WARNING: $ac_header: in the future, the compiler will take precedence" >&5
+$as_echo "$as_me: WARNING: $ac_header: in the future, the compiler will take precedence" >&2;}
+
+    ;;
+esac
+{ $as_echo "$as_me:$LINENO: checking for $ac_header" >&5
+$as_echo_n "checking for $ac_header... " >&6; }
+if { as_var=$as_ac_Header; eval "test \"\${$as_var+set}\" = set"; }; then
+  $as_echo_n "(cached) " >&6
+else
+  eval "$as_ac_Header=\$ac_header_preproc"
+fi
+ac_res=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+              { $as_echo "$as_me:$LINENO: result: $ac_res" >&5
+$as_echo "$ac_res" >&6; }
+
+fi
+as_val=`eval 'as_val=${'$as_ac_Header'}
+                $as_echo "$as_val"'`
+   if test "x$as_val" = x""yes; then
+  cat >>confdefs.h <<_ACEOF
+#define `$as_echo "HAVE_$ac_header" | $as_tr_cpp` 1
+_ACEOF
+
+fi
+
+done
+
+
+ { $as_echo "$as_me:$LINENO: checking whether byte ordering is bigendian" >&5
+$as_echo_n "checking whether byte ordering is bigendian... " >&6; }
+if test "${ac_cv_c_bigendian+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  ac_cv_c_bigendian=unknown
+    # See if we're dealing with a universal compiler.
+    cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#ifndef __APPLE_CC__
+              not a universal capable compiler
+            #endif
+            typedef int dummy;
+
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+
+       # Check for potential -arch flags.  It is not universal unless
+       # there are some -arch flags.  Note that *ppc* also matches
+       # ppc64.  This check is also rather less than ideal.
+       case "${CC} ${CFLAGS} ${CPPFLAGS} ${LDFLAGS}" in  #(
+         *-arch*ppc*|*-arch*i386*|*-arch*x86_64*) ac_cv_c_bigendian=universal;;
+       esac
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+    if test $ac_cv_c_bigendian = unknown; then
+      # See if sys/param.h defines the BYTE_ORDER macro.
+      cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <sys/types.h>
+            #include <sys/param.h>
+
+int
+main ()
+{
+#if ! (defined BYTE_ORDER && defined BIG_ENDIAN \
+                    && defined LITTLE_ENDIAN && BYTE_ORDER && BIG_ENDIAN \
+                    && LITTLE_ENDIAN)
+             bogus endian macros
+            #endif
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  # It does; now see whether it defined to BIG_ENDIAN or not.
+        cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <sys/types.h>
+               #include <sys/param.h>
+
+int
+main ()
+{
+#if BYTE_ORDER != BIG_ENDIAN
+                not big endian
+               #endif
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_cv_c_bigendian=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_c_bigendian=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+    fi
+    if test $ac_cv_c_bigendian = unknown; then
+      # See if <limits.h> defines _LITTLE_ENDIAN or _BIG_ENDIAN (e.g., Solaris).
+      cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <limits.h>
+
+int
+main ()
+{
+#if ! (defined _LITTLE_ENDIAN || defined _BIG_ENDIAN)
+             bogus endian macros
+            #endif
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  # It does; now see whether it defined to _BIG_ENDIAN or not.
+        cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+#include <limits.h>
+
+int
+main ()
+{
+#ifndef _BIG_ENDIAN
+                not big endian
+               #endif
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  ac_cv_c_bigendian=yes
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+       ac_cv_c_bigendian=no
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+    fi
+    if test $ac_cv_c_bigendian = unknown; then
+      # Compile a test program.
+      if test "$cross_compiling" = yes; then
+  # Try to guess by grepping values from an object file.
+        cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+short int ascii_mm[] =
+                 { 0x4249, 0x4765, 0x6E44, 0x6961, 0x6E53, 0x7953, 0 };
+               short int ascii_ii[] =
+                 { 0x694C, 0x5454, 0x656C, 0x6E45, 0x6944, 0x6E61, 0 };
+               int use_ascii (int i) {
+                 return ascii_mm[i] + ascii_ii[i];
+               }
+               short int ebcdic_ii[] =
+                 { 0x89D3, 0xE3E3, 0x8593, 0x95C5, 0x89C4, 0x9581, 0 };
+               short int ebcdic_mm[] =
+                 { 0xC2C9, 0xC785, 0x95C4, 0x8981, 0x95E2, 0xA8E2, 0 };
+               int use_ebcdic (int i) {
+                 return ebcdic_mm[i] + ebcdic_ii[i];
+               }
+               extern int foo;
+
+int
+main ()
+{
+return use_ascii (foo) == use_ebcdic (foo);
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest.$ac_objext
+if { (ac_try="$ac_compile"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_compile") 2>conftest.er1
+  ac_status=$?
+  grep -v '^ *+' conftest.er1 >conftest.err
+  rm -f conftest.er1
+  cat conftest.err >&5
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && {
+        test -z "$ac_c_werror_flag" ||
+        test ! -s conftest.err
+       } && test -s conftest.$ac_objext; then
+  if grep BIGenDianSyS conftest.$ac_objext >/dev/null; then
+             ac_cv_c_bigendian=yes
+           fi
+           if grep LiTTleEnDian conftest.$ac_objext >/dev/null ; then
+             if test "$ac_cv_c_bigendian" = unknown; then
+               ac_cv_c_bigendian=no
+             else
+               # finding both strings is unlikely to happen, but who knows?
+               ac_cv_c_bigendian=unknown
+             fi
+           fi
+else
+  $as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+
+fi
+
+rm -f core conftest.err conftest.$ac_objext conftest.$ac_ext
+else
+  cat >conftest.$ac_ext <<_ACEOF
+/* confdefs.h.  */
+_ACEOF
+cat confdefs.h >>conftest.$ac_ext
+cat >>conftest.$ac_ext <<_ACEOF
+/* end confdefs.h.  */
+$ac_includes_default
+int
+main ()
+{
+
+            /* Are we little or big endian?  From Harbison&Steele.  */
+            union
+            {
+              long int l;
+              char c[sizeof (long int)];
+            } u;
+            u.l = 1;
+            return u.c[sizeof (long int) - 1] == 1;
+
+  ;
+  return 0;
+}
+_ACEOF
+rm -f conftest$ac_exeext
+if { (ac_try="$ac_link"
+case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_link") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); } && { ac_try='./conftest$ac_exeext'
+  { (case "(($ac_try" in
+  *\"* | *\`* | *\\*) ac_try_echo=\$ac_try;;
+  *) ac_try_echo=$ac_try;;
+esac
+eval ac_try_echo="\"\$as_me:$LINENO: $ac_try_echo\""
+$as_echo "$ac_try_echo") >&5
+  (eval "$ac_try") 2>&5
+  ac_status=$?
+  $as_echo "$as_me:$LINENO: \$? = $ac_status" >&5
+  (exit $ac_status); }; }; then
+  ac_cv_c_bigendian=no
+else
+  $as_echo "$as_me: program exited with status $ac_status" >&5
+$as_echo "$as_me: failed program was:" >&5
+sed 's/^/| /' conftest.$ac_ext >&5
+
+( exit $ac_status )
+ac_cv_c_bigendian=yes
+fi
+rm -rf conftest.dSYM
+rm -f core *.core core.conftest.* gmon.out bb.out conftest$ac_exeext conftest.$ac_objext conftest.$ac_ext
+fi
+
+
+    fi
+fi
+{ $as_echo "$as_me:$LINENO: result: $ac_cv_c_bigendian" >&5
+$as_echo "$ac_cv_c_bigendian" >&6; }
+ case $ac_cv_c_bigendian in #(
+   yes)
+     cat >>confdefs.h <<\_ACEOF
+#define WORDS_BIGENDIAN 1
+_ACEOF
+;; #(
+   no)
+      ;; #(
+   universal)
+
+cat >>confdefs.h <<\_ACEOF
+#define AC_APPLE_UNIVERSAL_BUILD 1
+_ACEOF
+
+     ;; #(
+   *)
+     { { $as_echo "$as_me:$LINENO: error: unknown endianness
+ presetting ac_cv_c_bigendian=no (or yes) will help" >&5
+$as_echo "$as_me: error: unknown endianness
+ presetting ac_cv_c_bigendian=no (or yes) will help" >&2;}
+   { (exit 1); exit 1; }; } ;;
+ esac
+
+
+                    if test $passed = yes; then
+
+               # Extract the first word of "mb-gcc", so it can be a program name with args.
+set dummy mb-gcc; ac_word=$2
+{ $as_echo "$as_me:$LINENO: checking for $ac_word" >&5
+$as_echo_n "checking for $ac_word... " >&6; }
+if test "${ac_cv_prog_MB_GCC+set}" = set; then
+  $as_echo_n "(cached) " >&6
+else
+  if test -n "$MB_GCC"; then
+  ac_cv_prog_MB_GCC="$MB_GCC" # Let the user override the test.
+else
+as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  for ac_exec_ext in '' $ac_executable_extensions; do
+  if { test -f "$as_dir/$ac_word$ac_exec_ext" && $as_test_x "$as_dir/$ac_word$ac_exec_ext"; }; then
+    ac_cv_prog_MB_GCC="yes"
+    $as_echo "$as_me:$LINENO: found $as_dir/$ac_word$ac_exec_ext" >&5
+    break 2
+  fi
+done
+done
+IFS=$as_save_IFS
+
+  test -z "$ac_cv_prog_MB_GCC" && ac_cv_prog_MB_GCC="no"
+fi
+fi
+MB_GCC=$ac_cv_prog_MB_GCC
+if test -n "$MB_GCC"; then
+  { $as_echo "$as_me:$LINENO: result: $MB_GCC" >&5
+$as_echo "$MB_GCC" >&6; }
+else
+  { $as_echo "$as_me:$LINENO: result: no" >&5
+$as_echo "no" >&6; }
+fi
+
+
+       if test $MB_GCC = no; then
+           { $as_echo "$as_me:$LINENO: result: usrp2 firmware requires mb-gcc.  Not found" >&5
+$as_echo "usrp2 firmware requires mb-gcc.  Not found" >&6; }
+           passed=no
+       fi
+    fi
+    if test $passed != with; then
+                                       :
+    fi
+
+
+    ac_config_files="$ac_config_files apps/Makefile include/Makefile lib/Makefile"
+
+
+         if test $passed = yes && test "$enable_usrp2_firmware" != no; then
+  BUILDING_USRP2_FIRMWARE_TRUE=
+  BUILDING_USRP2_FIRMWARE_FALSE='#'
+else
+  BUILDING_USRP2_FIRMWARE_TRUE='#'
+  BUILDING_USRP2_FIRMWARE_FALSE=
+fi
+
+
+
+
+    usrp2_firmware_with=no
+    if test $passed = no; then
+       if test x$enable_usrp2_firmware = xyes; then
+           { { $as_echo "$as_me:$LINENO: error: Component usrp2-firmware has errors; stopping." >&5
+$as_echo "$as_me: error: Component usrp2-firmware has errors; stopping." >&2;}
+   { (exit 1); exit 1; }; }
+       else
+           { $as_echo "$as_me:$LINENO: result: Not building component usrp2-firmware." >&5
+$as_echo "Not building component usrp2-firmware." >&6; }
+       fi
+    else
+        if test $passed = with; then
+           with_dirs="$with_dirs usrp2-firmware"
+
+    if test "x${usrp2_firmware_INCLUDES}" != "x"; then
+        if test "x$with_INCLUDES" = "x"; then
+            with_INCLUDES="${usrp2_firmware_INCLUDES}"
+       else
+           with_INCLUDES="${usrp2_firmware_INCLUDES}"" ""$with_INCLUDES"
+       fi
+       usrp2_firmware_INCLUDES=
+    fi
+
+
+    if test "x${usrp2_firmware_SWIG_INCLUDES}" != "x"; then
+        if test "x$with_SWIG_INCLUDES" = "x"; then
+            with_SWIG_INCLUDES="${usrp2_firmware_SWIG_INCLUDES}"
+       else
+           with_SWIG_INCLUDES="${usrp2_firmware_SWIG_INCLUDES}"" ""$with_SWIG_INCLUDES"
+       fi
+       usrp2_firmware_SWIG_INCLUDES=
+    fi
+
+
+    if test "x${usrp2_firmware_PYDIRPATH}" != "x"; then
+        if test "x$with_PYDIRPATH" = "x"; then
+            with_PYDIRPATH="${usrp2_firmware_PYDIRPATH}"
+       else
+           with_PYDIRPATH="${usrp2_firmware_PYDIRPATH}"":""$with_PYDIRPATH"
+       fi
+       usrp2_firmware_PYDIRPATH=
+    fi
+
+
+    if test "x${usrp2_firmware_SWIGDIRPATH}" != "x"; then
+        if test "x$with_SWIGDIRPATH" = "x"; then
+            with_SWIGDIRPATH="${usrp2_firmware_SWIGDIRPATH}"
+       else
+           with_SWIGDIRPATH="${usrp2_firmware_SWIGDIRPATH}"":""$with_SWIGDIRPATH"
+       fi
+       usrp2_firmware_SWIGDIRPATH=
+    fi
+
+
+    if test "x${usrp2_firmware_LIBDIRPATH}" != "x"; then
+        if test "x$with_LIBDIRPATH" = "x"; then
+            with_LIBDIRPATH="${usrp2_firmware_LIBDIRPATH}"
+       else
+           with_LIBDIRPATH="${usrp2_firmware_LIBDIRPATH}"":""$with_LIBDIRPATH"
+       fi
+       usrp2_firmware_LIBDIRPATH=
+    fi
+
+           { $as_echo "$as_me:$LINENO: result: Component usrp2-firmware will be included from a pre-installed library and includes." >&5
+$as_echo "Component usrp2-firmware will be included from a pre-installed library and includes." >&6; }
+           usrp2_firmware_with=yes
+       else
+           usrp2_firmware_LDFLAG=
+            if test x$enable_usrp2_firmware != xno; then
+               :
+               build_dirs="$build_dirs usrp2-firmware"
+               { $as_echo "$as_me:$LINENO: result: Component usrp2-firmware passed configuration checks; building." >&5
+$as_echo "Component usrp2-firmware passed configuration checks; building." >&6; }
+            else
+               passed=no
+               { $as_echo "$as_me:$LINENO: result: Component usrp2-firmware passed configuration checks; but not building." >&5
+$as_echo "Component usrp2-firmware passed configuration checks; but not building." >&6; }
+           fi
+       fi
+    fi
+    if test $passed = no; then
+        skipped_dirs="$skipped_dirs usrp2-firmware"
+        usrp2_firmware_skipped=yes
+    else
+        usrp2_firmware_skipped=no
+    fi
+
+
+
+
+
+
+
+
+
+
+# Each component is now either to be built, was skipped, will be
+# included from pre-installed libraries and includes, or failed
+# dependencies.
+build_dirs=$build_dirs
+
+skipped_dirs=$skipped_dirs
+
+with_dirs=$with_dirs
+
+
+# fix for older autotools that don't define "abs_top_YYY" by default
+
+
+
+# 'with' variables - the pre-installed libraries, includes, and paths
+# - must always come last in the lists, so they require special
+# treatment.
+
+
+
+
+
+
+ac_config_files="$ac_config_files Makefile config/Makefile"
+
+
+cat >confcache <<\_ACEOF
+# This file is a shell script that caches the results of configure
+# tests run on this system so they can be shared between configure
+# scripts and configure runs, see configure's option --config-cache.
+# It is not useful on other systems.  If it contains results you don't
+# want to keep, you may remove or edit it.
+#
+# config.status only pays attention to the cache file if you give it
+# the --recheck option to rerun configure.
+#
+# `ac_cv_env_foo' variables (set or unset) will be overridden when
+# loading this file, other *unset* `ac_cv_foo' will be assigned the
+# following values.
+
+_ACEOF
+
+# The following way of writing the cache mishandles newlines in values,
+# but we know of no workaround that is simple, portable, and efficient.
+# So, we kill variables containing newlines.
+# Ultrix sh set writes to stderr and can't be redirected directly,
+# and sets the high bit in the cache file unless we assign to the vars.
+(
+  for ac_var in `(set) 2>&1 | sed -n 's/^\([a-zA-Z_][a-zA-Z0-9_]*\)=.*/\1/p'`; do
+    eval ac_val=\$$ac_var
+    case $ac_val in #(
+    *${as_nl}*)
+      case $ac_var in #(
+      *_cv_*) { $as_echo "$as_me:$LINENO: WARNING: cache variable $ac_var contains a newline" >&5
+$as_echo "$as_me: WARNING: cache variable $ac_var contains a newline" >&2;} ;;
+      esac
+      case $ac_var in #(
+      _ | IFS | as_nl) ;; #(
+      BASH_ARGV | BASH_SOURCE) eval $ac_var= ;; #(
+      *) $as_unset $ac_var ;;
+      esac ;;
+    esac
+  done
+
+  (set) 2>&1 |
+    case $as_nl`(ac_space=' '; set) 2>&1` in #(
+    *${as_nl}ac_space=\ *)
+      # `set' does not quote correctly, so add quotes (double-quote
+      # substitution turns \\\\ into \\, and sed turns \\ into \).
+      sed -n \
+       "s/'/'\\\\''/g;
+         s/^\\([_$as_cr_alnum]*_cv_[_$as_cr_alnum]*\\)=\\(.*\\)/\\1='\\2'/p"
+      ;; #(
+    *)
+      # `set' quotes correctly as required by POSIX, so do not add quotes.
+      sed -n "/^[_$as_cr_alnum]*_cv_[_$as_cr_alnum]*=/p"
+      ;;
+    esac |
+    sort
+) |
+  sed '
+     /^ac_cv_env_/b end
+     t clear
+     :clear
+     s/^\([^=]*\)=\(.*[{}].*\)$/test "${\1+set}" = set || &/
+     t end
+     s/^\([^=]*\)=\(.*\)$/\1=${\1=\2}/
+     :end' >>confcache
+if diff "$cache_file" confcache >/dev/null 2>&1; then :; else
+  if test -w "$cache_file"; then
+    test "x$cache_file" != "x/dev/null" &&
+      { $as_echo "$as_me:$LINENO: updating cache $cache_file" >&5
+$as_echo "$as_me: updating cache $cache_file" >&6;}
+    cat confcache >$cache_file
+  else
+    { $as_echo "$as_me:$LINENO: not updating unwritable cache $cache_file" >&5
+$as_echo "$as_me: not updating unwritable cache $cache_file" >&6;}
+  fi
+fi
+rm -f confcache
+
+test "x$prefix" = xNONE && prefix=$ac_default_prefix
+# Let make expand exec_prefix.
+test "x$exec_prefix" = xNONE && exec_prefix='${prefix}'
+
+DEFS=-DHAVE_CONFIG_H
+
+ac_libobjs=
+ac_ltlibobjs=
+for ac_i in : $LIBOBJS; do test "x$ac_i" = x: && continue
+  # 1. Remove the extension, and $U if already installed.
+  ac_script='s/\$U\././;s/\.o$//;s/\.obj$//'
+  ac_i=`$as_echo "$ac_i" | sed "$ac_script"`
+  # 2. Prepend LIBOBJDIR.  When used with automake>=1.10 LIBOBJDIR
+  #    will be set to the directory where LIBOBJS objects are built.
+  ac_libobjs="$ac_libobjs \${LIBOBJDIR}$ac_i\$U.$ac_objext"
+  ac_ltlibobjs="$ac_ltlibobjs \${LIBOBJDIR}$ac_i"'$U.lo'
+done
+LIBOBJS=$ac_libobjs
+
+LTLIBOBJS=$ac_ltlibobjs
+
+
+if test -z "${AMDEP_TRUE}" && test -z "${AMDEP_FALSE}"; then
+  { { $as_echo "$as_me:$LINENO: error: conditional \"AMDEP\" was never defined.
+Usually this means the macro was only invoked conditionally." >&5
+$as_echo "$as_me: error: conditional \"AMDEP\" was never defined.
+Usually this means the macro was only invoked conditionally." >&2;}
+   { (exit 1); exit 1; }; }
+fi
+if test -z "${am__fastdepCC_TRUE}" && test -z "${am__fastdepCC_FALSE}"; then
+  { { $as_echo "$as_me:$LINENO: error: conditional \"am__fastdepCC\" was never defined.
+Usually this means the macro was only invoked conditionally." >&5
+$as_echo "$as_me: error: conditional \"am__fastdepCC\" was never defined.
+Usually this means the macro was only invoked conditionally." >&2;}
+   { (exit 1); exit 1; }; }
+fi
+if test -z "${am__fastdepCCAS_TRUE}" && test -z "${am__fastdepCCAS_FALSE}"; then
+  { { $as_echo "$as_me:$LINENO: error: conditional \"am__fastdepCCAS\" was never defined.
+Usually this means the macro was only invoked conditionally." >&5
+$as_echo "$as_me: error: conditional \"am__fastdepCCAS\" was never defined.
+Usually this means the macro was only invoked conditionally." >&2;}
+   { (exit 1); exit 1; }; }
+fi
+
+if test -z "${BUILDING_USRP2_FIRMWARE_TRUE}" && test -z "${BUILDING_USRP2_FIRMWARE_FALSE}"; then
+  { { $as_echo "$as_me:$LINENO: error: conditional \"BUILDING_USRP2_FIRMWARE\" was never defined.
+Usually this means the macro was only invoked conditionally." >&5
+$as_echo "$as_me: error: conditional \"BUILDING_USRP2_FIRMWARE\" was never defined.
+Usually this means the macro was only invoked conditionally." >&2;}
+   { (exit 1); exit 1; }; }
+fi
+
+: ${CONFIG_STATUS=./config.status}
+ac_write_fail=0
+ac_clean_files_save=$ac_clean_files
+ac_clean_files="$ac_clean_files $CONFIG_STATUS"
+{ $as_echo "$as_me:$LINENO: creating $CONFIG_STATUS" >&5
+$as_echo "$as_me: creating $CONFIG_STATUS" >&6;}
+cat >$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
+#! $SHELL
+# Generated by $as_me.
+# Run this file to recreate the current configuration.
+# Compiler output produced by configure, useful for debugging
+# configure, is in config.log if it exists.
+
+debug=false
+ac_cs_recheck=false
+ac_cs_silent=false
+SHELL=\${CONFIG_SHELL-$SHELL}
+_ACEOF
+
+cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
+## --------------------- ##
+## M4sh Initialization.  ##
+## --------------------- ##
+
+# Be more Bourne compatible
+DUALCASE=1; export DUALCASE # for MKS sh
+if test -n "${ZSH_VERSION+set}" && (emulate sh) >/dev/null 2>&1; then
+  emulate sh
+  NULLCMD=:
+  # Pre-4.2 versions of Zsh do word splitting on ${1+"$@"}, which
+  # is contrary to our usage.  Disable this feature.
+  alias -g '${1+"$@"}'='"$@"'
+  setopt NO_GLOB_SUBST
+else
+  case `(set -o) 2>/dev/null` in
+  *posix*) set -o posix ;;
+esac
+
+fi
+
+
+
+
+# PATH needs CR
+# Avoid depending upon Character Ranges.
+as_cr_letters='abcdefghijklmnopqrstuvwxyz'
+as_cr_LETTERS='ABCDEFGHIJKLMNOPQRSTUVWXYZ'
+as_cr_Letters=$as_cr_letters$as_cr_LETTERS
+as_cr_digits='0123456789'
+as_cr_alnum=$as_cr_Letters$as_cr_digits
+
+as_nl='
+'
+export as_nl
+# Printing a long string crashes Solaris 7 /usr/bin/printf.
+as_echo='\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\\'
+as_echo=$as_echo$as_echo$as_echo$as_echo$as_echo
+as_echo=$as_echo$as_echo$as_echo$as_echo$as_echo$as_echo
+if (test "X`printf %s $as_echo`" = "X$as_echo") 2>/dev/null; then
+  as_echo='printf %s\n'
+  as_echo_n='printf %s'
+else
+  if test "X`(/usr/ucb/echo -n -n $as_echo) 2>/dev/null`" = "X-n $as_echo"; then
+    as_echo_body='eval /usr/ucb/echo -n "$1$as_nl"'
+    as_echo_n='/usr/ucb/echo -n'
+  else
+    as_echo_body='eval expr "X$1" : "X\\(.*\\)"'
+    as_echo_n_body='eval
+      arg=$1;
+      case $arg in
+      *"$as_nl"*)
+       expr "X$arg" : "X\\(.*\\)$as_nl";
+       arg=`expr "X$arg" : ".*$as_nl\\(.*\\)"`;;
+      esac;
+      expr "X$arg" : "X\\(.*\\)" | tr -d "$as_nl"
+    '
+    export as_echo_n_body
+    as_echo_n='sh -c $as_echo_n_body as_echo'
+  fi
+  export as_echo_body
+  as_echo='sh -c $as_echo_body as_echo'
+fi
+
+# The user is always right.
+if test "${PATH_SEPARATOR+set}" != set; then
+  PATH_SEPARATOR=:
+  (PATH='/bin;/bin'; FPATH=$PATH; sh -c :) >/dev/null 2>&1 && {
+    (PATH='/bin:/bin'; FPATH=$PATH; sh -c :) >/dev/null 2>&1 ||
+      PATH_SEPARATOR=';'
+  }
+fi
+
+# Support unset when possible.
+if ( (MAIL=60; unset MAIL) || exit) >/dev/null 2>&1; then
+  as_unset=unset
+else
+  as_unset=false
+fi
+
+
+# IFS
+# We need space, tab and new line, in precisely that order.  Quoting is
+# there to prevent editors from complaining about space-tab.
+# (If _AS_PATH_WALK were called with IFS unset, it would disable word
+# splitting by setting IFS to empty value.)
+IFS=" ""       $as_nl"
+
+# Find who we are.  Look in the path if we contain no directory separator.
+case $0 in
+  *[\\/]* ) as_myself=$0 ;;
+  *) as_save_IFS=$IFS; IFS=$PATH_SEPARATOR
+for as_dir in $PATH
+do
+  IFS=$as_save_IFS
+  test -z "$as_dir" && as_dir=.
+  test -r "$as_dir/$0" && as_myself=$as_dir/$0 && break
+done
+IFS=$as_save_IFS
+
+     ;;
+esac
+# We did not find ourselves, most probably we were run as `sh COMMAND'
+# in which case we are not to be found in the path.
+if test "x$as_myself" = x; then
+  as_myself=$0
+fi
+if test ! -f "$as_myself"; then
+  $as_echo "$as_myself: error: cannot find myself; rerun with an absolute file name" >&2
+  { (exit 1); exit 1; }
+fi
+
+# Work around bugs in pre-3.0 UWIN ksh.
+for as_var in ENV MAIL MAILPATH
+do ($as_unset $as_var) >/dev/null 2>&1 && $as_unset $as_var
+done
+PS1='$ '
+PS2='> '
+PS4='+ '
+
+# NLS nuisances.
+LC_ALL=C
+export LC_ALL
+LANGUAGE=C
+export LANGUAGE
+
+# Required to use basename.
+if expr a : '\(a\)' >/dev/null 2>&1 &&
+   test "X`expr 00001 : '.*\(...\)'`" = X001; then
+  as_expr=expr
+else
+  as_expr=false
+fi
+
+if (basename -- /) >/dev/null 2>&1 && test "X`basename -- / 2>&1`" = "X/"; then
+  as_basename=basename
+else
+  as_basename=false
+fi
+
+
+# Name of the executable.
+as_me=`$as_basename -- "$0" ||
+$as_expr X/"$0" : '.*/\([^/][^/]*\)/*$' \| \
+        X"$0" : 'X\(//\)$' \| \
+        X"$0" : 'X\(/\)' \| . 2>/dev/null ||
+$as_echo X/"$0" |
+    sed '/^.*\/\([^/][^/]*\)\/*$/{
+           s//\1/
+           q
+         }
+         /^X\/\(\/\/\)$/{
+           s//\1/
+           q
+         }
+         /^X\/\(\/\).*/{
+           s//\1/
+           q
+         }
+         s/.*/./; q'`
+
+# CDPATH.
+$as_unset CDPATH
+
+
+
+  as_lineno_1=$LINENO
+  as_lineno_2=$LINENO
+  test "x$as_lineno_1" != "x$as_lineno_2" &&
+  test "x`expr $as_lineno_1 + 1`" = "x$as_lineno_2" || {
+
+  # Create $as_me.lineno as a copy of $as_myself, but with $LINENO
+  # uniformly replaced by the line number.  The first 'sed' inserts a
+  # line-number line after each line using $LINENO; the second 'sed'
+  # does the real work.  The second script uses 'N' to pair each
+  # line-number line with the line containing $LINENO, and appends
+  # trailing '-' during substitution so that $LINENO is not a special
+  # case at line end.
+  # (Raja R Harinath suggested sed '=', and Paul Eggert wrote the
+  # scripts with optimization help from Paolo Bonzini.  Blame Lee
+  # E. McMahon (1931-1989) for sed's syntax.  :-)
+  sed -n '
+    p
+    /[$]LINENO/=
+  ' <$as_myself |
+    sed '
+      s/[$]LINENO.*/&-/
+      t lineno
+      b
+      :lineno
+      N
+      :loop
+      s/[$]LINENO\([^'$as_cr_alnum'_].*\n\)\(.*\)/\2\1\2/
+      t loop
+      s/-\n.*//
+    ' >$as_me.lineno &&
+  chmod +x "$as_me.lineno" ||
+    { $as_echo "$as_me: error: cannot create $as_me.lineno; rerun with a POSIX shell" >&2
+   { (exit 1); exit 1; }; }
+
+  # Don't try to exec as it changes $[0], causing all sort of problems
+  # (the dirname of $[0] is not the place where we might find the
+  # original and so on.  Autoconf is especially sensitive to this).
+  . "./$as_me.lineno"
+  # Exit status is that of the last command.
+  exit
+}
+
+
+if (as_dir=`dirname -- /` && test "X$as_dir" = X/) >/dev/null 2>&1; then
+  as_dirname=dirname
+else
+  as_dirname=false
+fi
+
+ECHO_C= ECHO_N= ECHO_T=
+case `echo -n x` in
+-n*)
+  case `echo 'x\c'` in
+  *c*) ECHO_T='        ';;     # ECHO_T is single tab character.
+  *)   ECHO_C='\c';;
+  esac;;
+*)
+  ECHO_N='-n';;
+esac
+if expr a : '\(a\)' >/dev/null 2>&1 &&
+   test "X`expr 00001 : '.*\(...\)'`" = X001; then
+  as_expr=expr
+else
+  as_expr=false
+fi
+
+rm -f conf$$ conf$$.exe conf$$.file
+if test -d conf$$.dir; then
+  rm -f conf$$.dir/conf$$.file
+else
+  rm -f conf$$.dir
+  mkdir conf$$.dir 2>/dev/null
+fi
+if (echo >conf$$.file) 2>/dev/null; then
+  if ln -s conf$$.file conf$$ 2>/dev/null; then
+    as_ln_s='ln -s'
+    # ... but there are two gotchas:
+    # 1) On MSYS, both `ln -s file dir' and `ln file dir' fail.
+    # 2) DJGPP < 2.04 has no symlinks; `ln -s' creates a wrapper executable.
+    # In both cases, we have to default to `cp -p'.
+    ln -s conf$$.file conf$$.dir 2>/dev/null && test ! -f conf$$.exe ||
+      as_ln_s='cp -p'
+  elif ln conf$$.file conf$$ 2>/dev/null; then
+    as_ln_s=ln
+  else
+    as_ln_s='cp -p'
+  fi
+else
+  as_ln_s='cp -p'
+fi
+rm -f conf$$ conf$$.exe conf$$.dir/conf$$.file conf$$.file
+rmdir conf$$.dir 2>/dev/null
+
+if mkdir -p . 2>/dev/null; then
+  as_mkdir_p=:
+else
+  test -d ./-p && rmdir ./-p
+  as_mkdir_p=false
+fi
+
+if test -x / >/dev/null 2>&1; then
+  as_test_x='test -x'
+else
+  if ls -dL / >/dev/null 2>&1; then
+    as_ls_L_option=L
+  else
+    as_ls_L_option=
+  fi
+  as_test_x='
+    eval sh -c '\''
+      if test -d "$1"; then
+       test -d "$1/.";
+      else
+       case $1 in
+       -*)set "./$1";;
+       esac;
+       case `ls -ld'$as_ls_L_option' "$1" 2>/dev/null` in
+       ???[sx]*):;;*)false;;esac;fi
+    '\'' sh
+  '
+fi
+as_executable_p=$as_test_x
+
+# Sed expression to map a string onto a valid CPP name.
+as_tr_cpp="eval sed 'y%*$as_cr_letters%P$as_cr_LETTERS%;s%[^_$as_cr_alnum]%_%g'"
+
+# Sed expression to map a string onto a valid variable name.
+as_tr_sh="eval sed 'y%*+%pp%;s%[^_$as_cr_alnum]%_%g'"
+
+
+exec 6>&1
+
+# Save the log message, to keep $[0] and so on meaningful, and to
+# report actual input values of CONFIG_FILES etc. instead of their
+# values after options handling.
+ac_log="
+This file was extended by $as_me, which was
+generated by GNU Autoconf 2.63.  Invocation command line was
+
+  CONFIG_FILES    = $CONFIG_FILES
+  CONFIG_HEADERS  = $CONFIG_HEADERS
+  CONFIG_LINKS    = $CONFIG_LINKS
+  CONFIG_COMMANDS = $CONFIG_COMMANDS
+  $ $0 $@
+
+on `(hostname || uname -n) 2>/dev/null | sed 1q`
+"
+
+_ACEOF
+
+case $ac_config_files in *"
+"*) set x $ac_config_files; shift; ac_config_files=$*;;
+esac
+
+case $ac_config_headers in *"
+"*) set x $ac_config_headers; shift; ac_config_headers=$*;;
+esac
+
+
+cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
+# Files that config.status was made for.
+config_files="$ac_config_files"
+config_headers="$ac_config_headers"
+config_commands="$ac_config_commands"
+
+_ACEOF
+
+cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
+ac_cs_usage="\
+\`$as_me' instantiates files from templates according to the
+current configuration.
+
+Usage: $0 [OPTION]... [FILE]...
+
+  -h, --help       print this help, then exit
+  -V, --version    print version number and configuration settings, then exit
+  -q, --quiet, --silent
+                   do not print progress messages
+  -d, --debug      don't remove temporary files
+      --recheck    update $as_me by reconfiguring in the same conditions
+      --file=FILE[:TEMPLATE]
+                   instantiate the configuration file FILE
+      --header=FILE[:TEMPLATE]
+                   instantiate the configuration header FILE
+
+Configuration files:
+$config_files
+
+Configuration headers:
+$config_headers
+
+Configuration commands:
+$config_commands
+
+Report bugs to <bug-autoconf@gnu.org>."
+
+_ACEOF
+cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
+ac_cs_version="\\
+config.status
+configured by $0, generated by GNU Autoconf 2.63,
+  with options \\"`$as_echo "$ac_configure_args" | sed 's/^ //; s/[\\""\`\$]/\\\\&/g'`\\"
+
+Copyright (C) 2008 Free Software Foundation, Inc.
+This config.status script is free software; the Free Software Foundation
+gives unlimited permission to copy, distribute and modify it."
+
+ac_pwd='$ac_pwd'
+srcdir='$srcdir'
+INSTALL='$INSTALL'
+MKDIR_P='$MKDIR_P'
+AWK='$AWK'
+test -n "\$AWK" || AWK=awk
+_ACEOF
+
+cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
+# The default lists apply if the user does not specify any file.
+ac_need_defaults=:
+while test $# != 0
+do
+  case $1 in
+  --*=*)
+    ac_option=`expr "X$1" : 'X\([^=]*\)='`
+    ac_optarg=`expr "X$1" : 'X[^=]*=\(.*\)'`
+    ac_shift=:
+    ;;
+  *)
+    ac_option=$1
+    ac_optarg=$2
+    ac_shift=shift
+    ;;
+  esac
+
+  case $ac_option in
+  # Handling of the options.
+  -recheck | --recheck | --rechec | --reche | --rech | --rec | --re | --r)
+    ac_cs_recheck=: ;;
+  --version | --versio | --versi | --vers | --ver | --ve | --v | -V )
+    $as_echo "$ac_cs_version"; exit ;;
+  --debug | --debu | --deb | --de | --d | -d )
+    debug=: ;;
+  --file | --fil | --fi | --f )
+    $ac_shift
+    case $ac_optarg in
+    *\'*) ac_optarg=`$as_echo "$ac_optarg" | sed "s/'/'\\\\\\\\''/g"` ;;
+    esac
+    CONFIG_FILES="$CONFIG_FILES '$ac_optarg'"
+    ac_need_defaults=false;;
+  --header | --heade | --head | --hea )
+    $ac_shift
+    case $ac_optarg in
+    *\'*) ac_optarg=`$as_echo "$ac_optarg" | sed "s/'/'\\\\\\\\''/g"` ;;
+    esac
+    CONFIG_HEADERS="$CONFIG_HEADERS '$ac_optarg'"
+    ac_need_defaults=false;;
+  --he | --h)
+    # Conflict between --help and --header
+    { $as_echo "$as_me: error: ambiguous option: $1
+Try \`$0 --help' for more information." >&2
+   { (exit 1); exit 1; }; };;
+  --help | --hel | -h )
+    $as_echo "$ac_cs_usage"; exit ;;
+  -q | -quiet | --quiet | --quie | --qui | --qu | --q \
+  | -silent | --silent | --silen | --sile | --sil | --si | --s)
+    ac_cs_silent=: ;;
+
+  # This is an error.
+  -*) { $as_echo "$as_me: error: unrecognized option: $1
+Try \`$0 --help' for more information." >&2
+   { (exit 1); exit 1; }; } ;;
+
+  *) ac_config_targets="$ac_config_targets $1"
+     ac_need_defaults=false ;;
+
+  esac
+  shift
+done
+
+ac_configure_extra_args=
+
+if $ac_cs_silent; then
+  exec 6>/dev/null
+  ac_configure_extra_args="$ac_configure_extra_args --silent"
+fi
+
+_ACEOF
+cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
+if \$ac_cs_recheck; then
+  set X '$SHELL' '$0' $ac_configure_args \$ac_configure_extra_args --no-create --no-recursion
+  shift
+  \$as_echo "running CONFIG_SHELL=$SHELL \$*" >&6
+  CONFIG_SHELL='$SHELL'
+  export CONFIG_SHELL
+  exec "\$@"
+fi
+
+_ACEOF
+cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
+exec 5>>config.log
+{
+  echo
+  sed 'h;s/./-/g;s/^.../## /;s/...$/ ##/;p;x;p;x' <<_ASBOX
+## Running $as_me. ##
+_ASBOX
+  $as_echo "$ac_log"
+} >&5
+
+_ACEOF
+cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
+#
+# INIT-COMMANDS
+#
+AMDEP_TRUE="$AMDEP_TRUE" ac_aux_dir="$ac_aux_dir"
+
+_ACEOF
+
+cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
+
+# Handling of arguments.
+for ac_config_target in $ac_config_targets
+do
+  case $ac_config_target in
+    "config.h") CONFIG_HEADERS="$CONFIG_HEADERS config.h" ;;
+    "depfiles") CONFIG_COMMANDS="$CONFIG_COMMANDS depfiles" ;;
+    "apps/Makefile") CONFIG_FILES="$CONFIG_FILES apps/Makefile" ;;
+    "include/Makefile") CONFIG_FILES="$CONFIG_FILES include/Makefile" ;;
+    "lib/Makefile") CONFIG_FILES="$CONFIG_FILES lib/Makefile" ;;
+    "Makefile") CONFIG_FILES="$CONFIG_FILES Makefile" ;;
+    "config/Makefile") CONFIG_FILES="$CONFIG_FILES config/Makefile" ;;
+
+  *) { { $as_echo "$as_me:$LINENO: error: invalid argument: $ac_config_target" >&5
+$as_echo "$as_me: error: invalid argument: $ac_config_target" >&2;}
+   { (exit 1); exit 1; }; };;
+  esac
+done
+
+
+# If the user did not use the arguments to specify the items to instantiate,
+# then the envvar interface is used.  Set only those that are not.
+# We use the long form for the default assignment because of an extremely
+# bizarre bug on SunOS 4.1.3.
+if $ac_need_defaults; then
+  test "${CONFIG_FILES+set}" = set || CONFIG_FILES=$config_files
+  test "${CONFIG_HEADERS+set}" = set || CONFIG_HEADERS=$config_headers
+  test "${CONFIG_COMMANDS+set}" = set || CONFIG_COMMANDS=$config_commands
+fi
+
+# Have a temporary directory for convenience.  Make it in the build tree
+# simply because there is no reason against having it here, and in addition,
+# creating and moving files from /tmp can sometimes cause problems.
+# Hook for its removal unless debugging.
+# Note that there is a small window in which the directory will not be cleaned:
+# after its creation but before its name has been assigned to `$tmp'.
+$debug ||
+{
+  tmp=
+  trap 'exit_status=$?
+  { test -z "$tmp" || test ! -d "$tmp" || rm -fr "$tmp"; } && exit $exit_status
+' 0
+  trap '{ (exit 1); exit 1; }' 1 2 13 15
+}
+# Create a (secure) tmp directory for tmp files.
+
+{
+  tmp=`(umask 077 && mktemp -d "./confXXXXXX") 2>/dev/null` &&
+  test -n "$tmp" && test -d "$tmp"
+}  ||
+{
+  tmp=./conf$$-$RANDOM
+  (umask 077 && mkdir "$tmp")
+} ||
+{
+   $as_echo "$as_me: cannot create a temporary directory in ." >&2
+   { (exit 1); exit 1; }
+}
+
+# Set up the scripts for CONFIG_FILES section.
+# No need to generate them if there are no CONFIG_FILES.
+# This happens for instance with `./config.status config.h'.
+if test -n "$CONFIG_FILES"; then
+
+
+ac_cr='\r'
+ac_cs_awk_cr=`$AWK 'BEGIN { print "a\rb" }' </dev/null 2>/dev/null`
+if test "$ac_cs_awk_cr" = "a${ac_cr}b"; then
+  ac_cs_awk_cr='\\r'
+else
+  ac_cs_awk_cr=$ac_cr
+fi
+
+echo 'BEGIN {' >"$tmp/subs1.awk" &&
+_ACEOF
+
+
+{
+  echo "cat >conf$$subs.awk <<_ACEOF" &&
+  echo "$ac_subst_vars" | sed 's/.*/&!$&$ac_delim/' &&
+  echo "_ACEOF"
+} >conf$$subs.sh ||
+  { { $as_echo "$as_me:$LINENO: error: could not make $CONFIG_STATUS" >&5
+$as_echo "$as_me: error: could not make $CONFIG_STATUS" >&2;}
+   { (exit 1); exit 1; }; }
+ac_delim_num=`echo "$ac_subst_vars" | grep -c '$'`
+ac_delim='%!_!# '
+for ac_last_try in false false false false false :; do
+  . ./conf$$subs.sh ||
+    { { $as_echo "$as_me:$LINENO: error: could not make $CONFIG_STATUS" >&5
+$as_echo "$as_me: error: could not make $CONFIG_STATUS" >&2;}
+   { (exit 1); exit 1; }; }
+
+  ac_delim_n=`sed -n "s/.*$ac_delim\$/X/p" conf$$subs.awk | grep -c X`
+  if test $ac_delim_n = $ac_delim_num; then
+    break
+  elif $ac_last_try; then
+    { { $as_echo "$as_me:$LINENO: error: could not make $CONFIG_STATUS" >&5
+$as_echo "$as_me: error: could not make $CONFIG_STATUS" >&2;}
+   { (exit 1); exit 1; }; }
+  else
+    ac_delim="$ac_delim!$ac_delim _$ac_delim!! "
+  fi
+done
+rm -f conf$$subs.sh
+
+cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
+cat >>"\$tmp/subs1.awk" <<\\_ACAWK &&
+_ACEOF
+sed -n '
+h
+s/^/S["/; s/!.*/"]=/
+p
+g
+s/^[^!]*!//
+:repl
+t repl
+s/'"$ac_delim"'$//
+t delim
+:nl
+h
+s/\(.\{148\}\).*/\1/
+t more1
+s/["\\]/\\&/g; s/^/"/; s/$/\\n"\\/
+p
+n
+b repl
+:more1
+s/["\\]/\\&/g; s/^/"/; s/$/"\\/
+p
+g
+s/.\{148\}//
+t nl
+:delim
+h
+s/\(.\{148\}\).*/\1/
+t more2
+s/["\\]/\\&/g; s/^/"/; s/$/"/
+p
+b
+:more2
+s/["\\]/\\&/g; s/^/"/; s/$/"\\/
+p
+g
+s/.\{148\}//
+t delim
+' <conf$$subs.awk | sed '
+/^[^""]/{
+  N
+  s/\n//
+}
+' >>$CONFIG_STATUS || ac_write_fail=1
+rm -f conf$$subs.awk
+cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
+_ACAWK
+cat >>"\$tmp/subs1.awk" <<_ACAWK &&
+  for (key in S) S_is_set[key] = 1
+  FS = "\a"
+
+}
+{
+  line = $ 0
+  nfields = split(line, field, "@")
+  substed = 0
+  len = length(field[1])
+  for (i = 2; i < nfields; i++) {
+    key = field[i]
+    keylen = length(key)
+    if (S_is_set[key]) {
+      value = S[key]
+      line = substr(line, 1, len) "" value "" substr(line, len + keylen + 3)
+      len += length(value) + length(field[++i])
+      substed = 1
+    } else
+      len += 1 + keylen
+  }
+
+  print line
+}
+
+_ACAWK
+_ACEOF
+cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
+if sed "s/$ac_cr//" < /dev/null > /dev/null 2>&1; then
+  sed "s/$ac_cr\$//; s/$ac_cr/$ac_cs_awk_cr/g"
+else
+  cat
+fi < "$tmp/subs1.awk" > "$tmp/subs.awk" \
+  || { { $as_echo "$as_me:$LINENO: error: could not setup config files machinery" >&5
+$as_echo "$as_me: error: could not setup config files machinery" >&2;}
+   { (exit 1); exit 1; }; }
+_ACEOF
+
+# VPATH may cause trouble with some makes, so we remove $(srcdir),
+# ${srcdir} and @srcdir@ from VPATH if srcdir is ".", strip leading and
+# trailing colons and then remove the whole line if VPATH becomes empty
+# (actually we leave an empty line to preserve line numbers).
+if test "x$srcdir" = x.; then
+  ac_vpsub='/^[         ]*VPATH[        ]*=/{
+s/:*\$(srcdir):*/:/
+s/:*\${srcdir}:*/:/
+s/:*@srcdir@:*/:/
+s/^\([^=]*=[    ]*\):*/\1/
+s/:*$//
+s/^[^=]*=[      ]*$//
+}'
+fi
+
+cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
+fi # test -n "$CONFIG_FILES"
+
+# Set up the scripts for CONFIG_HEADERS section.
+# No need to generate them if there are no CONFIG_HEADERS.
+# This happens for instance with `./config.status Makefile'.
+if test -n "$CONFIG_HEADERS"; then
+cat >"$tmp/defines.awk" <<\_ACAWK ||
+BEGIN {
+_ACEOF
+
+# Transform confdefs.h into an awk script `defines.awk', embedded as
+# here-document in config.status, that substitutes the proper values into
+# config.h.in to produce config.h.
+
+# Create a delimiter string that does not exist in confdefs.h, to ease
+# handling of long lines.
+ac_delim='%!_!# '
+for ac_last_try in false false :; do
+  ac_t=`sed -n "/$ac_delim/p" confdefs.h`
+  if test -z "$ac_t"; then
+    break
+  elif $ac_last_try; then
+    { { $as_echo "$as_me:$LINENO: error: could not make $CONFIG_HEADERS" >&5
+$as_echo "$as_me: error: could not make $CONFIG_HEADERS" >&2;}
+   { (exit 1); exit 1; }; }
+  else
+    ac_delim="$ac_delim!$ac_delim _$ac_delim!! "
+  fi
+done
+
+# For the awk script, D is an array of macro values keyed by name,
+# likewise P contains macro parameters if any.  Preserve backslash
+# newline sequences.
+
+ac_word_re=[_$as_cr_Letters][_$as_cr_alnum]*
+sed -n '
+s/.\{148\}/&'"$ac_delim"'/g
+t rset
+:rset
+s/^[    ]*#[    ]*define[       ][      ]*/ /
+t def
+d
+:def
+s/\\$//
+t bsnl
+s/["\\]/\\&/g
+s/^ \('"$ac_word_re"'\)\(([^()]*)\)[    ]*\(.*\)/P["\1"]="\2"\
+D["\1"]=" \3"/p
+s/^ \('"$ac_word_re"'\)[        ]*\(.*\)/D["\1"]=" \2"/p
+d
+:bsnl
+s/["\\]/\\&/g
+s/^ \('"$ac_word_re"'\)\(([^()]*)\)[    ]*\(.*\)/P["\1"]="\2"\
+D["\1"]=" \3\\\\\\n"\\/p
+t cont
+s/^ \('"$ac_word_re"'\)[        ]*\(.*\)/D["\1"]=" \2\\\\\\n"\\/p
+t cont
+d
+:cont
+n
+s/.\{148\}/&'"$ac_delim"'/g
+t clear
+:clear
+s/\\$//
+t bsnlc
+s/["\\]/\\&/g; s/^/"/; s/$/"/p
+d
+:bsnlc
+s/["\\]/\\&/g; s/^/"/; s/$/\\\\\\n"\\/p
+b cont
+' <confdefs.h | sed '
+s/'"$ac_delim"'/"\\\
+"/g' >>$CONFIG_STATUS || ac_write_fail=1
+
+cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
+  for (key in D) D_is_set[key] = 1
+  FS = "\a"
+}
+/^[\t ]*#[\t ]*(define|undef)[\t ]+$ac_word_re([\t (]|\$)/ {
+  line = \$ 0
+  split(line, arg, " ")
+  if (arg[1] == "#") {
+    defundef = arg[2]
+    mac1 = arg[3]
+  } else {
+    defundef = substr(arg[1], 2)
+    mac1 = arg[2]
+  }
+  split(mac1, mac2, "(") #)
+  macro = mac2[1]
+  prefix = substr(line, 1, index(line, defundef) - 1)
+  if (D_is_set[macro]) {
+    # Preserve the white space surrounding the "#".
+    print prefix "define", macro P[macro] D[macro]
+    next
+  } else {
+    # Replace #undef with comments.  This is necessary, for example,
+    # in the case of _POSIX_SOURCE, which is predefined and required
+    # on some systems where configure will not decide to define it.
+    if (defundef == "undef") {
+      print "/*", prefix defundef, macro, "*/"
+      next
+    }
+  }
+}
+{ print }
+_ACAWK
+_ACEOF
+cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
+  { { $as_echo "$as_me:$LINENO: error: could not setup config headers machinery" >&5
+$as_echo "$as_me: error: could not setup config headers machinery" >&2;}
+   { (exit 1); exit 1; }; }
+fi # test -n "$CONFIG_HEADERS"
+
+
+eval set X "  :F $CONFIG_FILES  :H $CONFIG_HEADERS    :C $CONFIG_COMMANDS"
+shift
+for ac_tag
+do
+  case $ac_tag in
+  :[FHLC]) ac_mode=$ac_tag; continue;;
+  esac
+  case $ac_mode$ac_tag in
+  :[FHL]*:*);;
+  :L* | :C*:*) { { $as_echo "$as_me:$LINENO: error: invalid tag $ac_tag" >&5
+$as_echo "$as_me: error: invalid tag $ac_tag" >&2;}
+   { (exit 1); exit 1; }; };;
+  :[FH]-) ac_tag=-:-;;
+  :[FH]*) ac_tag=$ac_tag:$ac_tag.in;;
+  esac
+  ac_save_IFS=$IFS
+  IFS=:
+  set x $ac_tag
+  IFS=$ac_save_IFS
+  shift
+  ac_file=$1
+  shift
+
+  case $ac_mode in
+  :L) ac_source=$1;;
+  :[FH])
+    ac_file_inputs=
+    for ac_f
+    do
+      case $ac_f in
+      -) ac_f="$tmp/stdin";;
+      *) # Look for the file first in the build tree, then in the source tree
+        # (if the path is not absolute).  The absolute path cannot be DOS-style,
+        # because $ac_f cannot contain `:'.
+        test -f "$ac_f" ||
+          case $ac_f in
+          [\\/$]*) false;;
+          *) test -f "$srcdir/$ac_f" && ac_f="$srcdir/$ac_f";;
+          esac ||
+          { { $as_echo "$as_me:$LINENO: error: cannot find input file: $ac_f" >&5
+$as_echo "$as_me: error: cannot find input file: $ac_f" >&2;}
+   { (exit 1); exit 1; }; };;
+      esac
+      case $ac_f in *\'*) ac_f=`$as_echo "$ac_f" | sed "s/'/'\\\\\\\\''/g"`;; esac
+      ac_file_inputs="$ac_file_inputs '$ac_f'"
+    done
+
+    # Let's still pretend it is `configure' which instantiates (i.e., don't
+    # use $as_me), people would be surprised to read:
+    #    /* config.h.  Generated by config.status.  */
+    configure_input='Generated from '`
+         $as_echo "$*" | sed 's|^[^:]*/||;s|:[^:]*/|, |g'
+       `' by configure.'
+    if test x"$ac_file" != x-; then
+      configure_input="$ac_file.  $configure_input"
+      { $as_echo "$as_me:$LINENO: creating $ac_file" >&5
+$as_echo "$as_me: creating $ac_file" >&6;}
+    fi
+    # Neutralize special characters interpreted by sed in replacement strings.
+    case $configure_input in #(
+    *\&* | *\|* | *\\* )
+       ac_sed_conf_input=`$as_echo "$configure_input" |
+       sed 's/[\\\\&|]/\\\\&/g'`;; #(
+    *) ac_sed_conf_input=$configure_input;;
+    esac
+
+    case $ac_tag in
+    *:-:* | *:-) cat >"$tmp/stdin" \
+      || { { $as_echo "$as_me:$LINENO: error: could not create $ac_file" >&5
+$as_echo "$as_me: error: could not create $ac_file" >&2;}
+   { (exit 1); exit 1; }; } ;;
+    esac
+    ;;
+  esac
+
+  ac_dir=`$as_dirname -- "$ac_file" ||
+$as_expr X"$ac_file" : 'X\(.*[^/]\)//*[^/][^/]*/*$' \| \
+        X"$ac_file" : 'X\(//\)[^/]' \| \
+        X"$ac_file" : 'X\(//\)$' \| \
+        X"$ac_file" : 'X\(/\)' \| . 2>/dev/null ||
+$as_echo X"$ac_file" |
+    sed '/^X\(.*[^/]\)\/\/*[^/][^/]*\/*$/{
+           s//\1/
+           q
+         }
+         /^X\(\/\/\)[^/].*/{
+           s//\1/
+           q
+         }
+         /^X\(\/\/\)$/{
+           s//\1/
+           q
+         }
+         /^X\(\/\).*/{
+           s//\1/
+           q
+         }
+         s/.*/./; q'`
+  { as_dir="$ac_dir"
+  case $as_dir in #(
+  -*) as_dir=./$as_dir;;
+  esac
+  test -d "$as_dir" || { $as_mkdir_p && mkdir -p "$as_dir"; } || {
+    as_dirs=
+    while :; do
+      case $as_dir in #(
+      *\'*) as_qdir=`$as_echo "$as_dir" | sed "s/'/'\\\\\\\\''/g"`;; #'(
+      *) as_qdir=$as_dir;;
+      esac
+      as_dirs="'$as_qdir' $as_dirs"
+      as_dir=`$as_dirname -- "$as_dir" ||
+$as_expr X"$as_dir" : 'X\(.*[^/]\)//*[^/][^/]*/*$' \| \
+        X"$as_dir" : 'X\(//\)[^/]' \| \
+        X"$as_dir" : 'X\(//\)$' \| \
+        X"$as_dir" : 'X\(/\)' \| . 2>/dev/null ||
+$as_echo X"$as_dir" |
+    sed '/^X\(.*[^/]\)\/\/*[^/][^/]*\/*$/{
+           s//\1/
+           q
+         }
+         /^X\(\/\/\)[^/].*/{
+           s//\1/
+           q
+         }
+         /^X\(\/\/\)$/{
+           s//\1/
+           q
+         }
+         /^X\(\/\).*/{
+           s//\1/
+           q
+         }
+         s/.*/./; q'`
+      test -d "$as_dir" && break
+    done
+    test -z "$as_dirs" || eval "mkdir $as_dirs"
+  } || test -d "$as_dir" || { { $as_echo "$as_me:$LINENO: error: cannot create directory $as_dir" >&5
+$as_echo "$as_me: error: cannot create directory $as_dir" >&2;}
+   { (exit 1); exit 1; }; }; }
+  ac_builddir=.
+
+case "$ac_dir" in
+.) ac_dir_suffix= ac_top_builddir_sub=. ac_top_build_prefix= ;;
+*)
+  ac_dir_suffix=/`$as_echo "$ac_dir" | sed 's|^\.[\\/]||'`
+  # A ".." for each directory in $ac_dir_suffix.
+  ac_top_builddir_sub=`$as_echo "$ac_dir_suffix" | sed 's|/[^\\/]*|/..|g;s|/||'`
+  case $ac_top_builddir_sub in
+  "") ac_top_builddir_sub=. ac_top_build_prefix= ;;
+  *)  ac_top_build_prefix=$ac_top_builddir_sub/ ;;
+  esac ;;
+esac
+ac_abs_top_builddir=$ac_pwd
+ac_abs_builddir=$ac_pwd$ac_dir_suffix
+# for backward compatibility:
+ac_top_builddir=$ac_top_build_prefix
+
+case $srcdir in
+  .)  # We are building in place.
+    ac_srcdir=.
+    ac_top_srcdir=$ac_top_builddir_sub
+    ac_abs_top_srcdir=$ac_pwd ;;
+  [\\/]* | ?:[\\/]* )  # Absolute name.
+    ac_srcdir=$srcdir$ac_dir_suffix;
+    ac_top_srcdir=$srcdir
+    ac_abs_top_srcdir=$srcdir ;;
+  *) # Relative name.
+    ac_srcdir=$ac_top_build_prefix$srcdir$ac_dir_suffix
+    ac_top_srcdir=$ac_top_build_prefix$srcdir
+    ac_abs_top_srcdir=$ac_pwd/$srcdir ;;
+esac
+ac_abs_srcdir=$ac_abs_top_srcdir$ac_dir_suffix
+
+
+  case $ac_mode in
+  :F)
+  #
+  # CONFIG_FILE
+  #
+
+  case $INSTALL in
+  [\\/$]* | ?:[\\/]* ) ac_INSTALL=$INSTALL ;;
+  *) ac_INSTALL=$ac_top_build_prefix$INSTALL ;;
+  esac
+  ac_MKDIR_P=$MKDIR_P
+  case $MKDIR_P in
+  [\\/$]* | ?:[\\/]* ) ;;
+  */*) ac_MKDIR_P=$ac_top_build_prefix$MKDIR_P ;;
+  esac
+_ACEOF
+
+cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
+# If the template does not know about datarootdir, expand it.
+# FIXME: This hack should be removed a few years after 2.60.
+ac_datarootdir_hack=; ac_datarootdir_seen=
+
+ac_sed_dataroot='
+/datarootdir/ {
+  p
+  q
+}
+/@datadir@/p
+/@docdir@/p
+/@infodir@/p
+/@localedir@/p
+/@mandir@/p
+'
+case `eval "sed -n \"\$ac_sed_dataroot\" $ac_file_inputs"` in
+*datarootdir*) ac_datarootdir_seen=yes;;
+*@datadir@*|*@docdir@*|*@infodir@*|*@localedir@*|*@mandir@*)
+  { $as_echo "$as_me:$LINENO: WARNING: $ac_file_inputs seems to ignore the --datarootdir setting" >&5
+$as_echo "$as_me: WARNING: $ac_file_inputs seems to ignore the --datarootdir setting" >&2;}
+_ACEOF
+cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
+  ac_datarootdir_hack='
+  s&@datadir@&$datadir&g
+  s&@docdir@&$docdir&g
+  s&@infodir@&$infodir&g
+  s&@localedir@&$localedir&g
+  s&@mandir@&$mandir&g
+    s&\\\${datarootdir}&$datarootdir&g' ;;
+esac
+_ACEOF
+
+# Neutralize VPATH when `$srcdir' = `.'.
+# Shell code in configure.ac might set extrasub.
+# FIXME: do we really want to maintain this feature?
+cat >>$CONFIG_STATUS <<_ACEOF || ac_write_fail=1
+ac_sed_extra="$ac_vpsub
+$extrasub
+_ACEOF
+cat >>$CONFIG_STATUS <<\_ACEOF || ac_write_fail=1
+:t
+/@[a-zA-Z_][a-zA-Z_0-9]*@/!b
+s|@configure_input@|$ac_sed_conf_input|;t t
+s&@top_builddir@&$ac_top_builddir_sub&;t t
+s&@top_build_prefix@&$ac_top_build_prefix&;t t
+s&@srcdir@&$ac_srcdir&;t t
+s&@abs_srcdir@&$ac_abs_srcdir&;t t
+s&@top_srcdir@&$ac_top_srcdir&;t t
+s&@abs_top_srcdir@&$ac_abs_top_srcdir&;t t
+s&@builddir@&$ac_builddir&;t t
+s&@abs_builddir@&$ac_abs_builddir&;t t
+s&@abs_top_builddir@&$ac_abs_top_builddir&;t t
+s&@INSTALL@&$ac_INSTALL&;t t
+s&@MKDIR_P@&$ac_MKDIR_P&;t t
+$ac_datarootdir_hack
+"
+eval sed \"\$ac_sed_extra\" "$ac_file_inputs" | $AWK -f "$tmp/subs.awk" >$tmp/out \
+  || { { $as_echo "$as_me:$LINENO: error: could not create $ac_file" >&5
+$as_echo "$as_me: error: could not create $ac_file" >&2;}
+   { (exit 1); exit 1; }; }
+
+test -z "$ac_datarootdir_hack$ac_datarootdir_seen" &&
+  { ac_out=`sed -n '/\${datarootdir}/p' "$tmp/out"`; test -n "$ac_out"; } &&
+  { ac_out=`sed -n '/^[         ]*datarootdir[  ]*:*=/p' "$tmp/out"`; test -z "$ac_out"; } &&
+  { $as_echo "$as_me:$LINENO: WARNING: $ac_file contains a reference to the variable \`datarootdir'
+which seems to be undefined.  Please make sure it is defined." >&5
+$as_echo "$as_me: WARNING: $ac_file contains a reference to the variable \`datarootdir'
+which seems to be undefined.  Please make sure it is defined." >&2;}
+
+  rm -f "$tmp/stdin"
+  case $ac_file in
+  -) cat "$tmp/out" && rm -f "$tmp/out";;
+  *) rm -f "$ac_file" && mv "$tmp/out" "$ac_file";;
+  esac \
+  || { { $as_echo "$as_me:$LINENO: error: could not create $ac_file" >&5
+$as_echo "$as_me: error: could not create $ac_file" >&2;}
+   { (exit 1); exit 1; }; }
+ ;;
+  :H)
+  #
+  # CONFIG_HEADER
+  #
+  if test x"$ac_file" != x-; then
+    {
+      $as_echo "/* $configure_input  */" \
+      && eval '$AWK -f "$tmp/defines.awk"' "$ac_file_inputs"
+    } >"$tmp/config.h" \
+      || { { $as_echo "$as_me:$LINENO: error: could not create $ac_file" >&5
+$as_echo "$as_me: error: could not create $ac_file" >&2;}
+   { (exit 1); exit 1; }; }
+    if diff "$ac_file" "$tmp/config.h" >/dev/null 2>&1; then
+      { $as_echo "$as_me:$LINENO: $ac_file is unchanged" >&5
+$as_echo "$as_me: $ac_file is unchanged" >&6;}
+    else
+      rm -f "$ac_file"
+      mv "$tmp/config.h" "$ac_file" \
+       || { { $as_echo "$as_me:$LINENO: error: could not create $ac_file" >&5
+$as_echo "$as_me: error: could not create $ac_file" >&2;}
+   { (exit 1); exit 1; }; }
+    fi
+  else
+    $as_echo "/* $configure_input  */" \
+      && eval '$AWK -f "$tmp/defines.awk"' "$ac_file_inputs" \
+      || { { $as_echo "$as_me:$LINENO: error: could not create -" >&5
+$as_echo "$as_me: error: could not create -" >&2;}
+   { (exit 1); exit 1; }; }
+  fi
+# Compute "$ac_file"'s index in $config_headers.
+_am_arg="$ac_file"
+_am_stamp_count=1
+for _am_header in $config_headers :; do
+  case $_am_header in
+    $_am_arg | $_am_arg:* )
+      break ;;
+    * )
+      _am_stamp_count=`expr $_am_stamp_count + 1` ;;
+  esac
+done
+echo "timestamp for $_am_arg" >`$as_dirname -- "$_am_arg" ||
+$as_expr X"$_am_arg" : 'X\(.*[^/]\)//*[^/][^/]*/*$' \| \
+        X"$_am_arg" : 'X\(//\)[^/]' \| \
+        X"$_am_arg" : 'X\(//\)$' \| \
+        X"$_am_arg" : 'X\(/\)' \| . 2>/dev/null ||
+$as_echo X"$_am_arg" |
+    sed '/^X\(.*[^/]\)\/\/*[^/][^/]*\/*$/{
+           s//\1/
+           q
+         }
+         /^X\(\/\/\)[^/].*/{
+           s//\1/
+           q
+         }
+         /^X\(\/\/\)$/{
+           s//\1/
+           q
+         }
+         /^X\(\/\).*/{
+           s//\1/
+           q
+         }
+         s/.*/./; q'`/stamp-h$_am_stamp_count
+ ;;
+
+  :C)  { $as_echo "$as_me:$LINENO: executing $ac_file commands" >&5
+$as_echo "$as_me: executing $ac_file commands" >&6;}
+ ;;
+  esac
+
+
+  case $ac_file$ac_mode in
+    "depfiles":C) test x"$AMDEP_TRUE" != x"" || {
+  # Autoconf 2.62 quotes --file arguments for eval, but not when files
+  # are listed without --file.  Let's play safe and only enable the eval
+  # if we detect the quoting.
+  case $CONFIG_FILES in
+  *\'*) eval set x "$CONFIG_FILES" ;;
+  *)   set x $CONFIG_FILES ;;
+  esac
+  shift
+  for mf
+  do
+    # Strip MF so we end up with the name of the file.
+    mf=`echo "$mf" | sed -e 's/:.*$//'`
+    # Check whether this is an Automake generated Makefile or not.
+    # We used to match only the files named `Makefile.in', but
+    # some people rename them; so instead we look at the file content.
+    # Grep'ing the first line is not enough: some people post-process
+    # each Makefile.in and add a new line on top of each file to say so.
+    # Grep'ing the whole file is not good either: AIX grep has a line
+    # limit of 2048, but all sed's we know have understand at least 4000.
+    if sed -n 's,^#.*generated by automake.*,X,p' "$mf" | grep X >/dev/null 2>&1; then
+      dirpart=`$as_dirname -- "$mf" ||
+$as_expr X"$mf" : 'X\(.*[^/]\)//*[^/][^/]*/*$' \| \
+        X"$mf" : 'X\(//\)[^/]' \| \
+        X"$mf" : 'X\(//\)$' \| \
+        X"$mf" : 'X\(/\)' \| . 2>/dev/null ||
+$as_echo X"$mf" |
+    sed '/^X\(.*[^/]\)\/\/*[^/][^/]*\/*$/{
+           s//\1/
+           q
+         }
+         /^X\(\/\/\)[^/].*/{
+           s//\1/
+           q
+         }
+         /^X\(\/\/\)$/{
+           s//\1/
+           q
+         }
+         /^X\(\/\).*/{
+           s//\1/
+           q
+         }
+         s/.*/./; q'`
+    else
+      continue
+    fi
+    # Extract the definition of DEPDIR, am__include, and am__quote
+    # from the Makefile without running `make'.
+    DEPDIR=`sed -n 's/^DEPDIR = //p' < "$mf"`
+    test -z "$DEPDIR" && continue
+    am__include=`sed -n 's/^am__include = //p' < "$mf"`
+    test -z "am__include" && continue
+    am__quote=`sed -n 's/^am__quote = //p' < "$mf"`
+    # When using ansi2knr, U may be empty or an underscore; expand it
+    U=`sed -n 's/^U = //p' < "$mf"`
+    # Find all dependency output files, they are included files with
+    # $(DEPDIR) in their names.  We invoke sed twice because it is the
+    # simplest approach to changing $(DEPDIR) to its actual value in the
+    # expansion.
+    for file in `sed -n "
+      s/^$am__include $am__quote\(.*(DEPDIR).*\)$am__quote"'$/\1/p' <"$mf" | \
+        sed -e 's/\$(DEPDIR)/'"$DEPDIR"'/g' -e 's/\$U/'"$U"'/g'`; do
+      # Make sure the directory exists.
+      test -f "$dirpart/$file" && continue
+      fdir=`$as_dirname -- "$file" ||
+$as_expr X"$file" : 'X\(.*[^/]\)//*[^/][^/]*/*$' \| \
+        X"$file" : 'X\(//\)[^/]' \| \
+        X"$file" : 'X\(//\)$' \| \
+        X"$file" : 'X\(/\)' \| . 2>/dev/null ||
+$as_echo X"$file" |
+    sed '/^X\(.*[^/]\)\/\/*[^/][^/]*\/*$/{
+           s//\1/
+           q
+         }
+         /^X\(\/\/\)[^/].*/{
+           s//\1/
+           q
+         }
+         /^X\(\/\/\)$/{
+           s//\1/
+           q
+         }
+         /^X\(\/\).*/{
+           s//\1/
+           q
+         }
+         s/.*/./; q'`
+      { as_dir=$dirpart/$fdir
+  case $as_dir in #(
+  -*) as_dir=./$as_dir;;
+  esac
+  test -d "$as_dir" || { $as_mkdir_p && mkdir -p "$as_dir"; } || {
+    as_dirs=
+    while :; do
+      case $as_dir in #(
+      *\'*) as_qdir=`$as_echo "$as_dir" | sed "s/'/'\\\\\\\\''/g"`;; #'(
+      *) as_qdir=$as_dir;;
+      esac
+      as_dirs="'$as_qdir' $as_dirs"
+      as_dir=`$as_dirname -- "$as_dir" ||
+$as_expr X"$as_dir" : 'X\(.*[^/]\)//*[^/][^/]*/*$' \| \
+        X"$as_dir" : 'X\(//\)[^/]' \| \
+        X"$as_dir" : 'X\(//\)$' \| \
+        X"$as_dir" : 'X\(/\)' \| . 2>/dev/null ||
+$as_echo X"$as_dir" |
+    sed '/^X\(.*[^/]\)\/\/*[^/][^/]*\/*$/{
+           s//\1/
+           q
+         }
+         /^X\(\/\/\)[^/].*/{
+           s//\1/
+           q
+         }
+         /^X\(\/\/\)$/{
+           s//\1/
+           q
+         }
+         /^X\(\/\).*/{
+           s//\1/
+           q
+         }
+         s/.*/./; q'`
+      test -d "$as_dir" && break
+    done
+    test -z "$as_dirs" || eval "mkdir $as_dirs"
+  } || test -d "$as_dir" || { { $as_echo "$as_me:$LINENO: error: cannot create directory $as_dir" >&5
+$as_echo "$as_me: error: cannot create directory $as_dir" >&2;}
+   { (exit 1); exit 1; }; }; }
+      # echo "creating $dirpart/$file"
+      echo '# dummy' > "$dirpart/$file"
+    done
+  done
+}
+ ;;
+
+  esac
+done # for ac_tag
+
+
+{ (exit 0); exit 0; }
+_ACEOF
+chmod +x $CONFIG_STATUS
+ac_clean_files=$ac_clean_files_save
+
+test $ac_write_fail = 0 ||
+  { { $as_echo "$as_me:$LINENO: error: write failure creating $CONFIG_STATUS" >&5
+$as_echo "$as_me: error: write failure creating $CONFIG_STATUS" >&2;}
+   { (exit 1); exit 1; }; }
+
+
+# configure is writing to config.log, and then calls config.status.
+# config.status does its own redirection, appending to config.log.
+# Unfortunately, on DOS this fails, as config.log is still kept open
+# by configure, so config.status won't be able to write to it; its
+# output is simply discarded.  So we exec the FD to /dev/null,
+# effectively closing config.log, so it can be properly (re)opened and
+# appended to by config.status.  When coming back to configure, we
+# need to make the FD available again.
+if test "$no_create" != yes; then
+  ac_cs_success=:
+  ac_config_status_args=
+  test "$silent" = yes &&
+    ac_config_status_args="$ac_config_status_args --quiet"
+  exec 5>/dev/null
+  $SHELL $CONFIG_STATUS $ac_config_status_args || ac_cs_success=false
+  exec 5>>config.log
+  # Use ||, not &&, to avoid exiting from the if with $? = 1, which
+  # would make configure fail if this is the last instruction.
+  $ac_cs_success || { (exit 1); exit 1; }
+fi
+if test -n "$ac_unrecognized_opts" && test "$enable_option_checking" != no; then
+  { $as_echo "$as_me:$LINENO: WARNING: unrecognized options: $ac_unrecognized_opts" >&5
+$as_echo "$as_me: WARNING: unrecognized options: $ac_unrecognized_opts" >&2;}
+fi
+
+
+#
+# trim usrp2 out of dirs; we only use it as a controlling dependency
+#
+t=
+for d in $build_dirs
+do
+    if test $d != usrp2; then
+        if test -z "$t"; then
+            t="$d"
+        else
+            t="$t $d"
+        fi
+    fi
+done
+build_dirs=$t
+
+t=
+for d in $skipped_dirs
+do
+    if test $d != usrp2; then
+        if test -z "$t"; then
+            t="$d"
+        else
+            t="$t $d"
+        fi
+    fi
+done
+skipped_dirs=$t
+
+t=
+for d in $with_dirs
+do
+    if test $d != usrp2; then
+        if test -z "$t"; then
+            t="$d"
+        else
+            t="$t $d"
+        fi
+    fi
+done
+with_dirs=$t
+
+if test "$build_dirs" != ""; then
+    echo
+    echo "*********************************************************************"
+    echo The following GNU Radio components have been successfully configured:
+    echo
+    for dir in $build_dirs
+    do
+        echo $dir
+    done
+    echo
+    echo You my now run the 'make' command to build these components.
+    echo
+fi
+
+if test "$skipped_dirs" != ""; then
+    echo "*********************************************************************"
+    echo The following components were skipped either because you asked not
+    echo to build them or they didn\'t pass configuration checks:
+    echo
+    for dir in $skipped_dirs
+    do
+        echo $dir
+    done
+    echo
+    echo These components will not be built.
+    echo
+fi
+if test "$with_dirs" != ""; then
+    echo "*********************************************************************"
+    echo The following components will be included from pre-installed
+    echo libraries and includes:
+    echo
+    for dir in $with_dirs
+    do
+        echo $dir
+    done
+    echo
+    echo These components will not be built.
+    echo
+fi
diff --git a/usrp2/firmware/depcomp b/usrp2/firmware/depcomp
new file mode 100755 (executable)
index 0000000..e5f9736
--- /dev/null
@@ -0,0 +1,589 @@
+#! /bin/sh
+# depcomp - compile a program generating dependencies as side-effects
+
+scriptversion=2007-03-29.01
+
+# Copyright (C) 1999, 2000, 2003, 2004, 2005, 2006, 2007 Free Software
+# Foundation, Inc.
+
+# This program is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 2, or (at your option)
+# any later version.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+
+# You should have received a copy of the GNU General Public License
+# along with this program; if not, write to the Free Software
+# Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA
+# 02110-1301, USA.
+
+# As a special exception to the GNU General Public License, if you
+# distribute this file as part of a program that contains a
+# configuration script generated by Autoconf, you may include it under
+# the same distribution terms that you use for the rest of that program.
+
+# Originally written by Alexandre Oliva <oliva@dcc.unicamp.br>.
+
+case $1 in
+  '')
+     echo "$0: No command.  Try \`$0 --help' for more information." 1>&2
+     exit 1;
+     ;;
+  -h | --h*)
+    cat <<\EOF
+Usage: depcomp [--help] [--version] PROGRAM [ARGS]
+
+Run PROGRAMS ARGS to compile a file, generating dependencies
+as side-effects.
+
+Environment variables:
+  depmode     Dependency tracking mode.
+  source      Source file read by `PROGRAMS ARGS'.
+  object      Object file output by `PROGRAMS ARGS'.
+  DEPDIR      directory where to store dependencies.
+  depfile     Dependency file to output.
+  tmpdepfile  Temporary file to use when outputing dependencies.
+  libtool     Whether libtool is used (yes/no).
+
+Report bugs to <bug-automake@gnu.org>.
+EOF
+    exit $?
+    ;;
+  -v | --v*)
+    echo "depcomp $scriptversion"
+    exit $?
+    ;;
+esac
+
+if test -z "$depmode" || test -z "$source" || test -z "$object"; then
+  echo "depcomp: Variables source, object and depmode must be set" 1>&2
+  exit 1
+fi
+
+# Dependencies for sub/bar.o or sub/bar.obj go into sub/.deps/bar.Po.
+depfile=${depfile-`echo "$object" |
+  sed 's|[^\\/]*$|'${DEPDIR-.deps}'/&|;s|\.\([^.]*\)$|.P\1|;s|Pobj$|Po|'`}
+tmpdepfile=${tmpdepfile-`echo "$depfile" | sed 's/\.\([^.]*\)$/.T\1/'`}
+
+rm -f "$tmpdepfile"
+
+# Some modes work just like other modes, but use different flags.  We
+# parameterize here, but still list the modes in the big case below,
+# to make depend.m4 easier to write.  Note that we *cannot* use a case
+# here, because this file can only contain one case statement.
+if test "$depmode" = hp; then
+  # HP compiler uses -M and no extra arg.
+  gccflag=-M
+  depmode=gcc
+fi
+
+if test "$depmode" = dashXmstdout; then
+   # This is just like dashmstdout with a different argument.
+   dashmflag=-xM
+   depmode=dashmstdout
+fi
+
+case "$depmode" in
+gcc3)
+## gcc 3 implements dependency tracking that does exactly what
+## we want.  Yay!  Note: for some reason libtool 1.4 doesn't like
+## it if -MD -MP comes after the -MF stuff.  Hmm.
+## Unfortunately, FreeBSD c89 acceptance of flags depends upon
+## the command line argument order; so add the flags where they
+## appear in depend2.am.  Note that the slowdown incurred here
+## affects only configure: in makefiles, %FASTDEP% shortcuts this.
+  for arg
+  do
+    case $arg in
+    -c) set fnord "$@" -MT "$object" -MD -MP -MF "$tmpdepfile" "$arg" ;;
+    *)  set fnord "$@" "$arg" ;;
+    esac
+    shift # fnord
+    shift # $arg
+  done
+  "$@"
+  stat=$?
+  if test $stat -eq 0; then :
+  else
+    rm -f "$tmpdepfile"
+    exit $stat
+  fi
+  mv "$tmpdepfile" "$depfile"
+  ;;
+
+gcc)
+## There are various ways to get dependency output from gcc.  Here's
+## why we pick this rather obscure method:
+## - Don't want to use -MD because we'd like the dependencies to end
+##   up in a subdir.  Having to rename by hand is ugly.
+##   (We might end up doing this anyway to support other compilers.)
+## - The DEPENDENCIES_OUTPUT environment variable makes gcc act like
+##   -MM, not -M (despite what the docs say).
+## - Using -M directly means running the compiler twice (even worse
+##   than renaming).
+  if test -z "$gccflag"; then
+    gccflag=-MD,
+  fi
+  "$@" -Wp,"$gccflag$tmpdepfile"
+  stat=$?
+  if test $stat -eq 0; then :
+  else
+    rm -f "$tmpdepfile"
+    exit $stat
+  fi
+  rm -f "$depfile"
+  echo "$object : \\" > "$depfile"
+  alpha=ABCDEFGHIJKLMNOPQRSTUVWXYZabcdefghijklmnopqrstuvwxyz
+## The second -e expression handles DOS-style file names with drive letters.
+  sed -e 's/^[^:]*: / /' \
+      -e 's/^['$alpha']:\/[^:]*: / /' < "$tmpdepfile" >> "$depfile"
+## This next piece of magic avoids the `deleted header file' problem.
+## The problem is that when a header file which appears in a .P file
+## is deleted, the dependency causes make to die (because there is
+## typically no way to rebuild the header).  We avoid this by adding
+## dummy dependencies for each header file.  Too bad gcc doesn't do
+## this for us directly.
+  tr ' ' '
+' < "$tmpdepfile" |
+## Some versions of gcc put a space before the `:'.  On the theory
+## that the space means something, we add a space to the output as
+## well.
+## Some versions of the HPUX 10.20 sed can't process this invocation
+## correctly.  Breaking it into two sed invocations is a workaround.
+    sed -e 's/^\\$//' -e '/^$/d' -e '/:$/d' | sed -e 's/$/ :/' >> "$depfile"
+  rm -f "$tmpdepfile"
+  ;;
+
+hp)
+  # This case exists only to let depend.m4 do its work.  It works by
+  # looking at the text of this script.  This case will never be run,
+  # since it is checked for above.
+  exit 1
+  ;;
+
+sgi)
+  if test "$libtool" = yes; then
+    "$@" "-Wp,-MDupdate,$tmpdepfile"
+  else
+    "$@" -MDupdate "$tmpdepfile"
+  fi
+  stat=$?
+  if test $stat -eq 0; then :
+  else
+    rm -f "$tmpdepfile"
+    exit $stat
+  fi
+  rm -f "$depfile"
+
+  if test -f "$tmpdepfile"; then  # yes, the sourcefile depend on other files
+    echo "$object : \\" > "$depfile"
+
+    # Clip off the initial element (the dependent).  Don't try to be
+    # clever and replace this with sed code, as IRIX sed won't handle
+    # lines with more than a fixed number of characters (4096 in
+    # IRIX 6.2 sed, 8192 in IRIX 6.5).  We also remove comment lines;
+    # the IRIX cc adds comments like `#:fec' to the end of the
+    # dependency line.
+    tr ' ' '
+' < "$tmpdepfile" \
+    | sed -e 's/^.*\.o://' -e 's/#.*$//' -e '/^$/ d' | \
+    tr '
+' ' ' >> $depfile
+    echo >> $depfile
+
+    # The second pass generates a dummy entry for each header file.
+    tr ' ' '
+' < "$tmpdepfile" \
+   | sed -e 's/^.*\.o://' -e 's/#.*$//' -e '/^$/ d' -e 's/$/:/' \
+   >> $depfile
+  else
+    # The sourcefile does not contain any dependencies, so just
+    # store a dummy comment line, to avoid errors with the Makefile
+    # "include basename.Plo" scheme.
+    echo "#dummy" > "$depfile"
+  fi
+  rm -f "$tmpdepfile"
+  ;;
+
+aix)
+  # The C for AIX Compiler uses -M and outputs the dependencies
+  # in a .u file.  In older versions, this file always lives in the
+  # current directory.  Also, the AIX compiler puts `$object:' at the
+  # start of each line; $object doesn't have directory information.
+  # Version 6 uses the directory in both cases.
+  dir=`echo "$object" | sed -e 's|/[^/]*$|/|'`
+  test "x$dir" = "x$object" && dir=
+  base=`echo "$object" | sed -e 's|^.*/||' -e 's/\.o$//' -e 's/\.lo$//'`
+  if test "$libtool" = yes; then
+    tmpdepfile1=$dir$base.u
+    tmpdepfile2=$base.u
+    tmpdepfile3=$dir.libs/$base.u
+    "$@" -Wc,-M
+  else
+    tmpdepfile1=$dir$base.u
+    tmpdepfile2=$dir$base.u
+    tmpdepfile3=$dir$base.u
+    "$@" -M
+  fi
+  stat=$?
+
+  if test $stat -eq 0; then :
+  else
+    rm -f "$tmpdepfile1" "$tmpdepfile2" "$tmpdepfile3"
+    exit $stat
+  fi
+
+  for tmpdepfile in "$tmpdepfile1" "$tmpdepfile2" "$tmpdepfile3"
+  do
+    test -f "$tmpdepfile" && break
+  done
+  if test -f "$tmpdepfile"; then
+    # Each line is of the form `foo.o: dependent.h'.
+    # Do two passes, one to just change these to
+    # `$object: dependent.h' and one to simply `dependent.h:'.
+    sed -e "s,^.*\.[a-z]*:,$object:," < "$tmpdepfile" > "$depfile"
+    # That's a tab and a space in the [].
+    sed -e 's,^.*\.[a-z]*:[     ]*,,' -e 's,$,:,' < "$tmpdepfile" >> "$depfile"
+  else
+    # The sourcefile does not contain any dependencies, so just
+    # store a dummy comment line, to avoid errors with the Makefile
+    # "include basename.Plo" scheme.
+    echo "#dummy" > "$depfile"
+  fi
+  rm -f "$tmpdepfile"
+  ;;
+
+icc)
+  # Intel's C compiler understands `-MD -MF file'.  However on
+  #    icc -MD -MF foo.d -c -o sub/foo.o sub/foo.c
+  # ICC 7.0 will fill foo.d with something like
+  #    foo.o: sub/foo.c
+  #    foo.o: sub/foo.h
+  # which is wrong.  We want:
+  #    sub/foo.o: sub/foo.c
+  #    sub/foo.o: sub/foo.h
+  #    sub/foo.c:
+  #    sub/foo.h:
+  # ICC 7.1 will output
+  #    foo.o: sub/foo.c sub/foo.h
+  # and will wrap long lines using \ :
+  #    foo.o: sub/foo.c ... \
+  #     sub/foo.h ... \
+  #     ...
+
+  "$@" -MD -MF "$tmpdepfile"
+  stat=$?
+  if test $stat -eq 0; then :
+  else
+    rm -f "$tmpdepfile"
+    exit $stat
+  fi
+  rm -f "$depfile"
+  # Each line is of the form `foo.o: dependent.h',
+  # or `foo.o: dep1.h dep2.h \', or ` dep3.h dep4.h \'.
+  # Do two passes, one to just change these to
+  # `$object: dependent.h' and one to simply `dependent.h:'.
+  sed "s,^[^:]*:,$object :," < "$tmpdepfile" > "$depfile"
+  # Some versions of the HPUX 10.20 sed can't process this invocation
+  # correctly.  Breaking it into two sed invocations is a workaround.
+  sed 's,^[^:]*: \(.*\)$,\1,;s/^\\$//;/^$/d;/:$/d' < "$tmpdepfile" |
+    sed -e 's/$/ :/' >> "$depfile"
+  rm -f "$tmpdepfile"
+  ;;
+
+hp2)
+  # The "hp" stanza above does not work with aCC (C++) and HP's ia64
+  # compilers, which have integrated preprocessors.  The correct option
+  # to use with these is +Maked; it writes dependencies to a file named
+  # 'foo.d', which lands next to the object file, wherever that
+  # happens to be.
+  # Much of this is similar to the tru64 case; see comments there.
+  dir=`echo "$object" | sed -e 's|/[^/]*$|/|'`
+  test "x$dir" = "x$object" && dir=
+  base=`echo "$object" | sed -e 's|^.*/||' -e 's/\.o$//' -e 's/\.lo$//'`
+  if test "$libtool" = yes; then
+    tmpdepfile1=$dir$base.d
+    tmpdepfile2=$dir.libs/$base.d
+    "$@" -Wc,+Maked
+  else
+    tmpdepfile1=$dir$base.d
+    tmpdepfile2=$dir$base.d
+    "$@" +Maked
+  fi
+  stat=$?
+  if test $stat -eq 0; then :
+  else
+     rm -f "$tmpdepfile1" "$tmpdepfile2"
+     exit $stat
+  fi
+
+  for tmpdepfile in "$tmpdepfile1" "$tmpdepfile2"
+  do
+    test -f "$tmpdepfile" && break
+  done
+  if test -f "$tmpdepfile"; then
+    sed -e "s,^.*\.[a-z]*:,$object:," "$tmpdepfile" > "$depfile"
+    # Add `dependent.h:' lines.
+    sed -ne '2,${; s/^ *//; s/ \\*$//; s/$/:/; p;}' "$tmpdepfile" >> "$depfile"
+  else
+    echo "#dummy" > "$depfile"
+  fi
+  rm -f "$tmpdepfile" "$tmpdepfile2"
+  ;;
+
+tru64)
+   # The Tru64 compiler uses -MD to generate dependencies as a side
+   # effect.  `cc -MD -o foo.o ...' puts the dependencies into `foo.o.d'.
+   # At least on Alpha/Redhat 6.1, Compaq CCC V6.2-504 seems to put
+   # dependencies in `foo.d' instead, so we check for that too.
+   # Subdirectories are respected.
+   dir=`echo "$object" | sed -e 's|/[^/]*$|/|'`
+   test "x$dir" = "x$object" && dir=
+   base=`echo "$object" | sed -e 's|^.*/||' -e 's/\.o$//' -e 's/\.lo$//'`
+
+   if test "$libtool" = yes; then
+      # With Tru64 cc, shared objects can also be used to make a
+      # static library.  This mechanism is used in libtool 1.4 series to
+      # handle both shared and static libraries in a single compilation.
+      # With libtool 1.4, dependencies were output in $dir.libs/$base.lo.d.
+      #
+      # With libtool 1.5 this exception was removed, and libtool now
+      # generates 2 separate objects for the 2 libraries.  These two
+      # compilations output dependencies in $dir.libs/$base.o.d and
+      # in $dir$base.o.d.  We have to check for both files, because
+      # one of the two compilations can be disabled.  We should prefer
+      # $dir$base.o.d over $dir.libs/$base.o.d because the latter is
+      # automatically cleaned when .libs/ is deleted, while ignoring
+      # the former would cause a distcleancheck panic.
+      tmpdepfile1=$dir.libs/$base.lo.d   # libtool 1.4
+      tmpdepfile2=$dir$base.o.d          # libtool 1.5
+      tmpdepfile3=$dir.libs/$base.o.d    # libtool 1.5
+      tmpdepfile4=$dir.libs/$base.d      # Compaq CCC V6.2-504
+      "$@" -Wc,-MD
+   else
+      tmpdepfile1=$dir$base.o.d
+      tmpdepfile2=$dir$base.d
+      tmpdepfile3=$dir$base.d
+      tmpdepfile4=$dir$base.d
+      "$@" -MD
+   fi
+
+   stat=$?
+   if test $stat -eq 0; then :
+   else
+      rm -f "$tmpdepfile1" "$tmpdepfile2" "$tmpdepfile3" "$tmpdepfile4"
+      exit $stat
+   fi
+
+   for tmpdepfile in "$tmpdepfile1" "$tmpdepfile2" "$tmpdepfile3" "$tmpdepfile4"
+   do
+     test -f "$tmpdepfile" && break
+   done
+   if test -f "$tmpdepfile"; then
+      sed -e "s,^.*\.[a-z]*:,$object:," < "$tmpdepfile" > "$depfile"
+      # That's a tab and a space in the [].
+      sed -e 's,^.*\.[a-z]*:[   ]*,,' -e 's,$,:,' < "$tmpdepfile" >> "$depfile"
+   else
+      echo "#dummy" > "$depfile"
+   fi
+   rm -f "$tmpdepfile"
+   ;;
+
+#nosideeffect)
+  # This comment above is used by automake to tell side-effect
+  # dependency tracking mechanisms from slower ones.
+
+dashmstdout)
+  # Important note: in order to support this mode, a compiler *must*
+  # always write the preprocessed file to stdout, regardless of -o.
+  "$@" || exit $?
+
+  # Remove the call to Libtool.
+  if test "$libtool" = yes; then
+    while test $1 != '--mode=compile'; do
+      shift
+    done
+    shift
+  fi
+
+  # Remove `-o $object'.
+  IFS=" "
+  for arg
+  do
+    case $arg in
+    -o)
+      shift
+      ;;
+    $object)
+      shift
+      ;;
+    *)
+      set fnord "$@" "$arg"
+      shift # fnord
+      shift # $arg
+      ;;
+    esac
+  done
+
+  test -z "$dashmflag" && dashmflag=-M
+  # Require at least two characters before searching for `:'
+  # in the target name.  This is to cope with DOS-style filenames:
+  # a dependency such as `c:/foo/bar' could be seen as target `c' otherwise.
+  "$@" $dashmflag |
+    sed 's:^[  ]*[^: ][^:][^:]*\:[    ]*:'"$object"'\: :' > "$tmpdepfile"
+  rm -f "$depfile"
+  cat < "$tmpdepfile" > "$depfile"
+  tr ' ' '
+' < "$tmpdepfile" | \
+## Some versions of the HPUX 10.20 sed can't process this invocation
+## correctly.  Breaking it into two sed invocations is a workaround.
+    sed -e 's/^\\$//' -e '/^$/d' -e '/:$/d' | sed -e 's/$/ :/' >> "$depfile"
+  rm -f "$tmpdepfile"
+  ;;
+
+dashXmstdout)
+  # This case only exists to satisfy depend.m4.  It is never actually
+  # run, as this mode is specially recognized in the preamble.
+  exit 1
+  ;;
+
+makedepend)
+  "$@" || exit $?
+  # Remove any Libtool call
+  if test "$libtool" = yes; then
+    while test $1 != '--mode=compile'; do
+      shift
+    done
+    shift
+  fi
+  # X makedepend
+  shift
+  cleared=no
+  for arg in "$@"; do
+    case $cleared in
+    no)
+      set ""; shift
+      cleared=yes ;;
+    esac
+    case "$arg" in
+    -D*|-I*)
+      set fnord "$@" "$arg"; shift ;;
+    # Strip any option that makedepend may not understand.  Remove
+    # the object too, otherwise makedepend will parse it as a source file.
+    -*|$object)
+      ;;
+    *)
+      set fnord "$@" "$arg"; shift ;;
+    esac
+  done
+  obj_suffix="`echo $object | sed 's/^.*\././'`"
+  touch "$tmpdepfile"
+  ${MAKEDEPEND-makedepend} -o"$obj_suffix" -f"$tmpdepfile" "$@"
+  rm -f "$depfile"
+  cat < "$tmpdepfile" > "$depfile"
+  sed '1,2d' "$tmpdepfile" | tr ' ' '
+' | \
+## Some versions of the HPUX 10.20 sed can't process this invocation
+## correctly.  Breaking it into two sed invocations is a workaround.
+    sed -e 's/^\\$//' -e '/^$/d' -e '/:$/d' | sed -e 's/$/ :/' >> "$depfile"
+  rm -f "$tmpdepfile" "$tmpdepfile".bak
+  ;;
+
+cpp)
+  # Important note: in order to support this mode, a compiler *must*
+  # always write the preprocessed file to stdout.
+  "$@" || exit $?
+
+  # Remove the call to Libtool.
+  if test "$libtool" = yes; then
+    while test $1 != '--mode=compile'; do
+      shift
+    done
+    shift
+  fi
+
+  # Remove `-o $object'.
+  IFS=" "
+  for arg
+  do
+    case $arg in
+    -o)
+      shift
+      ;;
+    $object)
+      shift
+      ;;
+    *)
+      set fnord "$@" "$arg"
+      shift # fnord
+      shift # $arg
+      ;;
+    esac
+  done
+
+  "$@" -E |
+    sed -n -e '/^# [0-9][0-9]* "\([^"]*\)".*/ s:: \1 \\:p' \
+       -e '/^#line [0-9][0-9]* "\([^"]*\)".*/ s:: \1 \\:p' |
+    sed '$ s: \\$::' > "$tmpdepfile"
+  rm -f "$depfile"
+  echo "$object : \\" > "$depfile"
+  cat < "$tmpdepfile" >> "$depfile"
+  sed < "$tmpdepfile" '/^$/d;s/^ //;s/ \\$//;s/$/ :/' >> "$depfile"
+  rm -f "$tmpdepfile"
+  ;;
+
+msvisualcpp)
+  # Important note: in order to support this mode, a compiler *must*
+  # always write the preprocessed file to stdout, regardless of -o,
+  # because we must use -o when running libtool.
+  "$@" || exit $?
+  IFS=" "
+  for arg
+  do
+    case "$arg" in
+    "-Gm"|"/Gm"|"-Gi"|"/Gi"|"-ZI"|"/ZI")
+       set fnord "$@"
+       shift
+       shift
+       ;;
+    *)
+       set fnord "$@" "$arg"
+       shift
+       shift
+       ;;
+    esac
+  done
+  "$@" -E |
+  sed -n '/^#line [0-9][0-9]* "\([^"]*\)"/ s::echo "`cygpath -u \\"\1\\"`":p' | sort | uniq > "$tmpdepfile"
+  rm -f "$depfile"
+  echo "$object : \\" > "$depfile"
+  . "$tmpdepfile" | sed 's% %\\ %g' | sed -n '/^\(.*\)$/ s::   \1 \\:p' >> "$depfile"
+  echo "       " >> "$depfile"
+  . "$tmpdepfile" | sed 's% %\\ %g' | sed -n '/^\(.*\)$/ s::\1\::p' >> "$depfile"
+  rm -f "$tmpdepfile"
+  ;;
+
+none)
+  exec "$@"
+  ;;
+
+*)
+  echo "Unknown depmode $depmode" 1>&2
+  exit 1
+  ;;
+esac
+
+exit 0
+
+# Local Variables:
+# mode: shell-script
+# sh-indentation: 2
+# eval: (add-hook 'write-file-hooks 'time-stamp)
+# time-stamp-start: "scriptversion="
+# time-stamp-format: "%:y-%02m-%02d.%02H"
+# time-stamp-end: "$"
+# End:
diff --git a/usrp2/firmware/include/Makefile.in b/usrp2/firmware/include/Makefile.in
new file mode 100644 (file)
index 0000000..47c0fc1
--- /dev/null
@@ -0,0 +1,440 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2008 Free Software Foundation, Inc.
+#
+# This program is free software: you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation, either version 3 of the License, or
+# (at your option) any later version.
+#
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+#
+# You should have received a copy of the GNU General Public License
+# along with this program.  If not, see <http://www.gnu.org/licenses/>.
+#
+
+# -*- Makefile -*-
+#
+# Copyright 2007 Free Software Foundation, Inc.
+#
+# This program is free software: you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation, either version 3 of the License, or
+# (at your option) any later version.
+#
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+#
+# You should have received a copy of the GNU General Public License
+# along with this program.  If not, see <http://www.gnu.org/licenses/>.
+#
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+DIST_COMMON = $(noinst_HEADERS) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(top_srcdir)/Makefile.common
+subdir = include
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_usrp2_firmware.m4 \
+       $(top_srcdir)/config/grc_usrp2_stub.m4 \
+       $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+DATA = $(noinst_DATA)
+HEADERS = $(noinst_HEADERS)
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+AMTAR = @AMTAR@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CYGPATH_W = @CYGPATH_W@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+GREP = @GREP@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+LDFLAGS = @LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MB_GCC = @MB_GCC@
+MKDIR_P = @MKDIR_P@
+OBJEXT = @OBJEXT@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+RANLIB = @RANLIB@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+STRIP = @STRIP@
+VERSION = @VERSION@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+bindir = @bindir@
+build_alias = @build_alias@
+build_dirs = @build_dirs@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+dvidir = @dvidir@
+exec_prefix = @exec_prefix@
+host_alias = @host_alias@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+mandir = @mandir@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+pdfdir = @pdfdir@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+sysconfdir = @sysconfdir@
+target_alias = @target_alias@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp2_firmware_INCLUDEDIR = @usrp2_firmware_INCLUDEDIR@
+usrp2_firmware_INCLUDES = @usrp2_firmware_INCLUDES@
+usrp2_firmware_LA = @usrp2_firmware_LA@
+usrp2_firmware_LDFLAG = @usrp2_firmware_LDFLAG@
+usrp2_firmware_LIBDIRPATH = @usrp2_firmware_LIBDIRPATH@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+STD_INCLUDES = -I$(top_srcdir)/include -I$(top_srcdir)/lib
+
+#HAL_IO = -DHAL_IO_USES_DBOARD_PINS 
+HAL_IO = -DHAL_IO_USES_UART 
+AM_CPPFLAGS = $(HAL_IO) $(STD_INCLUDES)
+STD_CFLAGS = --std=gnu99 -Wall -Werror-implicit-function-declaration -mxl-soft-div -msoft-float
+AM_CFLAGS = $(STD_CFLAGS) -mxl-soft-mul -mxl-barrel-shift
+#AM_CFLAGS = $(STD_CFLAGS) -mxl-soft-mul -mxl-barrel-shift -mxl-gp-opt -G 16384
+
+#LINKER_SCRIPT = $(top_srcdir)/lib/microblaze.ld
+#AM_LDFLAGS = -Wl,-T,$(LINKER_SCRIPT) -Wl,-defsym -Wl,_STACK_SIZE=1024
+#AM_LDFLAGS = -Wl,-T,$(LINKER_SCRIPT) -Wl,-Map -Wl,$@.map
+#AM_LDFLAGS = -Wl,-T,$(LINKER_SCRIPT)
+AM_LDFLAGS = -Wl,-Map -Wl,$@.map -Wl,-defsym -Wl,_STACK_SIZE=3072
+BINS = $(noinst_PROGRAMS:=.bin)
+ROMS = $(noinst_PROGRAMS:=.rom)
+DUMPS = $(noinst_PROGRAMS:=.dump)
+noinst_DATA = $(BINS) $(ROMS) $(DUMPS)
+CLEANFILES = $(ROMS) $(DUMPS) $(BINS)
+noinst_HEADERS = \
+    usrp2_cdefs.h \
+    usrp2_eth_packet.h \
+    usrp2_fpga_regs.h \
+    usrp2_i2c_addr.h \
+    usrp2_mac_addr.h \
+    usrp2_mimo_config.h \
+    usrp2_types.h
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  include/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  include/Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+check-am: all-am
+check: check-am
+all-am: Makefile $(DATA) $(HEADERS)
+installdirs:
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+
+clean-generic:
+       -test -z "$(CLEANFILES)" || rm -f $(CLEANFILES)
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: install-am install-strip
+
+.PHONY: CTAGS GTAGS all all-am check check-am clean clean-generic \
+       ctags distclean distclean-generic distclean-tags distdir dvi \
+       dvi-am html html-am info info-am install install-am \
+       install-data install-data-am install-dvi install-dvi-am \
+       install-exec install-exec-am install-html install-html-am \
+       install-info install-info-am install-man install-pdf \
+       install-pdf-am install-ps install-ps-am install-strip \
+       installcheck installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic pdf \
+       pdf-am ps ps-am tags uninstall uninstall-am
+
+#AM_LDFLAGS = -Wl,-defsym -Wl,_STACK_SIZE=2048
+
+%.bin : %
+       mb-objcopy -O binary $< $@
+
+%.dump : %
+       mb-objdump -DSC $< > $@
+
+%.rom : %.bin
+       hexdump -v -e'1/1 "%.2X\n"' $< > $@
+
+.PRECIOUS : %.bin
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/usrp2/firmware/install-sh b/usrp2/firmware/install-sh
new file mode 100755 (executable)
index 0000000..a5897de
--- /dev/null
@@ -0,0 +1,519 @@
+#!/bin/sh
+# install - install a program, script, or datafile
+
+scriptversion=2006-12-25.00
+
+# This originates from X11R5 (mit/util/scripts/install.sh), which was
+# later released in X11R6 (xc/config/util/install.sh) with the
+# following copyright and license.
+#
+# Copyright (C) 1994 X Consortium
+#
+# Permission is hereby granted, free of charge, to any person obtaining a copy
+# of this software and associated documentation files (the "Software"), to
+# deal in the Software without restriction, including without limitation the
+# rights to use, copy, modify, merge, publish, distribute, sublicense, and/or
+# sell copies of the Software, and to permit persons to whom the Software is
+# furnished to do so, subject to the following conditions:
+#
+# The above copyright notice and this permission notice shall be included in
+# all copies or substantial portions of the Software.
+#
+# THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
+# IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY,
+# FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT.  IN NO EVENT SHALL THE
+# X CONSORTIUM BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN
+# AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNEC-
+# TION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE.
+#
+# Except as contained in this notice, the name of the X Consortium shall not
+# be used in advertising or otherwise to promote the sale, use or other deal-
+# ings in this Software without prior written authorization from the X Consor-
+# tium.
+#
+#
+# FSF changes to this file are in the public domain.
+#
+# Calling this script install-sh is preferred over install.sh, to prevent
+# `make' implicit rules from creating a file called install from it
+# when there is no Makefile.
+#
+# This script is compatible with the BSD install script, but was written
+# from scratch.
+
+nl='
+'
+IFS=" ""       $nl"
+
+# set DOITPROG to echo to test this script
+
+# Don't use :- since 4.3BSD and earlier shells don't like it.
+doit=${DOITPROG-}
+if test -z "$doit"; then
+  doit_exec=exec
+else
+  doit_exec=$doit
+fi
+
+# Put in absolute file names if you don't have them in your path;
+# or use environment vars.
+
+chgrpprog=${CHGRPPROG-chgrp}
+chmodprog=${CHMODPROG-chmod}
+chownprog=${CHOWNPROG-chown}
+cmpprog=${CMPPROG-cmp}
+cpprog=${CPPROG-cp}
+mkdirprog=${MKDIRPROG-mkdir}
+mvprog=${MVPROG-mv}
+rmprog=${RMPROG-rm}
+stripprog=${STRIPPROG-strip}
+
+posix_glob='?'
+initialize_posix_glob='
+  test "$posix_glob" != "?" || {
+    if (set -f) 2>/dev/null; then
+      posix_glob=
+    else
+      posix_glob=:
+    fi
+  }
+'
+
+posix_mkdir=
+
+# Desired mode of installed file.
+mode=0755
+
+chgrpcmd=
+chmodcmd=$chmodprog
+chowncmd=
+mvcmd=$mvprog
+rmcmd="$rmprog -f"
+stripcmd=
+
+src=
+dst=
+dir_arg=
+dst_arg=
+
+copy_on_change=false
+no_target_directory=
+
+usage="\
+Usage: $0 [OPTION]... [-T] SRCFILE DSTFILE
+   or: $0 [OPTION]... SRCFILES... DIRECTORY
+   or: $0 [OPTION]... -t DIRECTORY SRCFILES...
+   or: $0 [OPTION]... -d DIRECTORIES...
+
+In the 1st form, copy SRCFILE to DSTFILE.
+In the 2nd and 3rd, copy all SRCFILES to DIRECTORY.
+In the 4th, create DIRECTORIES.
+
+Options:
+     --help     display this help and exit.
+     --version  display version info and exit.
+
+  -c            (ignored)
+  -C            install only if different (preserve the last data modification time)
+  -d            create directories instead of installing files.
+  -g GROUP      $chgrpprog installed files to GROUP.
+  -m MODE       $chmodprog installed files to MODE.
+  -o USER       $chownprog installed files to USER.
+  -s            $stripprog installed files.
+  -t DIRECTORY  install into DIRECTORY.
+  -T            report an error if DSTFILE is a directory.
+
+Environment variables override the default commands:
+  CHGRPPROG CHMODPROG CHOWNPROG CMPPROG CPPROG MKDIRPROG MVPROG
+  RMPROG STRIPPROG
+"
+
+while test $# -ne 0; do
+  case $1 in
+    -c) ;;
+
+    -C) copy_on_change=true;;
+
+    -d) dir_arg=true;;
+
+    -g) chgrpcmd="$chgrpprog $2"
+       shift;;
+
+    --help) echo "$usage"; exit $?;;
+
+    -m) mode=$2
+       case $mode in
+         *' '* | *'    '* | *'
+'*       | *'*'* | *'?'* | *'['*)
+           echo "$0: invalid mode: $mode" >&2
+           exit 1;;
+       esac
+       shift;;
+
+    -o) chowncmd="$chownprog $2"
+       shift;;
+
+    -s) stripcmd=$stripprog;;
+
+    -t) dst_arg=$2
+       shift;;
+
+    -T) no_target_directory=true;;
+
+    --version) echo "$0 $scriptversion"; exit $?;;
+
+    --)        shift
+       break;;
+
+    -*)        echo "$0: invalid option: $1" >&2
+       exit 1;;
+
+    *)  break;;
+  esac
+  shift
+done
+
+if test $# -ne 0 && test -z "$dir_arg$dst_arg"; then
+  # When -d is used, all remaining arguments are directories to create.
+  # When -t is used, the destination is already specified.
+  # Otherwise, the last argument is the destination.  Remove it from $@.
+  for arg
+  do
+    if test -n "$dst_arg"; then
+      # $@ is not empty: it contains at least $arg.
+      set fnord "$@" "$dst_arg"
+      shift # fnord
+    fi
+    shift # arg
+    dst_arg=$arg
+  done
+fi
+
+if test $# -eq 0; then
+  if test -z "$dir_arg"; then
+    echo "$0: no input file specified." >&2
+    exit 1
+  fi
+  # It's OK to call `install-sh -d' without argument.
+  # This can happen when creating conditional directories.
+  exit 0
+fi
+
+if test -z "$dir_arg"; then
+  trap '(exit $?); exit' 1 2 13 15
+
+  # Set umask so as not to create temps with too-generous modes.
+  # However, 'strip' requires both read and write access to temps.
+  case $mode in
+    # Optimize common cases.
+    *644) cp_umask=133;;
+    *755) cp_umask=22;;
+
+    *[0-7])
+      if test -z "$stripcmd"; then
+       u_plus_rw=
+      else
+       u_plus_rw='% 200'
+      fi
+      cp_umask=`expr '(' 777 - $mode % 1000 ')' $u_plus_rw`;;
+    *)
+      if test -z "$stripcmd"; then
+       u_plus_rw=
+      else
+       u_plus_rw=,u+rw
+      fi
+      cp_umask=$mode$u_plus_rw;;
+  esac
+fi
+
+for src
+do
+  # Protect names starting with `-'.
+  case $src in
+    -*) src=./$src;;
+  esac
+
+  if test -n "$dir_arg"; then
+    dst=$src
+    dstdir=$dst
+    test -d "$dstdir"
+    dstdir_status=$?
+  else
+
+    # Waiting for this to be detected by the "$cpprog $src $dsttmp" command
+    # might cause directories to be created, which would be especially bad
+    # if $src (and thus $dsttmp) contains '*'.
+    if test ! -f "$src" && test ! -d "$src"; then
+      echo "$0: $src does not exist." >&2
+      exit 1
+    fi
+
+    if test -z "$dst_arg"; then
+      echo "$0: no destination specified." >&2
+      exit 1
+    fi
+
+    dst=$dst_arg
+    # Protect names starting with `-'.
+    case $dst in
+      -*) dst=./$dst;;
+    esac
+
+    # If destination is a directory, append the input filename; won't work
+    # if double slashes aren't ignored.
+    if test -d "$dst"; then
+      if test -n "$no_target_directory"; then
+       echo "$0: $dst_arg: Is a directory" >&2
+       exit 1
+      fi
+      dstdir=$dst
+      dst=$dstdir/`basename "$src"`
+      dstdir_status=0
+    else
+      # Prefer dirname, but fall back on a substitute if dirname fails.
+      dstdir=`
+       (dirname "$dst") 2>/dev/null ||
+       expr X"$dst" : 'X\(.*[^/]\)//*[^/][^/]*/*$' \| \
+            X"$dst" : 'X\(//\)[^/]' \| \
+            X"$dst" : 'X\(//\)$' \| \
+            X"$dst" : 'X\(/\)' \| . 2>/dev/null ||
+       echo X"$dst" |
+           sed '/^X\(.*[^/]\)\/\/*[^/][^/]*\/*$/{
+                  s//\1/
+                  q
+                }
+                /^X\(\/\/\)[^/].*/{
+                  s//\1/
+                  q
+                }
+                /^X\(\/\/\)$/{
+                  s//\1/
+                  q
+                }
+                /^X\(\/\).*/{
+                  s//\1/
+                  q
+                }
+                s/.*/./; q'
+      `
+
+      test -d "$dstdir"
+      dstdir_status=$?
+    fi
+  fi
+
+  obsolete_mkdir_used=false
+
+  if test $dstdir_status != 0; then
+    case $posix_mkdir in
+      '')
+       # Create intermediate dirs using mode 755 as modified by the umask.
+       # This is like FreeBSD 'install' as of 1997-10-28.
+       umask=`umask`
+       case $stripcmd.$umask in
+         # Optimize common cases.
+         *[2367][2367]) mkdir_umask=$umask;;
+         .*0[02][02] | .[02][02] | .[02]) mkdir_umask=22;;
+
+         *[0-7])
+           mkdir_umask=`expr $umask + 22 \
+             - $umask % 100 % 40 + $umask % 20 \
+             - $umask % 10 % 4 + $umask % 2
+           `;;
+         *) mkdir_umask=$umask,go-w;;
+       esac
+
+       # With -d, create the new directory with the user-specified mode.
+       # Otherwise, rely on $mkdir_umask.
+       if test -n "$dir_arg"; then
+         mkdir_mode=-m$mode
+       else
+         mkdir_mode=
+       fi
+
+       posix_mkdir=false
+       case $umask in
+         *[123567][0-7][0-7])
+           # POSIX mkdir -p sets u+wx bits regardless of umask, which
+           # is incompatible with FreeBSD 'install' when (umask & 300) != 0.
+           ;;
+         *)
+           tmpdir=${TMPDIR-/tmp}/ins$RANDOM-$$
+           trap 'ret=$?; rmdir "$tmpdir/d" "$tmpdir" 2>/dev/null; exit $ret' 0
+
+           if (umask $mkdir_umask &&
+               exec $mkdirprog $mkdir_mode -p -- "$tmpdir/d") >/dev/null 2>&1
+           then
+             if test -z "$dir_arg" || {
+                  # Check for POSIX incompatibilities with -m.
+                  # HP-UX 11.23 and IRIX 6.5 mkdir -m -p sets group- or
+                  # other-writeable bit of parent directory when it shouldn't.
+                  # FreeBSD 6.1 mkdir -m -p sets mode of existing directory.
+                  ls_ld_tmpdir=`ls -ld "$tmpdir"`
+                  case $ls_ld_tmpdir in
+                    d????-?r-*) different_mode=700;;
+                    d????-?--*) different_mode=755;;
+                    *) false;;
+                  esac &&
+                  $mkdirprog -m$different_mode -p -- "$tmpdir" && {
+                    ls_ld_tmpdir_1=`ls -ld "$tmpdir"`
+                    test "$ls_ld_tmpdir" = "$ls_ld_tmpdir_1"
+                  }
+                }
+             then posix_mkdir=:
+             fi
+             rmdir "$tmpdir/d" "$tmpdir"
+           else
+             # Remove any dirs left behind by ancient mkdir implementations.
+             rmdir ./$mkdir_mode ./-p ./-- 2>/dev/null
+           fi
+           trap '' 0;;
+       esac;;
+    esac
+
+    if
+      $posix_mkdir && (
+       umask $mkdir_umask &&
+       $doit_exec $mkdirprog $mkdir_mode -p -- "$dstdir"
+      )
+    then :
+    else
+
+      # The umask is ridiculous, or mkdir does not conform to POSIX,
+      # or it failed possibly due to a race condition.  Create the
+      # directory the slow way, step by step, checking for races as we go.
+
+      case $dstdir in
+       /*) prefix='/';;
+       -*) prefix='./';;
+       *)  prefix='';;
+      esac
+
+      eval "$initialize_posix_glob"
+
+      oIFS=$IFS
+      IFS=/
+      $posix_glob set -f
+      set fnord $dstdir
+      shift
+      $posix_glob set +f
+      IFS=$oIFS
+
+      prefixes=
+
+      for d
+      do
+       test -z "$d" && continue
+
+       prefix=$prefix$d
+       if test -d "$prefix"; then
+         prefixes=
+       else
+         if $posix_mkdir; then
+           (umask=$mkdir_umask &&
+            $doit_exec $mkdirprog $mkdir_mode -p -- "$dstdir") && break
+           # Don't fail if two instances are running concurrently.
+           test -d "$prefix" || exit 1
+         else
+           case $prefix in
+             *\'*) qprefix=`echo "$prefix" | sed "s/'/'\\\\\\\\''/g"`;;
+             *) qprefix=$prefix;;
+           esac
+           prefixes="$prefixes '$qprefix'"
+         fi
+       fi
+       prefix=$prefix/
+      done
+
+      if test -n "$prefixes"; then
+       # Don't fail if two instances are running concurrently.
+       (umask $mkdir_umask &&
+        eval "\$doit_exec \$mkdirprog $prefixes") ||
+         test -d "$dstdir" || exit 1
+       obsolete_mkdir_used=true
+      fi
+    fi
+  fi
+
+  if test -n "$dir_arg"; then
+    { test -z "$chowncmd" || $doit $chowncmd "$dst"; } &&
+    { test -z "$chgrpcmd" || $doit $chgrpcmd "$dst"; } &&
+    { test "$obsolete_mkdir_used$chowncmd$chgrpcmd" = false ||
+      test -z "$chmodcmd" || $doit $chmodcmd $mode "$dst"; } || exit 1
+  else
+
+    # Make a couple of temp file names in the proper directory.
+    dsttmp=$dstdir/_inst.$$_
+    rmtmp=$dstdir/_rm.$$_
+
+    # Trap to clean up those temp files at exit.
+    trap 'ret=$?; rm -f "$dsttmp" "$rmtmp" && exit $ret' 0
+
+    # Copy the file name to the temp name.
+    (umask $cp_umask && $doit_exec $cpprog "$src" "$dsttmp") &&
+
+    # and set any options; do chmod last to preserve setuid bits.
+    #
+    # If any of these fail, we abort the whole thing.  If we want to
+    # ignore errors from any of these, just make sure not to ignore
+    # errors from the above "$doit $cpprog $src $dsttmp" command.
+    #
+    { test -z "$chowncmd" || $doit $chowncmd "$dsttmp"; } &&
+    { test -z "$chgrpcmd" || $doit $chgrpcmd "$dsttmp"; } &&
+    { test -z "$stripcmd" || $doit $stripcmd "$dsttmp"; } &&
+    { test -z "$chmodcmd" || $doit $chmodcmd $mode "$dsttmp"; } &&
+
+    # If -C, don't bother to copy if it wouldn't change the file.
+    if $copy_on_change &&
+       old=`LC_ALL=C ls -dlL "$dst"    2>/dev/null` &&
+       new=`LC_ALL=C ls -dlL "$dsttmp" 2>/dev/null` &&
+
+       eval "$initialize_posix_glob" &&
+       $posix_glob set -f &&
+       set X $old && old=:$2:$4:$5:$6 &&
+       set X $new && new=:$2:$4:$5:$6 &&
+       $posix_glob set +f &&
+
+       test "$old" = "$new" &&
+       $cmpprog "$dst" "$dsttmp" >/dev/null 2>&1
+    then
+      rm -f "$dsttmp"
+    else
+      # Rename the file to the real destination.
+      $doit $mvcmd -f "$dsttmp" "$dst" 2>/dev/null ||
+
+      # The rename failed, perhaps because mv can't rename something else
+      # to itself, or perhaps because mv is so ancient that it does not
+      # support -f.
+      {
+       # Now remove or move aside any old file at destination location.
+       # We try this two ways since rm can't unlink itself on some
+       # systems and the destination file might be busy for other
+       # reasons.  In this case, the final cleanup might fail but the new
+       # file should still install successfully.
+       {
+         test ! -f "$dst" ||
+         $doit $rmcmd -f "$dst" 2>/dev/null ||
+         { $doit $mvcmd -f "$dst" "$rmtmp" 2>/dev/null &&
+           { $doit $rmcmd -f "$rmtmp" 2>/dev/null; :; }
+         } ||
+         { echo "$0: cannot unlink or rename $dst" >&2
+           (exit 1); exit 1
+         }
+       } &&
+
+       # Now rename the file to the real destination.
+       $doit $mvcmd "$dsttmp" "$dst"
+      }
+    fi || exit 1
+
+    trap '' 0
+  fi
+done
+
+# Local variables:
+# eval: (add-hook 'write-file-hooks 'time-stamp)
+# time-stamp-start: "scriptversion="
+# time-stamp-format: "%:y-%02m-%02d.%02H"
+# time-stamp-end: "$"
+# End:
diff --git a/usrp2/firmware/lib/Makefile.in b/usrp2/firmware/lib/Makefile.in
new file mode 100644 (file)
index 0000000..19b8928
--- /dev/null
@@ -0,0 +1,607 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2007 Free Software Foundation, Inc.
+#
+# This program is free software: you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation, either version 3 of the License, or
+# (at your option) any later version.
+#
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+#
+# You should have received a copy of the GNU General Public License
+# along with this program.  If not, see <http://www.gnu.org/licenses/>.
+#
+
+# -*- Makefile -*-
+#
+# Copyright 2007 Free Software Foundation, Inc.
+#
+# This program is free software: you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation, either version 3 of the License, or
+# (at your option) any later version.
+#
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+#
+# You should have received a copy of the GNU General Public License
+# along with this program.  If not, see <http://www.gnu.org/licenses/>.
+#
+
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+DIST_COMMON = $(noinst_HEADERS) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(top_srcdir)/Makefile.common
+subdir = lib
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_usrp2_firmware.m4 \
+       $(top_srcdir)/config/grc_usrp2_stub.m4 \
+       $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+LIBRARIES = $(noinst_LIBRARIES)
+AR = ar
+ARFLAGS = cru
+libu2fw_a_AR = $(AR) $(ARFLAGS)
+libu2fw_a_LIBADD =
+am_libu2fw_a_OBJECTS = abort.$(OBJEXT) ad9510.$(OBJEXT) \
+       ad9777.$(OBJEXT) bsm12.$(OBJEXT) buffer_pool.$(OBJEXT) \
+       clocks.$(OBJEXT) db_basic.$(OBJEXT) db_dbsrx.$(OBJEXT) \
+       db_init.$(OBJEXT) db_rfx.$(OBJEXT) db_tvrx.$(OBJEXT) \
+       db_xcvr2450.$(OBJEXT) dbsm.$(OBJEXT) eeprom.$(OBJEXT) \
+       ethernet.$(OBJEXT) eth_mac.$(OBJEXT) _exit.$(OBJEXT) \
+       exit.$(OBJEXT) hal_io.$(OBJEXT) hal_uart.$(OBJEXT) \
+       i2c.$(OBJEXT) lsadc.$(OBJEXT) lsdac.$(OBJEXT) mdelay.$(OBJEXT) \
+       memcpy_wa.$(OBJEXT) memset_wa.$(OBJEXT) nonstdio.$(OBJEXT) \
+       pic.$(OBJEXT) print_mac_addr.$(OBJEXT) \
+       print_rmon_regs.$(OBJEXT) print_fxpt.$(OBJEXT) \
+       print_buffer.$(OBJEXT) printf.$(OBJEXT) sd.$(OBJEXT) \
+       spi.$(OBJEXT) u2_init.$(OBJEXT)
+libu2fw_a_OBJECTS = $(am_libu2fw_a_OBJECTS)
+DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
+depcomp = $(SHELL) $(top_srcdir)/depcomp
+am__depfiles_maybe = depfiles
+COMPILE = $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) \
+       $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
+CCLD = $(CC)
+LINK = $(CCLD) $(AM_CFLAGS) $(CFLAGS) $(AM_LDFLAGS) $(LDFLAGS) -o $@
+SOURCES = $(libu2fw_a_SOURCES)
+DIST_SOURCES = $(libu2fw_a_SOURCES)
+DATA = $(noinst_DATA)
+HEADERS = $(noinst_HEADERS)
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+AMTAR = @AMTAR@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CYGPATH_W = @CYGPATH_W@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+GREP = @GREP@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+LDFLAGS = @LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MB_GCC = @MB_GCC@
+MKDIR_P = @MKDIR_P@
+OBJEXT = @OBJEXT@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+RANLIB = @RANLIB@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+STRIP = @STRIP@
+VERSION = @VERSION@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+bindir = @bindir@
+build_alias = @build_alias@
+build_dirs = @build_dirs@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+dvidir = @dvidir@
+exec_prefix = @exec_prefix@
+host_alias = @host_alias@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+mandir = @mandir@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+pdfdir = @pdfdir@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+sysconfdir = @sysconfdir@
+target_alias = @target_alias@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp2_firmware_INCLUDEDIR = @usrp2_firmware_INCLUDEDIR@
+usrp2_firmware_INCLUDES = @usrp2_firmware_INCLUDES@
+usrp2_firmware_LA = @usrp2_firmware_LA@
+usrp2_firmware_LDFLAG = @usrp2_firmware_LDFLAG@
+usrp2_firmware_LIBDIRPATH = @usrp2_firmware_LIBDIRPATH@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+STD_INCLUDES = -I$(top_srcdir)/include -I$(top_srcdir)/lib
+
+#HAL_IO = -DHAL_IO_USES_DBOARD_PINS 
+HAL_IO = -DHAL_IO_USES_UART 
+AM_CPPFLAGS = $(HAL_IO) $(STD_INCLUDES)
+STD_CFLAGS = --std=gnu99 -Wall -Werror-implicit-function-declaration -mxl-soft-div -msoft-float
+AM_CFLAGS = $(STD_CFLAGS) -mxl-soft-mul -mxl-barrel-shift
+#AM_CFLAGS = $(STD_CFLAGS) -mxl-soft-mul -mxl-barrel-shift -mxl-gp-opt -G 16384
+
+#LINKER_SCRIPT = $(top_srcdir)/lib/microblaze.ld
+#AM_LDFLAGS = -Wl,-T,$(LINKER_SCRIPT) -Wl,-defsym -Wl,_STACK_SIZE=1024
+#AM_LDFLAGS = -Wl,-T,$(LINKER_SCRIPT) -Wl,-Map -Wl,$@.map
+#AM_LDFLAGS = -Wl,-T,$(LINKER_SCRIPT)
+AM_LDFLAGS = -Wl,-Map -Wl,$@.map -Wl,-defsym -Wl,_STACK_SIZE=3072
+BINS = $(noinst_PROGRAMS:=.bin)
+ROMS = $(noinst_PROGRAMS:=.rom)
+DUMPS = $(noinst_PROGRAMS:=.dump)
+noinst_DATA = $(BINS) $(ROMS) $(DUMPS)
+CLEANFILES = $(ROMS) $(DUMPS) $(BINS)
+noinst_LIBRARIES = \
+       libu2fw.a
+
+libu2fw_a_SOURCES = \
+       abort.c \
+       ad9510.c \
+       ad9777.c \
+       bsm12.c \
+       buffer_pool.c \
+       clocks.c \
+       db_basic.c \
+       db_dbsrx.c \
+       db_init.c \
+       db_rfx.c \
+       db_tvrx.c \
+       db_xcvr2450.c \
+       dbsm.c \
+       eeprom.c \
+       ethernet.c \
+       eth_mac.c \
+       _exit.c \
+       exit.c \
+       hal_io.c \
+       hal_uart.c \
+       i2c.c \
+       lsadc.c \
+       lsdac.c \
+       mdelay.c \
+       memcpy_wa.c \
+       memset_wa.c \
+       nonstdio.c \
+       pic.c \
+       print_mac_addr.c \
+       print_rmon_regs.c \
+       print_fxpt.c \
+       print_buffer.c \
+       printf.c \
+       sd.c \
+       spi.c \
+       u2_init.c       
+
+noinst_HEADERS = \
+       ad9510.h \
+       ad9777.h \
+       ad9777_regs.h \
+       bool.h \
+       bsm12.h \
+       buffer_pool.h \
+       clocks.h \
+       db.h \
+       db_base.h \
+       dbsm.h \
+       eth_mac.h \
+       eth_mac_regs.h \
+       eth_phy.h \
+       ethernet.h \
+       hal_io.h \
+       hal_uart.h \
+       i2c.h \
+       lsadc.h \
+       lsdac.h \
+       mdelay.h \
+       memcpy_wa.h \
+       memory_map.h \
+       memset_wa.h \
+       nonstdio.h \
+       pic.h \
+       print_rmon_regs.h \
+       sd.h \
+       spi.h \
+       stdint.h \
+       stdio.h \
+       u2_init.h \
+       usrp2_bytesex.h \
+        wb16550.h
+
+EXTRA_DIST = \
+        microblaze.ld
+
+all: all-am
+
+.SUFFIXES:
+.SUFFIXES: .c .o .obj
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  lib/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  lib/Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+clean-noinstLIBRARIES:
+       -test -z "$(noinst_LIBRARIES)" || rm -f $(noinst_LIBRARIES)
+libu2fw.a: $(libu2fw_a_OBJECTS) $(libu2fw_a_DEPENDENCIES) 
+       -rm -f libu2fw.a
+       $(libu2fw_a_AR) libu2fw.a $(libu2fw_a_OBJECTS) $(libu2fw_a_LIBADD)
+       $(RANLIB) libu2fw.a
+
+mostlyclean-compile:
+       -rm -f *.$(OBJEXT)
+
+distclean-compile:
+       -rm -f *.tab.c
+
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/_exit.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/abort.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/ad9510.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/ad9777.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/bsm12.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/buffer_pool.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/clocks.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/db_basic.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/db_dbsrx.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/db_init.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/db_rfx.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/db_tvrx.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/db_xcvr2450.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/dbsm.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/eeprom.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/eth_mac.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/ethernet.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/exit.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/hal_io.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/hal_uart.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/i2c.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/lsadc.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/lsdac.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/mdelay.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/memcpy_wa.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/memset_wa.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/nonstdio.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/pic.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/print_buffer.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/print_fxpt.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/print_mac_addr.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/print_rmon_regs.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/printf.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/sd.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/spi.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/u2_init.Po@am__quote@
+
+.c.o:
+@am__fastdepCC_TRUE@   $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(COMPILE) -c $<
+
+.c.obj:
+@am__fastdepCC_TRUE@   $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(COMPILE) -c `$(CYGPATH_W) '$<'`
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+check-am: all-am
+check: check-am
+all-am: Makefile $(LIBRARIES) $(DATA) $(HEADERS)
+installdirs:
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+
+clean-generic:
+       -test -z "$(CLEANFILES)" || rm -f $(CLEANFILES)
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-noinstLIBRARIES mostlyclean-am
+
+distclean: distclean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+distclean-am: clean-am distclean-compile distclean-generic \
+       distclean-tags
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-compile mostlyclean-generic
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: install-am install-strip
+
+.PHONY: CTAGS GTAGS all all-am check check-am clean clean-generic \
+       clean-noinstLIBRARIES ctags distclean distclean-compile \
+       distclean-generic distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-compile \
+       mostlyclean-generic pdf pdf-am ps ps-am tags uninstall \
+       uninstall-am
+
+#AM_LDFLAGS = -Wl,-defsym -Wl,_STACK_SIZE=2048
+
+%.bin : %
+       mb-objcopy -O binary $< $@
+
+%.dump : %
+       mb-objdump -DSC $< > $@
+
+%.rom : %.bin
+       hexdump -v -e'1/1 "%.2X\n"' $< > $@
+
+.PRECIOUS : %.bin
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/usrp2/firmware/missing b/usrp2/firmware/missing
new file mode 100755 (executable)
index 0000000..1c8ff70
--- /dev/null
@@ -0,0 +1,367 @@
+#! /bin/sh
+# Common stub for a few missing GNU programs while installing.
+
+scriptversion=2006-05-10.23
+
+# Copyright (C) 1996, 1997, 1999, 2000, 2002, 2003, 2004, 2005, 2006
+#   Free Software Foundation, Inc.
+# Originally by Fran,cois Pinard <pinard@iro.umontreal.ca>, 1996.
+
+# This program is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 2, or (at your option)
+# any later version.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+
+# You should have received a copy of the GNU General Public License
+# along with this program; if not, write to the Free Software
+# Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA
+# 02110-1301, USA.
+
+# As a special exception to the GNU General Public License, if you
+# distribute this file as part of a program that contains a
+# configuration script generated by Autoconf, you may include it under
+# the same distribution terms that you use for the rest of that program.
+
+if test $# -eq 0; then
+  echo 1>&2 "Try \`$0 --help' for more information"
+  exit 1
+fi
+
+run=:
+sed_output='s/.* --output[ =]\([^ ]*\).*/\1/p'
+sed_minuso='s/.* -o \([^ ]*\).*/\1/p'
+
+# In the cases where this matters, `missing' is being run in the
+# srcdir already.
+if test -f configure.ac; then
+  configure_ac=configure.ac
+else
+  configure_ac=configure.in
+fi
+
+msg="missing on your system"
+
+case $1 in
+--run)
+  # Try to run requested program, and just exit if it succeeds.
+  run=
+  shift
+  "$@" && exit 0
+  # Exit code 63 means version mismatch.  This often happens
+  # when the user try to use an ancient version of a tool on
+  # a file that requires a minimum version.  In this case we
+  # we should proceed has if the program had been absent, or
+  # if --run hadn't been passed.
+  if test $? = 63; then
+    run=:
+    msg="probably too old"
+  fi
+  ;;
+
+  -h|--h|--he|--hel|--help)
+    echo "\
+$0 [OPTION]... PROGRAM [ARGUMENT]...
+
+Handle \`PROGRAM [ARGUMENT]...' for when PROGRAM is missing, or return an
+error status if there is no known handling for PROGRAM.
+
+Options:
+  -h, --help      display this help and exit
+  -v, --version   output version information and exit
+  --run           try to run the given command, and emulate it if it fails
+
+Supported PROGRAM values:
+  aclocal      touch file \`aclocal.m4'
+  autoconf     touch file \`configure'
+  autoheader   touch file \`config.h.in'
+  autom4te     touch the output file, or create a stub one
+  automake     touch all \`Makefile.in' files
+  bison        create \`y.tab.[ch]', if possible, from existing .[ch]
+  flex         create \`lex.yy.c', if possible, from existing .c
+  help2man     touch the output file
+  lex          create \`lex.yy.c', if possible, from existing .c
+  makeinfo     touch the output file
+  tar          try tar, gnutar, gtar, then tar without non-portable flags
+  yacc         create \`y.tab.[ch]', if possible, from existing .[ch]
+
+Send bug reports to <bug-automake@gnu.org>."
+    exit $?
+    ;;
+
+  -v|--v|--ve|--ver|--vers|--versi|--versio|--version)
+    echo "missing $scriptversion (GNU Automake)"
+    exit $?
+    ;;
+
+  -*)
+    echo 1>&2 "$0: Unknown \`$1' option"
+    echo 1>&2 "Try \`$0 --help' for more information"
+    exit 1
+    ;;
+
+esac
+
+# Now exit if we have it, but it failed.  Also exit now if we
+# don't have it and --version was passed (most likely to detect
+# the program).
+case $1 in
+  lex|yacc)
+    # Not GNU programs, they don't have --version.
+    ;;
+
+  tar)
+    if test -n "$run"; then
+       echo 1>&2 "ERROR: \`tar' requires --run"
+       exit 1
+    elif test "x$2" = "x--version" || test "x$2" = "x--help"; then
+       exit 1
+    fi
+    ;;
+
+  *)
+    if test -z "$run" && ($1 --version) > /dev/null 2>&1; then
+       # We have it, but it failed.
+       exit 1
+    elif test "x$2" = "x--version" || test "x$2" = "x--help"; then
+       # Could not run --version or --help.  This is probably someone
+       # running `$TOOL --version' or `$TOOL --help' to check whether
+       # $TOOL exists and not knowing $TOOL uses missing.
+       exit 1
+    fi
+    ;;
+esac
+
+# If it does not exist, or fails to run (possibly an outdated version),
+# try to emulate it.
+case $1 in
+  aclocal*)
+    echo 1>&2 "\
+WARNING: \`$1' is $msg.  You should only need it if
+         you modified \`acinclude.m4' or \`${configure_ac}'.  You might want
+         to install the \`Automake' and \`Perl' packages.  Grab them from
+         any GNU archive site."
+    touch aclocal.m4
+    ;;
+
+  autoconf)
+    echo 1>&2 "\
+WARNING: \`$1' is $msg.  You should only need it if
+         you modified \`${configure_ac}'.  You might want to install the
+         \`Autoconf' and \`GNU m4' packages.  Grab them from any GNU
+         archive site."
+    touch configure
+    ;;
+
+  autoheader)
+    echo 1>&2 "\
+WARNING: \`$1' is $msg.  You should only need it if
+         you modified \`acconfig.h' or \`${configure_ac}'.  You might want
+         to install the \`Autoconf' and \`GNU m4' packages.  Grab them
+         from any GNU archive site."
+    files=`sed -n 's/^[ ]*A[CM]_CONFIG_HEADER(\([^)]*\)).*/\1/p' ${configure_ac}`
+    test -z "$files" && files="config.h"
+    touch_files=
+    for f in $files; do
+      case $f in
+      *:*) touch_files="$touch_files "`echo "$f" |
+                                      sed -e 's/^[^:]*://' -e 's/:.*//'`;;
+      *) touch_files="$touch_files $f.in";;
+      esac
+    done
+    touch $touch_files
+    ;;
+
+  automake*)
+    echo 1>&2 "\
+WARNING: \`$1' is $msg.  You should only need it if
+         you modified \`Makefile.am', \`acinclude.m4' or \`${configure_ac}'.
+         You might want to install the \`Automake' and \`Perl' packages.
+         Grab them from any GNU archive site."
+    find . -type f -name Makefile.am -print |
+          sed 's/\.am$/.in/' |
+          while read f; do touch "$f"; done
+    ;;
+
+  autom4te)
+    echo 1>&2 "\
+WARNING: \`$1' is needed, but is $msg.
+         You might have modified some files without having the
+         proper tools for further handling them.
+         You can get \`$1' as part of \`Autoconf' from any GNU
+         archive site."
+
+    file=`echo "$*" | sed -n "$sed_output"`
+    test -z "$file" && file=`echo "$*" | sed -n "$sed_minuso"`
+    if test -f "$file"; then
+       touch $file
+    else
+       test -z "$file" || exec >$file
+       echo "#! /bin/sh"
+       echo "# Created by GNU Automake missing as a replacement of"
+       echo "#  $ $@"
+       echo "exit 0"
+       chmod +x $file
+       exit 1
+    fi
+    ;;
+
+  bison|yacc)
+    echo 1>&2 "\
+WARNING: \`$1' $msg.  You should only need it if
+         you modified a \`.y' file.  You may need the \`Bison' package
+         in order for those modifications to take effect.  You can get
+         \`Bison' from any GNU archive site."
+    rm -f y.tab.c y.tab.h
+    if test $# -ne 1; then
+        eval LASTARG="\${$#}"
+       case $LASTARG in
+       *.y)
+           SRCFILE=`echo "$LASTARG" | sed 's/y$/c/'`
+           if test -f "$SRCFILE"; then
+                cp "$SRCFILE" y.tab.c
+           fi
+           SRCFILE=`echo "$LASTARG" | sed 's/y$/h/'`
+           if test -f "$SRCFILE"; then
+                cp "$SRCFILE" y.tab.h
+           fi
+         ;;
+       esac
+    fi
+    if test ! -f y.tab.h; then
+       echo >y.tab.h
+    fi
+    if test ! -f y.tab.c; then
+       echo 'main() { return 0; }' >y.tab.c
+    fi
+    ;;
+
+  lex|flex)
+    echo 1>&2 "\
+WARNING: \`$1' is $msg.  You should only need it if
+         you modified a \`.l' file.  You may need the \`Flex' package
+         in order for those modifications to take effect.  You can get
+         \`Flex' from any GNU archive site."
+    rm -f lex.yy.c
+    if test $# -ne 1; then
+        eval LASTARG="\${$#}"
+       case $LASTARG in
+       *.l)
+           SRCFILE=`echo "$LASTARG" | sed 's/l$/c/'`
+           if test -f "$SRCFILE"; then
+                cp "$SRCFILE" lex.yy.c
+           fi
+         ;;
+       esac
+    fi
+    if test ! -f lex.yy.c; then
+       echo 'main() { return 0; }' >lex.yy.c
+    fi
+    ;;
+
+  help2man)
+    echo 1>&2 "\
+WARNING: \`$1' is $msg.  You should only need it if
+        you modified a dependency of a manual page.  You may need the
+        \`Help2man' package in order for those modifications to take
+        effect.  You can get \`Help2man' from any GNU archive site."
+
+    file=`echo "$*" | sed -n "$sed_output"`
+    test -z "$file" && file=`echo "$*" | sed -n "$sed_minuso"`
+    if test -f "$file"; then
+       touch $file
+    else
+       test -z "$file" || exec >$file
+       echo ".ab help2man is required to generate this page"
+       exit 1
+    fi
+    ;;
+
+  makeinfo)
+    echo 1>&2 "\
+WARNING: \`$1' is $msg.  You should only need it if
+         you modified a \`.texi' or \`.texinfo' file, or any other file
+         indirectly affecting the aspect of the manual.  The spurious
+         call might also be the consequence of using a buggy \`make' (AIX,
+         DU, IRIX).  You might want to install the \`Texinfo' package or
+         the \`GNU make' package.  Grab either from any GNU archive site."
+    # The file to touch is that specified with -o ...
+    file=`echo "$*" | sed -n "$sed_output"`
+    test -z "$file" && file=`echo "$*" | sed -n "$sed_minuso"`
+    if test -z "$file"; then
+      # ... or it is the one specified with @setfilename ...
+      infile=`echo "$*" | sed 's/.* \([^ ]*\) *$/\1/'`
+      file=`sed -n '
+       /^@setfilename/{
+         s/.* \([^ ]*\) *$/\1/
+         p
+         q
+       }' $infile`
+      # ... or it is derived from the source name (dir/f.texi becomes f.info)
+      test -z "$file" && file=`echo "$infile" | sed 's,.*/,,;s,.[^.]*$,,'`.info
+    fi
+    # If the file does not exist, the user really needs makeinfo;
+    # let's fail without touching anything.
+    test -f $file || exit 1
+    touch $file
+    ;;
+
+  tar)
+    shift
+
+    # We have already tried tar in the generic part.
+    # Look for gnutar/gtar before invocation to avoid ugly error
+    # messages.
+    if (gnutar --version > /dev/null 2>&1); then
+       gnutar "$@" && exit 0
+    fi
+    if (gtar --version > /dev/null 2>&1); then
+       gtar "$@" && exit 0
+    fi
+    firstarg="$1"
+    if shift; then
+       case $firstarg in
+       *o*)
+           firstarg=`echo "$firstarg" | sed s/o//`
+           tar "$firstarg" "$@" && exit 0
+           ;;
+       esac
+       case $firstarg in
+       *h*)
+           firstarg=`echo "$firstarg" | sed s/h//`
+           tar "$firstarg" "$@" && exit 0
+           ;;
+       esac
+    fi
+
+    echo 1>&2 "\
+WARNING: I can't seem to be able to run \`tar' with the given arguments.
+         You may want to install GNU tar or Free paxutils, or check the
+         command line arguments."
+    exit 1
+    ;;
+
+  *)
+    echo 1>&2 "\
+WARNING: \`$1' is needed, and is $msg.
+         You might have modified some files without having the
+         proper tools for further handling them.  Check the \`README' file,
+         it often tells you about the needed prerequisites for installing
+         this package.  You may also peek at any GNU archive site, in case
+         some other package would contain this missing \`$1' program."
+    exit 1
+    ;;
+esac
+
+exit 0
+
+# Local variables:
+# eval: (add-hook 'write-file-hooks 'time-stamp)
+# time-stamp-start: "scriptversion="
+# time-stamp-format: "%:y-%02m-%02d.%02H"
+# time-stamp-end: "$"
+# End:
diff --git a/usrp2/host/Makefile.in b/usrp2/host/Makefile.in
new file mode 100644 (file)
index 0000000..c84e88e
--- /dev/null
@@ -0,0 +1,1067 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2008 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License along
+# with this program; if not, write to the Free Software Foundation, Inc.,
+# 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+#
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(srcdir)/usrp2.pc.in $(top_srcdir)/Makefile.common
+subdir = usrp2/host
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES = usrp2.pc
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(pkgconfigdir)"
+pkgconfigDATA_INSTALL = $(INSTALL_DATA)
+DATA = $(pkgconfig_DATA)
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+pkgconfigdir = $(libdir)/pkgconfig
+pkgconfig_DATA = usrp2.pc
+EXTRA_DIST = \
+       usrp2.pc.in        
+
+SUBDIRS = include lib apps
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  usrp2/host/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  usrp2/host/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+usrp2.pc: $(top_builddir)/config.status $(srcdir)/usrp2.pc.in
+       cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-pkgconfigDATA: $(pkgconfig_DATA)
+       @$(NORMAL_INSTALL)
+       test -z "$(pkgconfigdir)" || $(MKDIR_P) "$(DESTDIR)$(pkgconfigdir)"
+       @list='$(pkgconfig_DATA)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(pkgconfigDATA_INSTALL) '$$d$$p' '$(DESTDIR)$(pkgconfigdir)/$$f'"; \
+         $(pkgconfigDATA_INSTALL) "$$d$$p" "$(DESTDIR)$(pkgconfigdir)/$$f"; \
+       done
+
+uninstall-pkgconfigDATA:
+       @$(NORMAL_UNINSTALL)
+       @list='$(pkgconfig_DATA)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(pkgconfigdir)/$$f'"; \
+         rm -f "$(DESTDIR)$(pkgconfigdir)/$$f"; \
+       done
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-recursive
+all-am: Makefile $(DATA)
+installdirs: installdirs-recursive
+installdirs-am:
+       for dir in "$(DESTDIR)$(pkgconfigdir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am: install-pkgconfigDATA
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am: uninstall-pkgconfigDATA
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive dist-hook distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-pkgconfigDATA install-ps install-ps-am install-strip \
+       installcheck installcheck-am installdirs installdirs-am \
+       maintainer-clean maintainer-clean-generic mostlyclean \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       tags tags-recursive uninstall uninstall-am \
+       uninstall-pkgconfigDATA
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/usrp2/host/apps/Makefile.in b/usrp2/host/apps/Makefile.in
new file mode 100644 (file)
index 0000000..5259158
--- /dev/null
@@ -0,0 +1,1091 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2007, 2008 Free Software Foundation, Inc.
+#
+# This program is free software: you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation, either version 3 of the License, or
+# (at your option) any later version.
+#
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+#
+# You should have received a copy of the GNU General Public License
+# along with this program.  If not, see <http://www.gnu.org/licenses/>.
+#
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+bin_PROGRAMS = find_usrps$(EXEEXT) usrp2_burn_mac_addr$(EXEEXT)
+noinst_PROGRAMS = gen_const$(EXEEXT) rx_streaming_samples$(EXEEXT) \
+       tx_samples$(EXEEXT) test_mimo_tx$(EXEEXT) gpio$(EXEEXT)
+subdir = usrp2/host/apps
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+am__installdirs = "$(DESTDIR)$(bindir)"
+binPROGRAMS_INSTALL = $(INSTALL_PROGRAM)
+PROGRAMS = $(bin_PROGRAMS) $(noinst_PROGRAMS)
+am_find_usrps_OBJECTS = find_usrps.$(OBJEXT)
+find_usrps_OBJECTS = $(am_find_usrps_OBJECTS)
+find_usrps_LDADD = $(LDADD)
+am__DEPENDENCIES_1 =
+find_usrps_DEPENDENCIES = $(am__DEPENDENCIES_1) $(am__DEPENDENCIES_1) \
+       $(am__DEPENDENCIES_1)
+am_gen_const_OBJECTS = gen_const.$(OBJEXT)
+gen_const_OBJECTS = $(am_gen_const_OBJECTS)
+gen_const_LDADD = $(LDADD)
+gen_const_DEPENDENCIES = $(am__DEPENDENCIES_1) $(am__DEPENDENCIES_1) \
+       $(am__DEPENDENCIES_1)
+am_gpio_OBJECTS = gpio.$(OBJEXT)
+gpio_OBJECTS = $(am_gpio_OBJECTS)
+gpio_LDADD = $(LDADD)
+gpio_DEPENDENCIES = $(am__DEPENDENCIES_1) $(am__DEPENDENCIES_1) \
+       $(am__DEPENDENCIES_1)
+am_rx_streaming_samples_OBJECTS = rx_streaming_samples.$(OBJEXT)
+rx_streaming_samples_OBJECTS = $(am_rx_streaming_samples_OBJECTS)
+rx_streaming_samples_LDADD = $(LDADD)
+rx_streaming_samples_DEPENDENCIES = $(am__DEPENDENCIES_1) \
+       $(am__DEPENDENCIES_1) $(am__DEPENDENCIES_1)
+am_test_mimo_tx_OBJECTS = test_mimo_tx.$(OBJEXT)
+test_mimo_tx_OBJECTS = $(am_test_mimo_tx_OBJECTS)
+test_mimo_tx_LDADD = $(LDADD)
+test_mimo_tx_DEPENDENCIES = $(am__DEPENDENCIES_1) \
+       $(am__DEPENDENCIES_1) $(am__DEPENDENCIES_1)
+am_tx_samples_OBJECTS = tx_samples.$(OBJEXT)
+tx_samples_OBJECTS = $(am_tx_samples_OBJECTS)
+tx_samples_LDADD = $(LDADD)
+tx_samples_DEPENDENCIES = $(am__DEPENDENCIES_1) $(am__DEPENDENCIES_1) \
+       $(am__DEPENDENCIES_1)
+am_usrp2_burn_mac_addr_OBJECTS = usrp2_burn_mac_addr.$(OBJEXT)
+usrp2_burn_mac_addr_OBJECTS = $(am_usrp2_burn_mac_addr_OBJECTS)
+usrp2_burn_mac_addr_LDADD = $(LDADD)
+usrp2_burn_mac_addr_DEPENDENCIES = $(am__DEPENDENCIES_1) \
+       $(am__DEPENDENCIES_1) $(am__DEPENDENCIES_1)
+DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
+depcomp = $(SHELL) $(top_srcdir)/depcomp
+am__depfiles_maybe = depfiles
+CXXCOMPILE = $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+LTCXXCOMPILE = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+CXXLD = $(CXX)
+CXXLINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CXXLD) $(AM_CXXFLAGS) $(CXXFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+SOURCES = $(find_usrps_SOURCES) $(gen_const_SOURCES) $(gpio_SOURCES) \
+       $(rx_streaming_samples_SOURCES) $(test_mimo_tx_SOURCES) \
+       $(tx_samples_SOURCES) $(usrp2_burn_mac_addr_SOURCES)
+DIST_SOURCES = $(find_usrps_SOURCES) $(gen_const_SOURCES) \
+       $(gpio_SOURCES) $(rx_streaming_samples_SOURCES) \
+       $(test_mimo_tx_SOURCES) $(tx_samples_SOURCES) \
+       $(usrp2_burn_mac_addr_SOURCES)
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+AM_CPPFLAGS = \
+    $(USRP2_INCLUDES) \
+    $(STD_DEFINES_AND_INCLUDES) \
+    $(CPPUNIT_INCLUDES) \
+    $(GRUEL_INCLUDES)
+
+LDADD = \
+       $(USRP2_LA) \
+       $(GRUEL_LA) \
+        $(OMNITHREAD_LA)
+
+find_usrps_SOURCES = find_usrps.cc
+usrp2_burn_mac_addr_SOURCES = usrp2_burn_mac_addr.cc
+rx_streaming_samples_SOURCES = rx_streaming_samples.cc
+gen_const_SOURCES = gen_const.cc
+tx_samples_SOURCES = tx_samples.cc
+test_mimo_tx_SOURCES = test_mimo_tx.cc
+gpio_SOURCES = gpio.cc
+all: all-am
+
+.SUFFIXES:
+.SUFFIXES: .cc .lo .o .obj
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  usrp2/host/apps/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  usrp2/host/apps/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+install-binPROGRAMS: $(bin_PROGRAMS)
+       @$(NORMAL_INSTALL)
+       test -z "$(bindir)" || $(MKDIR_P) "$(DESTDIR)$(bindir)"
+       @list='$(bin_PROGRAMS)'; for p in $$list; do \
+         p1=`echo $$p|sed 's/$(EXEEXT)$$//'`; \
+         if test -f $$p \
+            || test -f $$p1 \
+         ; then \
+           f=`echo "$$p1" | sed 's,^.*/,,;$(transform);s/$$/$(EXEEXT)/'`; \
+          echo " $(INSTALL_PROGRAM_ENV) $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(binPROGRAMS_INSTALL) '$$p' '$(DESTDIR)$(bindir)/$$f'"; \
+          $(INSTALL_PROGRAM_ENV) $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(binPROGRAMS_INSTALL) "$$p" "$(DESTDIR)$(bindir)/$$f" || exit 1; \
+         else :; fi; \
+       done
+
+uninstall-binPROGRAMS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(bin_PROGRAMS)'; for p in $$list; do \
+         f=`echo "$$p" | sed 's,^.*/,,;s/$(EXEEXT)$$//;$(transform);s/$$/$(EXEEXT)/'`; \
+         echo " rm -f '$(DESTDIR)$(bindir)/$$f'"; \
+         rm -f "$(DESTDIR)$(bindir)/$$f"; \
+       done
+
+clean-binPROGRAMS:
+       @list='$(bin_PROGRAMS)'; for p in $$list; do \
+         f=`echo $$p|sed 's/$(EXEEXT)$$//'`; \
+         echo " rm -f $$p $$f"; \
+         rm -f $$p $$f ; \
+       done
+
+clean-noinstPROGRAMS:
+       @list='$(noinst_PROGRAMS)'; for p in $$list; do \
+         f=`echo $$p|sed 's/$(EXEEXT)$$//'`; \
+         echo " rm -f $$p $$f"; \
+         rm -f $$p $$f ; \
+       done
+find_usrps$(EXEEXT): $(find_usrps_OBJECTS) $(find_usrps_DEPENDENCIES) 
+       @rm -f find_usrps$(EXEEXT)
+       $(CXXLINK) $(find_usrps_OBJECTS) $(find_usrps_LDADD) $(LIBS)
+gen_const$(EXEEXT): $(gen_const_OBJECTS) $(gen_const_DEPENDENCIES) 
+       @rm -f gen_const$(EXEEXT)
+       $(CXXLINK) $(gen_const_OBJECTS) $(gen_const_LDADD) $(LIBS)
+gpio$(EXEEXT): $(gpio_OBJECTS) $(gpio_DEPENDENCIES) 
+       @rm -f gpio$(EXEEXT)
+       $(CXXLINK) $(gpio_OBJECTS) $(gpio_LDADD) $(LIBS)
+rx_streaming_samples$(EXEEXT): $(rx_streaming_samples_OBJECTS) $(rx_streaming_samples_DEPENDENCIES) 
+       @rm -f rx_streaming_samples$(EXEEXT)
+       $(CXXLINK) $(rx_streaming_samples_OBJECTS) $(rx_streaming_samples_LDADD) $(LIBS)
+test_mimo_tx$(EXEEXT): $(test_mimo_tx_OBJECTS) $(test_mimo_tx_DEPENDENCIES) 
+       @rm -f test_mimo_tx$(EXEEXT)
+       $(CXXLINK) $(test_mimo_tx_OBJECTS) $(test_mimo_tx_LDADD) $(LIBS)
+tx_samples$(EXEEXT): $(tx_samples_OBJECTS) $(tx_samples_DEPENDENCIES) 
+       @rm -f tx_samples$(EXEEXT)
+       $(CXXLINK) $(tx_samples_OBJECTS) $(tx_samples_LDADD) $(LIBS)
+usrp2_burn_mac_addr$(EXEEXT): $(usrp2_burn_mac_addr_OBJECTS) $(usrp2_burn_mac_addr_DEPENDENCIES) 
+       @rm -f usrp2_burn_mac_addr$(EXEEXT)
+       $(CXXLINK) $(usrp2_burn_mac_addr_OBJECTS) $(usrp2_burn_mac_addr_LDADD) $(LIBS)
+
+mostlyclean-compile:
+       -rm -f *.$(OBJEXT)
+
+distclean-compile:
+       -rm -f *.tab.c
+
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/find_usrps.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gen_const.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/gpio.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/rx_streaming_samples.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/test_mimo_tx.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/tx_samples.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/usrp2_burn_mac_addr.Po@am__quote@
+
+.cc.o:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ $<
+
+.cc.obj:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ `$(CYGPATH_W) '$<'`
+
+.cc.lo:
+@am__fastdepCXX_TRUE@  $(LTCXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LTCXXCOMPILE) -c -o $@ $<
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile $(PROGRAMS)
+installdirs:
+       for dir in "$(DESTDIR)$(bindir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-binPROGRAMS clean-generic clean-libtool \
+       clean-noinstPROGRAMS mostlyclean-am
+
+distclean: distclean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+distclean-am: clean-am distclean-compile distclean-generic \
+       distclean-tags
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-am
+
+install-exec-am: install-binPROGRAMS
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-compile mostlyclean-generic \
+       mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-binPROGRAMS
+
+.MAKE: install-am install-strip
+
+.PHONY: CTAGS GTAGS all all-am check check-am clean clean-binPROGRAMS \
+       clean-generic clean-libtool clean-noinstPROGRAMS ctags \
+       dist-hook distclean distclean-compile distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-binPROGRAMS \
+       install-data install-data-am install-dvi install-dvi-am \
+       install-exec install-exec-am install-html install-html-am \
+       install-info install-info-am install-man install-pdf \
+       install-pdf-am install-ps install-ps-am install-strip \
+       installcheck installcheck-am installdirs maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-compile \
+       mostlyclean-generic mostlyclean-libtool pdf pdf-am ps ps-am \
+       tags uninstall uninstall-am uninstall-binPROGRAMS
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/usrp2/host/include/Makefile.in b/usrp2/host/include/Makefile.in
new file mode 100644 (file)
index 0000000..ec5bce2
--- /dev/null
@@ -0,0 +1,1029 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2008 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License along
+# with this program; if not, write to the Free Software Foundation, Inc.,
+# 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301 USA.
+#
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common
+subdir = usrp2/host/include
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+RECURSIVE_TARGETS = all-recursive check-recursive dvi-recursive \
+       html-recursive info-recursive install-data-recursive \
+       install-dvi-recursive install-exec-recursive \
+       install-html-recursive install-info-recursive \
+       install-pdf-recursive install-ps-recursive install-recursive \
+       installcheck-recursive installdirs-recursive pdf-recursive \
+       ps-recursive uninstall-recursive
+RECURSIVE_CLEAN_TARGETS = mostlyclean-recursive clean-recursive        \
+  distclean-recursive maintainer-clean-recursive
+ETAGS = etags
+CTAGS = ctags
+DIST_SUBDIRS = $(SUBDIRS)
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+SUBDIRS = usrp2
+all: all-recursive
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  usrp2/host/include/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  usrp2/host/include/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+# This directory's subdirectories are mostly independent; you can cd
+# into them and run `make' without going through this Makefile.
+# To change the values of `make' variables: instead of editing Makefiles,
+# (1) if the variable is set in `config.status', edit `config.status'
+#     (which will cause the Makefiles to be regenerated when you run `make');
+# (2) otherwise, pass the desired values on the `make' command line.
+$(RECURSIVE_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       target=`echo $@ | sed s/-recursive//`; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           dot_seen=yes; \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done; \
+       if test "$$dot_seen" = "no"; then \
+         $(MAKE) $(AM_MAKEFLAGS) "$$target-am" || exit 1; \
+       fi; test -z "$$fail"
+
+$(RECURSIVE_CLEAN_TARGETS):
+       @failcom='exit 1'; \
+       for f in x $$MAKEFLAGS; do \
+         case $$f in \
+           *=* | --[!k]*);; \
+           *k*) failcom='fail=yes';; \
+         esac; \
+       done; \
+       dot_seen=no; \
+       case "$@" in \
+         distclean-* | maintainer-clean-*) list='$(DIST_SUBDIRS)' ;; \
+         *) list='$(SUBDIRS)' ;; \
+       esac; \
+       rev=''; for subdir in $$list; do \
+         if test "$$subdir" = "."; then :; else \
+           rev="$$subdir $$rev"; \
+         fi; \
+       done; \
+       rev="$$rev ."; \
+       target=`echo $@ | sed s/-recursive//`; \
+       for subdir in $$rev; do \
+         echo "Making $$target in $$subdir"; \
+         if test "$$subdir" = "."; then \
+           local_target="$$target-am"; \
+         else \
+           local_target="$$target"; \
+         fi; \
+         (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) $$local_target) \
+         || eval $$failcom; \
+       done && test -z "$$fail"
+tags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) tags); \
+       done
+ctags-recursive:
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         test "$$subdir" = . || (cd $$subdir && $(MAKE) $(AM_MAKEFLAGS) ctags); \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS: tags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       if ($(ETAGS) --etags-include --version) >/dev/null 2>&1; then \
+         include_option=--etags-include; \
+         empty_fix=.; \
+       else \
+         include_option=--include; \
+         empty_fix=; \
+       fi; \
+       list='$(SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test ! -f $$subdir/TAGS || \
+             tags="$$tags $$include_option=$$here/$$subdir/TAGS"; \
+         fi; \
+       done; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS: ctags-recursive $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       list='$(DIST_SUBDIRS)'; for subdir in $$list; do \
+         if test "$$subdir" = .; then :; else \
+           test -d "$(distdir)/$$subdir" \
+           || $(MKDIR_P) "$(distdir)/$$subdir" \
+           || exit 1; \
+           distdir=`$(am__cd) $(distdir) && pwd`; \
+           top_distdir=`$(am__cd) $(top_distdir) && pwd`; \
+           (cd $$subdir && \
+             $(MAKE) $(AM_MAKEFLAGS) \
+               top_distdir="$$top_distdir" \
+               distdir="$$distdir/$$subdir" \
+               am__remove_distdir=: \
+               am__skip_length_check=: \
+               distdir) \
+             || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-recursive
+all-am: Makefile
+installdirs: installdirs-recursive
+installdirs-am:
+install: install-recursive
+install-exec: install-exec-recursive
+install-data: install-data-recursive
+uninstall: uninstall-recursive
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-recursive
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-recursive
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-recursive
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-recursive
+
+dvi-am:
+
+html: html-recursive
+
+info: info-recursive
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-recursive
+
+install-exec-am:
+
+install-html: install-html-recursive
+
+install-info: install-info-recursive
+
+install-man:
+
+install-pdf: install-pdf-recursive
+
+install-ps: install-ps-recursive
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-recursive
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-recursive
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-recursive
+
+pdf-am:
+
+ps: ps-recursive
+
+ps-am:
+
+uninstall-am:
+
+.MAKE: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) install-am \
+       install-strip
+
+.PHONY: $(RECURSIVE_CLEAN_TARGETS) $(RECURSIVE_TARGETS) CTAGS GTAGS \
+       all all-am check check-am clean clean-generic clean-libtool \
+       ctags ctags-recursive dist-hook distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip installcheck \
+       installcheck-am installdirs installdirs-am maintainer-clean \
+       maintainer-clean-generic mostlyclean mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags tags-recursive \
+       uninstall uninstall-am
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/usrp2/host/include/usrp2/Makefile.in b/usrp2/host/include/usrp2/Makefile.in
new file mode 100644 (file)
index 0000000..d670254
--- /dev/null
@@ -0,0 +1,958 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2008 Free Software Foundation, Inc.
+#
+# This program is free software: you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation, either version 3 of the License, or
+# (at your option) any later version.
+#
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+#
+# You should have received a copy of the GNU General Public License
+# along with this program.  If not, see <http://www.gnu.org/licenses/>.
+#
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(srcdir)/Makefile.am $(srcdir)/Makefile.in \
+       $(top_srcdir)/Makefile.common $(usrp2include_HEADERS)
+subdir = usrp2/host/include/usrp2
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+SOURCES =
+DIST_SOURCES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(usrp2includedir)"
+usrp2includeHEADERS_INSTALL = $(INSTALL_HEADER)
+HEADERS = $(usrp2include_HEADERS)
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+INCLUDES = $(STD_DEFINES_AND_INCLUDES) $(CPPUNIT_INCLUDES)
+usrp2includedir = $(includedir)/usrp2
+usrp2include_HEADERS = \
+       copiers.h \
+       copy_handler.h \
+        data_handler.h \
+       metadata.h \
+       mimo_config.h \
+       rx_nop_handler.h \
+       rx_sample_handler.h \
+       strtod_si.h \
+       tune_result.h \
+       usrp2.h \
+       usrp2_cdefs.h
+
+all: all-am
+
+.SUFFIXES:
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  usrp2/host/include/usrp2/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  usrp2/host/include/usrp2/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+install-usrp2includeHEADERS: $(usrp2include_HEADERS)
+       @$(NORMAL_INSTALL)
+       test -z "$(usrp2includedir)" || $(MKDIR_P) "$(DESTDIR)$(usrp2includedir)"
+       @list='$(usrp2include_HEADERS)'; for p in $$list; do \
+         if test -f "$$p"; then d=; else d="$(srcdir)/"; fi; \
+         f=$(am__strip_dir) \
+         echo " $(usrp2includeHEADERS_INSTALL) '$$d$$p' '$(DESTDIR)$(usrp2includedir)/$$f'"; \
+         $(usrp2includeHEADERS_INSTALL) "$$d$$p" "$(DESTDIR)$(usrp2includedir)/$$f"; \
+       done
+
+uninstall-usrp2includeHEADERS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(usrp2include_HEADERS)'; for p in $$list; do \
+         f=$(am__strip_dir) \
+         echo " rm -f '$(DESTDIR)$(usrp2includedir)/$$f'"; \
+         rm -f "$(DESTDIR)$(usrp2includedir)/$$f"; \
+       done
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile $(HEADERS)
+installdirs:
+       for dir in "$(DESTDIR)$(usrp2includedir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-generic clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -f Makefile
+distclean-am: clean-am distclean-generic distclean-tags
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am: install-usrp2includeHEADERS
+
+install-dvi: install-dvi-am
+
+install-exec-am:
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-generic mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-usrp2includeHEADERS
+
+.MAKE: install-am install-strip
+
+.PHONY: CTAGS GTAGS all all-am check check-am clean clean-generic \
+       clean-libtool ctags dist-hook distclean distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-data \
+       install-data-am install-dvi install-dvi-am install-exec \
+       install-exec-am install-html install-html-am install-info \
+       install-info-am install-man install-pdf install-pdf-am \
+       install-ps install-ps-am install-strip \
+       install-usrp2includeHEADERS installcheck installcheck-am \
+       installdirs maintainer-clean maintainer-clean-generic \
+       mostlyclean mostlyclean-generic mostlyclean-libtool pdf pdf-am \
+       ps ps-am tags uninstall uninstall-am \
+       uninstall-usrp2includeHEADERS
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/usrp2/host/lib/Makefile.in b/usrp2/host/lib/Makefile.in
new file mode 100644 (file)
index 0000000..570bd60
--- /dev/null
@@ -0,0 +1,1148 @@
+# Makefile.in generated by automake 1.10.2 from Makefile.am.
+# @configure_input@
+
+# Copyright (C) 1994, 1995, 1996, 1997, 1998, 1999, 2000, 2001, 2002,
+# 2003, 2004, 2005, 2006, 2007, 2008  Free Software Foundation, Inc.
+# This Makefile.in is free software; the Free Software Foundation
+# gives unlimited permission to copy and/or distribute it,
+# with or without modifications, as long as this notice is preserved.
+
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY, to the extent permitted by law; without
+# even the implied warranty of MERCHANTABILITY or FITNESS FOR A
+# PARTICULAR PURPOSE.
+
+@SET_MAKE@
+
+#
+# Copyright 2007,2008 Free Software Foundation, Inc.
+#
+# This program is free software: you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation, either version 3 of the License, or
+# (at your option) any later version.
+#
+# This program is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+#
+# You should have received a copy of the GNU General Public License
+# along with this program.  If not, see <http://www.gnu.org/licenses/>.
+#
+
+# -*- Makefile -*-
+#
+# Copyright 2004,2006,2007,2008,2009 Free Software Foundation, Inc.
+# 
+# This file is part of GNU Radio
+# 
+# GNU Radio is free software; you can redistribute it and/or modify
+# it under the terms of the GNU General Public License as published by
+# the Free Software Foundation; either version 3, or (at your option)
+# any later version.
+# 
+# GNU Radio is distributed in the hope that it will be useful,
+# but WITHOUT ANY WARRANTY; without even the implied warranty of
+# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+# GNU General Public License for more details.
+# 
+# You should have received a copy of the GNU General Public License
+# along with GNU Radio; see the file COPYING.  If not, write to
+# the Free Software Foundation, Inc., 51 Franklin Street,
+# Boston, MA 02110-1301, USA.
+# 
+
+
+
+VPATH = @srcdir@
+pkgdatadir = $(datadir)/@PACKAGE@
+pkglibdir = $(libdir)/@PACKAGE@
+pkgincludedir = $(includedir)/@PACKAGE@
+am__cd = CDPATH="$${ZSH_VERSION+.}$(PATH_SEPARATOR)" && cd
+install_sh_DATA = $(install_sh) -c -m 644
+install_sh_PROGRAM = $(install_sh) -c
+install_sh_SCRIPT = $(install_sh) -c
+INSTALL_HEADER = $(INSTALL_DATA)
+transform = $(program_transform_name)
+NORMAL_INSTALL = :
+PRE_INSTALL = :
+POST_INSTALL = :
+NORMAL_UNINSTALL = :
+PRE_UNINSTALL = :
+POST_UNINSTALL = :
+build_triplet = @build@
+host_triplet = @host@
+target_triplet = @target@
+DIST_COMMON = $(noinst_HEADERS) $(srcdir)/Makefile.am \
+       $(srcdir)/Makefile.in $(top_srcdir)/Makefile.common
+bin_PROGRAMS = usrp2_socket_opener$(EXEEXT)
+subdir = usrp2/host/lib
+ACLOCAL_M4 = $(top_srcdir)/aclocal.m4
+am__aclocal_m4_deps = $(top_srcdir)/config/acx_cblas.m4 \
+       $(top_srcdir)/config/acx_pthread.m4 \
+       $(top_srcdir)/config/ax_boost_base.m4 \
+       $(top_srcdir)/config/ax_boost_date_time.m4 \
+       $(top_srcdir)/config/ax_boost_program_options.m4 \
+       $(top_srcdir)/config/ax_boost_thread.m4 \
+       $(top_srcdir)/config/cppunit.m4 \
+       $(top_srcdir)/config/gr_check_createfilemapping.m4 \
+       $(top_srcdir)/config/gr_check_memalign.m4 \
+       $(top_srcdir)/config/gr_check_shm_open.m4 \
+       $(top_srcdir)/config/gr_doxygen.m4 \
+       $(top_srcdir)/config/gr_fortran.m4 \
+       $(top_srcdir)/config/gr_gprof.m4 \
+       $(top_srcdir)/config/gr_lib64.m4 \
+       $(top_srcdir)/config/gr_libgnuradio_core_extra_ldflags.m4 \
+       $(top_srcdir)/config/gr_no_undefined.m4 \
+       $(top_srcdir)/config/gr_omnithread.m4 \
+       $(top_srcdir)/config/gr_pwin32.m4 \
+       $(top_srcdir)/config/gr_python.m4 \
+       $(top_srcdir)/config/gr_qwt.m4 \
+       $(top_srcdir)/config/gr_qwtplot3d.m4 \
+       $(top_srcdir)/config/gr_scripting.m4 \
+       $(top_srcdir)/config/gr_set_md_cpu.m4 \
+       $(top_srcdir)/config/gr_subversion.m4 \
+       $(top_srcdir)/config/gr_swig.m4 \
+       $(top_srcdir)/config/gr_sysv_shm.m4 \
+       $(top_srcdir)/config/grc_build.m4 \
+       $(top_srcdir)/config/grc_docs.m4 \
+       $(top_srcdir)/config/grc_gcell.m4 \
+       $(top_srcdir)/config/grc_gnuradio_core.m4 \
+       $(top_srcdir)/config/grc_gnuradio_examples.m4 \
+       $(top_srcdir)/config/grc_gr_audio_alsa.m4 \
+       $(top_srcdir)/config/grc_gr_audio_jack.m4 \
+       $(top_srcdir)/config/grc_gr_audio_oss.m4 \
+       $(top_srcdir)/config/grc_gr_audio_osx.m4 \
+       $(top_srcdir)/config/grc_gr_audio_portaudio.m4 \
+       $(top_srcdir)/config/grc_gr_audio_windows.m4 \
+       $(top_srcdir)/config/grc_gr_cvsd_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_gcell.m4 \
+       $(top_srcdir)/config/grc_gr_gpio.m4 \
+       $(top_srcdir)/config/grc_gr_gsm_fr_vocoder.m4 \
+       $(top_srcdir)/config/grc_gr_pager.m4 \
+       $(top_srcdir)/config/grc_gr_qtgui.m4 \
+       $(top_srcdir)/config/grc_gr_radar_mono.m4 \
+       $(top_srcdir)/config/grc_gr_radio_astronomy.m4 \
+       $(top_srcdir)/config/grc_gr_sounder.m4 \
+       $(top_srcdir)/config/grc_gr_trellis.m4 \
+       $(top_srcdir)/config/grc_gr_usrp.m4 \
+       $(top_srcdir)/config/grc_gr_usrp2.m4 \
+       $(top_srcdir)/config/grc_gr_utils.m4 \
+       $(top_srcdir)/config/grc_gr_video_sdl.m4 \
+       $(top_srcdir)/config/grc_gr_wxgui.m4 \
+       $(top_srcdir)/config/grc_grc.m4 \
+       $(top_srcdir)/config/grc_gruel.m4 \
+       $(top_srcdir)/config/grc_mblock.m4 \
+       $(top_srcdir)/config/grc_omnithread.m4 \
+       $(top_srcdir)/config/grc_pmt.m4 \
+       $(top_srcdir)/config/grc_usrp.m4 \
+       $(top_srcdir)/config/grc_usrp2.m4 \
+       $(top_srcdir)/config/lf_cc.m4 $(top_srcdir)/config/lf_cxx.m4 \
+       $(top_srcdir)/config/lf_warnings.m4 \
+       $(top_srcdir)/config/libtool.m4 \
+       $(top_srcdir)/config/ltoptions.m4 \
+       $(top_srcdir)/config/ltsugar.m4 \
+       $(top_srcdir)/config/ltversion.m4 \
+       $(top_srcdir)/config/lt~obsolete.m4 \
+       $(top_srcdir)/config/macosx_audiounit.m4 \
+       $(top_srcdir)/config/mkstemp.m4 \
+       $(top_srcdir)/config/onceonly.m4 $(top_srcdir)/config/pkg.m4 \
+       $(top_srcdir)/config/sdl.m4 \
+       $(top_srcdir)/config/usrp_fusb_tech.m4 \
+       $(top_srcdir)/config/usrp_libusb.m4 \
+       $(top_srcdir)/config/usrp_sdcc.m4 $(top_srcdir)/configure.ac
+am__configure_deps = $(am__aclocal_m4_deps) $(CONFIGURE_DEPENDENCIES) \
+       $(ACLOCAL_M4)
+mkinstalldirs = $(install_sh) -d
+CONFIG_HEADER = $(top_builddir)/config.h
+CONFIG_CLEAN_FILES =
+am__vpath_adj_setup = srcdirstrip=`echo "$(srcdir)" | sed 's|.|.|g'`;
+am__vpath_adj = case $$p in \
+    $(srcdir)/*) f=`echo "$$p" | sed "s|^$$srcdirstrip/||"`;; \
+    *) f=$$p;; \
+  esac;
+am__strip_dir = `echo $$p | sed -e 's|^.*/||'`;
+am__installdirs = "$(DESTDIR)$(libdir)" "$(DESTDIR)$(bindir)"
+libLTLIBRARIES_INSTALL = $(INSTALL)
+LTLIBRARIES = $(lib_LTLIBRARIES)
+am__DEPENDENCIES_1 =
+libusrp2_la_DEPENDENCIES = $(am__DEPENDENCIES_1) $(am__DEPENDENCIES_1) \
+       $(am__DEPENDENCIES_1) $(am__DEPENDENCIES_1)
+am_libusrp2_la_OBJECTS = control.lo copiers.lo copy_handler.lo \
+       data_handler.lo eth_buffer.lo ethernet.lo find.lo \
+       open_usrp2_socket.lo pktfilter.lo ring.lo rx_nop_handler.lo \
+       rx_sample_handler.lo strtod_si.lo usrp2.lo usrp2_impl.lo \
+       usrp2_thread.lo
+libusrp2_la_OBJECTS = $(am_libusrp2_la_OBJECTS)
+binPROGRAMS_INSTALL = $(INSTALL_PROGRAM)
+PROGRAMS = $(bin_PROGRAMS)
+am_usrp2_socket_opener_OBJECTS = usrp2_socket_opener.$(OBJEXT)
+usrp2_socket_opener_OBJECTS = $(am_usrp2_socket_opener_OBJECTS)
+usrp2_socket_opener_LDADD = $(LDADD)
+DEFAULT_INCLUDES = -I.@am__isrc@ -I$(top_builddir)
+depcomp = $(SHELL) $(top_srcdir)/depcomp
+am__depfiles_maybe = depfiles
+COMPILE = $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) $(AM_CPPFLAGS) \
+       $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
+LTCOMPILE = $(LIBTOOL) --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CC) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CFLAGS) $(CFLAGS)
+CCLD = $(CC)
+LINK = $(LIBTOOL) --tag=CC $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CCLD) $(AM_CFLAGS) $(CFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+CXXCOMPILE = $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+LTCXXCOMPILE = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=compile $(CXX) $(DEFS) $(DEFAULT_INCLUDES) $(INCLUDES) \
+       $(AM_CPPFLAGS) $(CPPFLAGS) $(AM_CXXFLAGS) $(CXXFLAGS)
+CXXLD = $(CXX)
+CXXLINK = $(LIBTOOL) --tag=CXX $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) \
+       --mode=link $(CXXLD) $(AM_CXXFLAGS) $(CXXFLAGS) $(AM_LDFLAGS) \
+       $(LDFLAGS) -o $@
+SOURCES = $(libusrp2_la_SOURCES) $(usrp2_socket_opener_SOURCES)
+DIST_SOURCES = $(libusrp2_la_SOURCES) $(usrp2_socket_opener_SOURCES)
+HEADERS = $(noinst_HEADERS)
+ETAGS = etags
+CTAGS = ctags
+DISTFILES = $(DIST_COMMON) $(DIST_SOURCES) $(TEXINFOS) $(EXTRA_DIST)
+ACLOCAL = @ACLOCAL@
+ALLOCA = @ALLOCA@
+ALSA_CFLAGS = @ALSA_CFLAGS@
+ALSA_CPPFLAGS = @ALSA_CPPFLAGS@
+ALSA_INCLUDEDIR = @ALSA_INCLUDEDIR@
+ALSA_LIBS = @ALSA_LIBS@
+AMTAR = @AMTAR@
+AR = @AR@
+AS = @AS@
+AUTOCONF = @AUTOCONF@
+AUTOHEADER = @AUTOHEADER@
+AUTOMAKE = @AUTOMAKE@
+AWK = @AWK@
+BOOST_CPPFLAGS = @BOOST_CPPFLAGS@
+BOOST_CXXFLAGS = @BOOST_CXXFLAGS@
+BOOST_DATE_TIME_LIB = @BOOST_DATE_TIME_LIB@
+BOOST_LDFLAGS = @BOOST_LDFLAGS@
+BOOST_PROGRAM_OPTIONS_LIB = @BOOST_PROGRAM_OPTIONS_LIB@
+BOOST_THREAD_LIB = @BOOST_THREAD_LIB@
+CBLAS_LIBS = @CBLAS_LIBS@
+CC = @CC@
+CCAS = @CCAS@
+CCASDEPMODE = @CCASDEPMODE@
+CCASFLAGS = @CCASFLAGS@
+CCDEPMODE = @CCDEPMODE@
+CFLAGS = @CFLAGS@
+CPP = @CPP@
+CPPFLAGS = @CPPFLAGS@
+CPPUNIT_CFLAGS = @CPPUNIT_CFLAGS@
+CPPUNIT_CONFIG = @CPPUNIT_CONFIG@
+CPPUNIT_CPPFLAGS = @CPPUNIT_CPPFLAGS@
+CPPUNIT_INCLUDEDIR = @CPPUNIT_INCLUDEDIR@
+CPPUNIT_INCLUDES = @CPPUNIT_INCLUDES@
+CPPUNIT_LIBS = @CPPUNIT_LIBS@
+CXX = @CXX@
+CXXCPP = @CXXCPP@
+CXXDEPMODE = @CXXDEPMODE@
+CXXFLAGS = @CXXFLAGS@
+CXX_FOR_BUILD = @CXX_FOR_BUILD@
+CYGPATH_W = @CYGPATH_W@
+DEFINES = @DEFINES@
+DEFS = @DEFS@
+DEPDIR = @DEPDIR@
+DLLTOOL = @DLLTOOL@
+DOT = @DOT@
+DOXYGEN = @DOXYGEN@
+DSYMUTIL = @DSYMUTIL@
+DUMPBIN = @DUMPBIN@
+ECHO_C = @ECHO_C@
+ECHO_N = @ECHO_N@
+ECHO_T = @ECHO_T@
+EGREP = @EGREP@
+EXEEXT = @EXEEXT@
+F77 = @F77@
+FFLAGS = @FFLAGS@
+FFTW3F_CFLAGS = @FFTW3F_CFLAGS@
+FFTW3F_CPPFLAGS = @FFTW3F_CPPFLAGS@
+FFTW3F_INCLUDEDIR = @FFTW3F_INCLUDEDIR@
+FFTW3F_LIBS = @FFTW3F_LIBS@
+FGREP = @FGREP@
+FLIBS = @FLIBS@
+FUSB_TECH = @FUSB_TECH@
+GREP = @GREP@
+GR_ARCH_BIGENDIAN = @GR_ARCH_BIGENDIAN@
+GR_HAVE_ARPA_INET = @GR_HAVE_ARPA_INET@
+GR_HAVE_BYTESWAP = @GR_HAVE_BYTESWAP@
+GR_HAVE_NETINET_IN = @GR_HAVE_NETINET_IN@
+GSL_CFLAGS = @GSL_CFLAGS@
+GSL_CPPFLAGS = @GSL_CPPFLAGS@
+GSL_INCLUDEDIR = @GSL_INCLUDEDIR@
+GSL_LIBS = @GSL_LIBS@
+GUILE = @GUILE@
+HAVE_DOT = @HAVE_DOT@
+INSTALL = @INSTALL@
+INSTALL_DATA = @INSTALL_DATA@
+INSTALL_PROGRAM = @INSTALL_PROGRAM@
+INSTALL_SCRIPT = @INSTALL_SCRIPT@
+INSTALL_STRIP_PROGRAM = @INSTALL_STRIP_PROGRAM@
+IPC_LIBS = @IPC_LIBS@
+JACK_CFLAGS = @JACK_CFLAGS@
+JACK_CPPFLAGS = @JACK_CPPFLAGS@
+JACK_INCLUDEDIR = @JACK_INCLUDEDIR@
+JACK_LIBS = @JACK_LIBS@
+LD = @LD@
+LDFLAGS = @LDFLAGS@
+LIBGNURADIO_CORE_EXTRA_LDFLAGS = @LIBGNURADIO_CORE_EXTRA_LDFLAGS@
+LIBOBJS = @LIBOBJS@
+LIBS = @LIBS@
+LIBTOOL = @LIBTOOL@
+LIPO = @LIPO@
+LN_S = @LN_S@
+LTLIBOBJS = @LTLIBOBJS@
+MAKEINFO = @MAKEINFO@
+MD_CPU = @MD_CPU@
+MD_SUBCPU = @MD_SUBCPU@
+MKDIR_P = @MKDIR_P@
+NM = @NM@
+NMEDIT = @NMEDIT@
+NO_UNDEFINED = @NO_UNDEFINED@
+OBJDUMP = @OBJDUMP@
+OBJEXT = @OBJEXT@
+OSS_LIBS = @OSS_LIBS@
+OTOOL = @OTOOL@
+OTOOL64 = @OTOOL64@
+PACKAGE = @PACKAGE@
+PACKAGE_BUGREPORT = @PACKAGE_BUGREPORT@
+PACKAGE_NAME = @PACKAGE_NAME@
+PACKAGE_STRING = @PACKAGE_STRING@
+PACKAGE_TARNAME = @PACKAGE_TARNAME@
+PACKAGE_VERSION = @PACKAGE_VERSION@
+PATH_SEPARATOR = @PATH_SEPARATOR@
+PKG_CONFIG = @PKG_CONFIG@
+PORTAUDIO_CFLAGS = @PORTAUDIO_CFLAGS@
+PORTAUDIO_CPPFLAGS = @PORTAUDIO_CPPFLAGS@
+PORTAUDIO_INCLUDEDIR = @PORTAUDIO_INCLUDEDIR@
+PORTAUDIO_LIBS = @PORTAUDIO_LIBS@
+PTHREAD_CC = @PTHREAD_CC@
+PTHREAD_CFLAGS = @PTHREAD_CFLAGS@
+PTHREAD_LIBS = @PTHREAD_LIBS@
+PYTHON = @PYTHON@
+PYTHONW = @PYTHONW@
+PYTHON_CPPFLAGS = @PYTHON_CPPFLAGS@
+PYTHON_EXEC_PREFIX = @PYTHON_EXEC_PREFIX@
+PYTHON_LDFLAGS = @PYTHON_LDFLAGS@
+PYTHON_PLATFORM = @PYTHON_PLATFORM@
+PYTHON_PREFIX = @PYTHON_PREFIX@
+PYTHON_VERSION = @PYTHON_VERSION@
+QMAKE_INCLUDES = @QMAKE_INCLUDES@
+QTCORE_CFLAGS = @QTCORE_CFLAGS@
+QTCORE_CPPFLAGS = @QTCORE_CPPFLAGS@
+QTCORE_INCLUDEDIR = @QTCORE_INCLUDEDIR@
+QTCORE_LIBS = @QTCORE_LIBS@
+QTGUI_CFLAGS = @QTGUI_CFLAGS@
+QTGUI_CPPFLAGS = @QTGUI_CPPFLAGS@
+QTGUI_INCLUDEDIR = @QTGUI_INCLUDEDIR@
+QTGUI_LIBS = @QTGUI_LIBS@
+QTOPENGL_CFLAGS = @QTOPENGL_CFLAGS@
+QTOPENGL_CPPFLAGS = @QTOPENGL_CPPFLAGS@
+QTOPENGL_INCLUDEDIR = @QTOPENGL_INCLUDEDIR@
+QTOPENGL_LIBS = @QTOPENGL_LIBS@
+QT_INCLUDES = @QT_INCLUDES@
+QT_LIBS = @QT_LIBS@
+QT_MOC_EXEC = @QT_MOC_EXEC@
+QT_UIC_EXEC = @QT_UIC_EXEC@
+QWTPLOT3D_CFLAGS = @QWTPLOT3D_CFLAGS@
+QWTPLOT3D_LIBS = @QWTPLOT3D_LIBS@
+QWT_CFLAGS = @QWT_CFLAGS@
+QWT_LIBS = @QWT_LIBS@
+RANLIB = @RANLIB@
+RM_PROG = @RM_PROG@
+SDL_CFLAGS = @SDL_CFLAGS@
+SDL_CONFIG = @SDL_CONFIG@
+SDL_CPPFLAGS = @SDL_CPPFLAGS@
+SDL_INCLUDEDIR = @SDL_INCLUDEDIR@
+SDL_LIBS = @SDL_LIBS@
+SED = @SED@
+SET_MAKE = @SET_MAKE@
+SHELL = @SHELL@
+SHM_OPEN_LIBS = @SHM_OPEN_LIBS@
+SPU_GCC_PROG = @SPU_GCC_PROG@
+STRIP = @STRIP@
+SVN = @SVN@
+SVNDATE = @SVNDATE@
+SVNVERSION = @SVNVERSION@
+SWIG = @SWIG@
+SWIG_PYTHON_CPPFLAGS = @SWIG_PYTHON_CPPFLAGS@
+SWIG_PYTHON_LIB = @SWIG_PYTHON_LIB@
+SWIG_PYTHON_OPT = @SWIG_PYTHON_OPT@
+USB_CFLAGS = @USB_CFLAGS@
+USB_CPPFLAGS = @USB_CPPFLAGS@
+USB_INCLUDEDIR = @USB_INCLUDEDIR@
+USB_LIBS = @USB_LIBS@
+USRP2_INCLUDES = @USRP2_INCLUDES@
+USRP2_LA = @USRP2_LA@
+VERSION = @VERSION@
+WINAUDIO_LIBS = @WINAUDIO_LIBS@
+XAS = @XAS@
+XCC = @XCC@
+XDG_UTILS = @XDG_UTILS@
+XMLTO = @XMLTO@
+abs_builddir = @abs_builddir@
+abs_srcdir = @abs_srcdir@
+abs_top_builddir = @abs_top_builddir@
+abs_top_srcdir = @abs_top_srcdir@
+ac_ct_CC = @ac_ct_CC@
+ac_ct_CXX = @ac_ct_CXX@
+ac_ct_DUMPBIN = @ac_ct_DUMPBIN@
+ac_ct_F77 = @ac_ct_F77@
+acx_pthread_config = @acx_pthread_config@
+am__include = @am__include@
+am__leading_dot = @am__leading_dot@
+am__quote = @am__quote@
+am__tar = @am__tar@
+am__untar = @am__untar@
+autoconf_default_CFLAGS = @autoconf_default_CFLAGS@
+autoconf_default_CXXFLAGS = @autoconf_default_CXXFLAGS@
+bindir = @bindir@
+build = @build@
+build_alias = @build_alias@
+build_cpu = @build_cpu@
+build_dirs = @build_dirs@
+build_os = @build_os@
+build_vendor = @build_vendor@
+builddir = @builddir@
+datadir = @datadir@
+datarootdir = @datarootdir@
+docdir = @docdir@
+docs_INCLUDEDIR = @docs_INCLUDEDIR@
+docs_INCLUDES = @docs_INCLUDES@
+docs_LA = @docs_LA@
+docs_LDFLAG = @docs_LDFLAG@
+docs_LIBDIRPATH = @docs_LIBDIRPATH@
+dvidir = @dvidir@
+enable_dot = @enable_dot@
+enable_html_docs = @enable_html_docs@
+enable_latex_docs = @enable_latex_docs@
+enable_xml_docs = @enable_xml_docs@
+exec_prefix = @exec_prefix@
+gcell_INCLUDEDIR = @gcell_INCLUDEDIR@
+gcell_INCLUDES = @gcell_INCLUDES@
+gcell_LA = @gcell_LA@
+gcell_LDFLAG = @gcell_LDFLAG@
+gcell_LIBDIRPATH = @gcell_LIBDIRPATH@
+gcell_spu_INCLUDES = @gcell_spu_INCLUDES@
+gcell_spu_LA = @gcell_spu_LA@
+generate_docs = @generate_docs@
+gnuradio_core_I = @gnuradio_core_I@
+gnuradio_core_INCLUDEDIR = @gnuradio_core_INCLUDEDIR@
+gnuradio_core_INCLUDES = @gnuradio_core_INCLUDES@
+gnuradio_core_LA = @gnuradio_core_LA@
+gnuradio_core_LDFLAG = @gnuradio_core_LDFLAG@
+gnuradio_core_LIBDIRPATH = @gnuradio_core_LIBDIRPATH@
+gnuradio_core_PYDIRPATH = @gnuradio_core_PYDIRPATH@
+gnuradio_core_SWIGDIRPATH = @gnuradio_core_SWIGDIRPATH@
+gnuradio_examples_INCLUDEDIR = @gnuradio_examples_INCLUDEDIR@
+gnuradio_examples_INCLUDES = @gnuradio_examples_INCLUDES@
+gnuradio_examples_LA = @gnuradio_examples_LA@
+gnuradio_examples_LDFLAG = @gnuradio_examples_LDFLAG@
+gnuradio_examples_LIBDIRPATH = @gnuradio_examples_LIBDIRPATH@
+gr_audio_alsa_INCLUDEDIR = @gr_audio_alsa_INCLUDEDIR@
+gr_audio_alsa_INCLUDES = @gr_audio_alsa_INCLUDES@
+gr_audio_alsa_LA = @gr_audio_alsa_LA@
+gr_audio_alsa_LDFLAG = @gr_audio_alsa_LDFLAG@
+gr_audio_alsa_LIBDIRPATH = @gr_audio_alsa_LIBDIRPATH@
+gr_audio_jack_INCLUDEDIR = @gr_audio_jack_INCLUDEDIR@
+gr_audio_jack_INCLUDES = @gr_audio_jack_INCLUDES@
+gr_audio_jack_LA = @gr_audio_jack_LA@
+gr_audio_jack_LDFLAG = @gr_audio_jack_LDFLAG@
+gr_audio_jack_LIBDIRPATH = @gr_audio_jack_LIBDIRPATH@
+gr_audio_oss_INCLUDEDIR = @gr_audio_oss_INCLUDEDIR@
+gr_audio_oss_INCLUDES = @gr_audio_oss_INCLUDES@
+gr_audio_oss_LA = @gr_audio_oss_LA@
+gr_audio_oss_LDFLAG = @gr_audio_oss_LDFLAG@
+gr_audio_oss_LIBDIRPATH = @gr_audio_oss_LIBDIRPATH@
+gr_audio_osx_INCLUDEDIR = @gr_audio_osx_INCLUDEDIR@
+gr_audio_osx_INCLUDES = @gr_audio_osx_INCLUDES@
+gr_audio_osx_LA = @gr_audio_osx_LA@
+gr_audio_osx_LDFLAG = @gr_audio_osx_LDFLAG@
+gr_audio_osx_LIBDIRPATH = @gr_audio_osx_LIBDIRPATH@
+gr_audio_portaudio_INCLUDEDIR = @gr_audio_portaudio_INCLUDEDIR@
+gr_audio_portaudio_INCLUDES = @gr_audio_portaudio_INCLUDES@
+gr_audio_portaudio_LA = @gr_audio_portaudio_LA@
+gr_audio_portaudio_LDFLAG = @gr_audio_portaudio_LDFLAG@
+gr_audio_portaudio_LIBDIRPATH = @gr_audio_portaudio_LIBDIRPATH@
+gr_audio_windows_INCLUDEDIR = @gr_audio_windows_INCLUDEDIR@
+gr_audio_windows_INCLUDES = @gr_audio_windows_INCLUDES@
+gr_audio_windows_LA = @gr_audio_windows_LA@
+gr_audio_windows_LDFLAG = @gr_audio_windows_LDFLAG@
+gr_audio_windows_LIBDIRPATH = @gr_audio_windows_LIBDIRPATH@
+gr_cvsd_vocoder_INCLUDEDIR = @gr_cvsd_vocoder_INCLUDEDIR@
+gr_cvsd_vocoder_INCLUDES = @gr_cvsd_vocoder_INCLUDES@
+gr_cvsd_vocoder_LA = @gr_cvsd_vocoder_LA@
+gr_cvsd_vocoder_LDFLAG = @gr_cvsd_vocoder_LDFLAG@
+gr_cvsd_vocoder_LIBDIRPATH = @gr_cvsd_vocoder_LIBDIRPATH@
+gr_gcell_INCLUDEDIR = @gr_gcell_INCLUDEDIR@
+gr_gcell_INCLUDES = @gr_gcell_INCLUDES@
+gr_gcell_LA = @gr_gcell_LA@
+gr_gcell_LDFLAG = @gr_gcell_LDFLAG@
+gr_gcell_LIBDIRPATH = @gr_gcell_LIBDIRPATH@
+gr_gpio_INCLUDEDIR = @gr_gpio_INCLUDEDIR@
+gr_gpio_INCLUDES = @gr_gpio_INCLUDES@
+gr_gpio_LA = @gr_gpio_LA@
+gr_gpio_LDFLAG = @gr_gpio_LDFLAG@
+gr_gpio_LIBDIRPATH = @gr_gpio_LIBDIRPATH@
+gr_gsm_fr_vocoder_INCLUDEDIR = @gr_gsm_fr_vocoder_INCLUDEDIR@
+gr_gsm_fr_vocoder_INCLUDES = @gr_gsm_fr_vocoder_INCLUDES@
+gr_gsm_fr_vocoder_LA = @gr_gsm_fr_vocoder_LA@
+gr_gsm_fr_vocoder_LDFLAG = @gr_gsm_fr_vocoder_LDFLAG@
+gr_gsm_fr_vocoder_LIBDIRPATH = @gr_gsm_fr_vocoder_LIBDIRPATH@
+gr_libdir_suffix = @gr_libdir_suffix@
+gr_pager_INCLUDEDIR = @gr_pager_INCLUDEDIR@
+gr_pager_INCLUDES = @gr_pager_INCLUDES@
+gr_pager_LA = @gr_pager_LA@
+gr_pager_LDFLAG = @gr_pager_LDFLAG@
+gr_pager_LIBDIRPATH = @gr_pager_LIBDIRPATH@
+gr_qtgui_INCLUDEDIR = @gr_qtgui_INCLUDEDIR@
+gr_qtgui_INCLUDES = @gr_qtgui_INCLUDES@
+gr_qtgui_LA = @gr_qtgui_LA@
+gr_qtgui_LDFLAG = @gr_qtgui_LDFLAG@
+gr_qtgui_LIBDIRPATH = @gr_qtgui_LIBDIRPATH@
+gr_radar_mono_INCLUDEDIR = @gr_radar_mono_INCLUDEDIR@
+gr_radar_mono_INCLUDES = @gr_radar_mono_INCLUDES@
+gr_radar_mono_LA = @gr_radar_mono_LA@
+gr_radar_mono_LDFLAG = @gr_radar_mono_LDFLAG@
+gr_radar_mono_LIBDIRPATH = @gr_radar_mono_LIBDIRPATH@
+gr_radio_astronomy_INCLUDEDIR = @gr_radio_astronomy_INCLUDEDIR@
+gr_radio_astronomy_INCLUDES = @gr_radio_astronomy_INCLUDES@
+gr_radio_astronomy_LA = @gr_radio_astronomy_LA@
+gr_radio_astronomy_LDFLAG = @gr_radio_astronomy_LDFLAG@
+gr_radio_astronomy_LIBDIRPATH = @gr_radio_astronomy_LIBDIRPATH@
+gr_sounder_INCLUDEDIR = @gr_sounder_INCLUDEDIR@
+gr_sounder_INCLUDES = @gr_sounder_INCLUDES@
+gr_sounder_LA = @gr_sounder_LA@
+gr_sounder_LDFLAG = @gr_sounder_LDFLAG@
+gr_sounder_LIBDIRPATH = @gr_sounder_LIBDIRPATH@
+gr_trellis_INCLUDEDIR = @gr_trellis_INCLUDEDIR@
+gr_trellis_INCLUDES = @gr_trellis_INCLUDES@
+gr_trellis_LA = @gr_trellis_LA@
+gr_trellis_LDFLAG = @gr_trellis_LDFLAG@
+gr_trellis_LIBDIRPATH = @gr_trellis_LIBDIRPATH@
+gr_usrp2_INCLUDEDIR = @gr_usrp2_INCLUDEDIR@
+gr_usrp2_INCLUDES = @gr_usrp2_INCLUDES@
+gr_usrp2_LA = @gr_usrp2_LA@
+gr_usrp2_LDFLAG = @gr_usrp2_LDFLAG@
+gr_usrp2_LIBDIRPATH = @gr_usrp2_LIBDIRPATH@
+gr_usrp_INCLUDEDIR = @gr_usrp_INCLUDEDIR@
+gr_usrp_INCLUDES = @gr_usrp_INCLUDES@
+gr_usrp_LA = @gr_usrp_LA@
+gr_usrp_LDFLAG = @gr_usrp_LDFLAG@
+gr_usrp_LIBDIRPATH = @gr_usrp_LIBDIRPATH@
+gr_utils_INCLUDEDIR = @gr_utils_INCLUDEDIR@
+gr_utils_INCLUDES = @gr_utils_INCLUDES@
+gr_utils_LA = @gr_utils_LA@
+gr_utils_LDFLAG = @gr_utils_LDFLAG@
+gr_utils_LIBDIRPATH = @gr_utils_LIBDIRPATH@
+gr_video_sdl_INCLUDEDIR = @gr_video_sdl_INCLUDEDIR@
+gr_video_sdl_INCLUDES = @gr_video_sdl_INCLUDES@
+gr_video_sdl_LA = @gr_video_sdl_LA@
+gr_video_sdl_LDFLAG = @gr_video_sdl_LDFLAG@
+gr_video_sdl_LIBDIRPATH = @gr_video_sdl_LIBDIRPATH@
+gr_wxgui_INCLUDEDIR = @gr_wxgui_INCLUDEDIR@
+gr_wxgui_INCLUDES = @gr_wxgui_INCLUDES@
+gr_wxgui_LA = @gr_wxgui_LA@
+gr_wxgui_LDFLAG = @gr_wxgui_LDFLAG@
+gr_wxgui_LIBDIRPATH = @gr_wxgui_LIBDIRPATH@
+grc_INCLUDEDIR = @grc_INCLUDEDIR@
+grc_INCLUDES = @grc_INCLUDES@
+grc_LA = @grc_LA@
+grc_LDFLAG = @grc_LDFLAG@
+grc_LIBDIRPATH = @grc_LIBDIRPATH@
+gruel_INCLUDEDIR = @gruel_INCLUDEDIR@
+gruel_INCLUDES = @gruel_INCLUDES@
+gruel_LA = @gruel_LA@
+gruel_LDFLAG = @gruel_LDFLAG@
+gruel_LIBDIRPATH = @gruel_LIBDIRPATH@
+host = @host@
+host_alias = @host_alias@
+host_cpu = @host_cpu@
+host_os = @host_os@
+host_vendor = @host_vendor@
+htmldir = @htmldir@
+includedir = @includedir@
+infodir = @infodir@
+install_sh = @install_sh@
+lf_CFLAGS = @lf_CFLAGS@
+lf_CXXFLAGS = @lf_CXXFLAGS@
+libdir = @libdir@
+libexecdir = @libexecdir@
+localedir = @localedir@
+localstatedir = @localstatedir@
+lt_ECHO = @lt_ECHO@
+mandir = @mandir@
+mblock_INCLUDEDIR = @mblock_INCLUDEDIR@
+mblock_INCLUDES = @mblock_INCLUDES@
+mblock_LA = @mblock_LA@
+mblock_LDFLAG = @mblock_LDFLAG@
+mblock_LIBDIRPATH = @mblock_LIBDIRPATH@
+mkdir_p = @mkdir_p@
+oldincludedir = @oldincludedir@
+omnithread_INCLUDEDIR = @omnithread_INCLUDEDIR@
+omnithread_INCLUDES = @omnithread_INCLUDES@
+omnithread_LA = @omnithread_LA@
+omnithread_LDFLAG = @omnithread_LDFLAG@
+omnithread_LIBDIRPATH = @omnithread_LIBDIRPATH@
+pdfdir = @pdfdir@
+pkgpyexecdir = @pkgpyexecdir@
+pkgpythondir = @pkgpythondir@
+pmt_INCLUDEDIR = @pmt_INCLUDEDIR@
+pmt_INCLUDES = @pmt_INCLUDES@
+pmt_LA = @pmt_LA@
+pmt_LDFLAG = @pmt_LDFLAG@
+pmt_LIBDIRPATH = @pmt_LIBDIRPATH@
+prefix = @prefix@
+program_transform_name = @program_transform_name@
+psdir = @psdir@
+pyexecdir = @pyexecdir@
+pythondir = @pythondir@
+sbindir = @sbindir@
+sharedstatedir = @sharedstatedir@
+skipped_dirs = @skipped_dirs@
+srcdir = @srcdir@
+subdirs = @subdirs@
+swig_CXXFLAGS = @swig_CXXFLAGS@
+sysconfdir = @sysconfdir@
+target = @target@
+target_alias = @target_alias@
+target_cpu = @target_cpu@
+target_os = @target_os@
+target_vendor = @target_vendor@
+top_build_prefix = @top_build_prefix@
+top_builddir = @top_builddir@
+top_srcdir = @top_srcdir@
+usrp2_INCLUDEDIR = @usrp2_INCLUDEDIR@
+usrp2_INCLUDES = @usrp2_INCLUDES@
+usrp2_LA = @usrp2_LA@
+usrp2_LDFLAG = @usrp2_LDFLAG@
+usrp2_LIBDIRPATH = @usrp2_LIBDIRPATH@
+usrp_INCLUDEDIR = @usrp_INCLUDEDIR@
+usrp_INCLUDES = @usrp_INCLUDES@
+usrp_LA = @usrp_LA@
+usrp_LDFLAG = @usrp_LDFLAG@
+usrp_LIBDIRPATH = @usrp_LIBDIRPATH@
+usrp_darwin_omnithread_pc_requires = @usrp_darwin_omnithread_pc_requires@
+with_INCLUDES = @with_INCLUDES@
+with_LIBDIRPATH = @with_LIBDIRPATH@
+with_PYDIRPATH = @with_PYDIRPATH@
+with_SWIGDIRPATH = @with_SWIGDIRPATH@
+with_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+with_dirs = @with_dirs@
+AM_CFLAGS = @autoconf_default_CFLAGS@ @lf_CFLAGS@
+AM_CXXFLAGS = @autoconf_default_CXXFLAGS@ @lf_CXXFLAGS@
+
+# includes
+grincludedir = $(includedir)/gnuradio
+
+# swig includes
+swigincludedir = $(grincludedir)/swig
+
+# Install the gnuradio stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/gnuradio
+grpythondir = $(pythondir)/gnuradio
+grpyexecdir = $(pyexecdir)/gnuradio
+
+# Install the non-gnuradio usrp stuff in the appropriate subdirectory
+# This usually ends up at:
+#   ${prefix}/lib/python${python_version}/site-packages/usrpm
+usrppythondir = $(pythondir)/usrpm
+usrppyexecdir = $(pyexecdir)/usrpm
+
+# gcell includes
+gcellincludedir = $(includedir)/gcell
+gcellspuincludedir = $(includedir)/gcell/spu
+
+# Cell spu libs
+libspudir = $(libdir)spu
+
+# This used to be set in configure.ac but is now defined here for all 
+# Makefiles when this fragment is included.
+STD_DEFINES_AND_INCLUDES = $(DEFINES) $(BOOST_CPPFLAGS) \
+       $(OMNITHREAD_INCLUDES) $(GNURADIO_INCLUDES) $(GRUEL_INCLUDES)
+
+
+# when including for compilation from pre-installed libraries and such,
+# need to make sure those are put last on the compile command
+WITH_INCLUDES = @with_INCLUDES@
+WITH_SWIG_INCLUDES = @with_SWIG_INCLUDES@
+
+# How to link in the top-level omnithreads library from inside the tree
+OMNITHREAD_INCLUDES = @omnithread_INCLUDES@
+OMNITHREAD_LA = @omnithread_LA@
+
+# Where to find gnuradio include files in the current build tree
+# top_srcdir for original stuff, top_builddir for generated files
+GNURADIO_INCLUDES = @gnuradio_core_INCLUDES@
+
+# How to link in GNU Radio core library from inside the tree
+GNURADIO_CORE_LA = @gnuradio_core_LA@
+
+# How to link in the USRP library from inside the tree
+GRUEL_INCLUDES = @gruel_INCLUDES@
+GRUEL_LA = @gruel_LA@
+
+# How to link in the USRP library from inside the tree
+USRP_INCLUDES = @usrp_INCLUDES@
+USRP_LA = @usrp_LA@
+
+# How to link in usrp-inband library from inside the tree
+USRP_INBAND_INCLUDES = @usrp_inband_INCLUDES@
+USRP_INBAND_LA = @usrp_inband_LA@
+
+# How to link the PMT library from inside the tree
+PMT_INCLUDES = @pmt_INCLUDES@
+PMT_LA = @pmt_LA@
+
+# How to link the mblock library from inside the tree
+MBLOCK_INCLUDES = @mblock_INCLUDES@
+MBLOCK_LA = @mblock_LA@
+
+# How to link the gcell library from inside the tree (the PPU part)
+GCELL_INCLUDES = @gcell_INCLUDES@
+GCELL_LA = @gcell_LA@
+
+# How to link the gcell library from inside the tree (the SPU part)
+GCELL_SPU_INCLUDES = @gcell_spu_INCLUDES@
+GCELL_SPU_LA = @gcell_spu_LA@
+
+# libtool aware wrapper for ppu-embedspu
+GCELL_EMBEDSPU_LIBTOOL = @abs_top_srcdir@/gcell/lib/runtime/gcell-embedspu-libtool
+
+# Fix for BSD make not defining $(RM).  We define it now in configure.ac
+# using AM_PATH_PROG, but now here have to add a -f to be like GNU make
+RM = $(RM_PROG) -f
+RUN_GUILE = GUILE_LOAD_PATH="@abs_top_srcdir@/pmt/src/scheme:@abs_top_srcdir@/mblock/src/scheme" @GUILE@ -e main -s
+COMPILE_MBH = $(RUN_GUILE) $(top_srcdir)/mblock/src/scheme/gnuradio/compile-mbh.scm
+
+# Base directory for example applications
+exampledir = $(datadir)/gnuradio/examples
+gr_docdir = $(docdir)-$(VERSION)
+
+# System configuration files
+gr_sysconfdir = $(sysconfdir)/$(PACKAGE)/conf.d
+
+# Other common defines; use "+=" to add to these
+STAMPS = 
+MOSTLYCLEANFILES = $(BUILT_SOURCES) $(STAMPS) *.pyc *.pyo *~ *.tmp *.loT
+AM_CPPFLAGS = \
+    $(USRP2_INCLUDES) \
+    $(BOOST_CPPFLAGS) \
+    $(STD_DEFINES_AND_INCLUDES) \
+    $(CPPUNIT_INCLUDES) \
+    $(GRUEL_INCLUDES) 
+
+usrp2_socket_opener_SOURCES = usrp2_socket_opener.cc
+lib_LTLIBRARIES = \
+       libusrp2.la
+
+libusrp2_la_SOURCES = \
+       control.cc \
+       copiers.cc \
+       copy_handler.cc \
+       data_handler.cc \
+       eth_buffer.cc \
+       ethernet.cc \
+       find.cc \
+       open_usrp2_socket.cc \
+       pktfilter.cc \
+       ring.cc \
+       rx_nop_handler.cc \
+       rx_sample_handler.cc \
+       strtod_si.c \
+       usrp2.cc \
+       usrp2_impl.cc \
+       usrp2_thread.cc
+
+libusrp2_la_LIBADD = \
+       $(OMNITHREAD_LA) \
+       $(GRUEL_LA) \
+       $(BOOST_LDFLAGS) $(BOOST_THREAD_LIB)
+
+
+# Private headers not needed for above the API development
+noinst_HEADERS = \
+       control.h \
+       eth_buffer.h \
+       eth_common.h \
+       ethernet.h \
+        open_usrp2_socket.h \
+       pktfilter.h \
+       ring.h \
+       usrp2_bytesex.h \
+       usrp2_impl.h \
+       usrp2_thread.h
+
+all: all-am
+
+.SUFFIXES:
+.SUFFIXES: .c .cc .lo .o .obj
+$(srcdir)/Makefile.in:  $(srcdir)/Makefile.am $(top_srcdir)/Makefile.common $(am__configure_deps)
+       @for dep in $?; do \
+         case '$(am__configure_deps)' in \
+           *$$dep*) \
+             ( cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh ) \
+               && { if test -f $@; then exit 0; else break; fi; }; \
+             exit 1;; \
+         esac; \
+       done; \
+       echo ' cd $(top_srcdir) && $(AUTOMAKE) --gnu  usrp2/host/lib/Makefile'; \
+       cd $(top_srcdir) && \
+         $(AUTOMAKE) --gnu  usrp2/host/lib/Makefile
+.PRECIOUS: Makefile
+Makefile: $(srcdir)/Makefile.in $(top_builddir)/config.status
+       @case '$?' in \
+         *config.status*) \
+           cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh;; \
+         *) \
+           echo ' cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe)'; \
+           cd $(top_builddir) && $(SHELL) ./config.status $(subdir)/$@ $(am__depfiles_maybe);; \
+       esac;
+
+$(top_builddir)/config.status: $(top_srcdir)/configure $(CONFIG_STATUS_DEPENDENCIES)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+
+$(top_srcdir)/configure:  $(am__configure_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+$(ACLOCAL_M4):  $(am__aclocal_m4_deps)
+       cd $(top_builddir) && $(MAKE) $(AM_MAKEFLAGS) am--refresh
+install-libLTLIBRARIES: $(lib_LTLIBRARIES)
+       @$(NORMAL_INSTALL)
+       test -z "$(libdir)" || $(MKDIR_P) "$(DESTDIR)$(libdir)"
+       @list='$(lib_LTLIBRARIES)'; for p in $$list; do \
+         if test -f $$p; then \
+           f=$(am__strip_dir) \
+           echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(libLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) '$$p' '$(DESTDIR)$(libdir)/$$f'"; \
+           $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(libLTLIBRARIES_INSTALL) $(INSTALL_STRIP_FLAG) "$$p" "$(DESTDIR)$(libdir)/$$f"; \
+         else :; fi; \
+       done
+
+uninstall-libLTLIBRARIES:
+       @$(NORMAL_UNINSTALL)
+       @list='$(lib_LTLIBRARIES)'; for p in $$list; do \
+         p=$(am__strip_dir) \
+         echo " $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f '$(DESTDIR)$(libdir)/$$p'"; \
+         $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=uninstall rm -f "$(DESTDIR)$(libdir)/$$p"; \
+       done
+
+clean-libLTLIBRARIES:
+       -test -z "$(lib_LTLIBRARIES)" || rm -f $(lib_LTLIBRARIES)
+       @list='$(lib_LTLIBRARIES)'; for p in $$list; do \
+         dir="`echo $$p | sed -e 's|/[^/]*$$||'`"; \
+         test "$$dir" != "$$p" || dir=.; \
+         echo "rm -f \"$${dir}/so_locations\""; \
+         rm -f "$${dir}/so_locations"; \
+       done
+libusrp2.la: $(libusrp2_la_OBJECTS) $(libusrp2_la_DEPENDENCIES) 
+       $(CXXLINK) -rpath $(libdir) $(libusrp2_la_OBJECTS) $(libusrp2_la_LIBADD) $(LIBS)
+install-binPROGRAMS: $(bin_PROGRAMS)
+       @$(NORMAL_INSTALL)
+       test -z "$(bindir)" || $(MKDIR_P) "$(DESTDIR)$(bindir)"
+       @list='$(bin_PROGRAMS)'; for p in $$list; do \
+         p1=`echo $$p|sed 's/$(EXEEXT)$$//'`; \
+         if test -f $$p \
+            || test -f $$p1 \
+         ; then \
+           f=`echo "$$p1" | sed 's,^.*/,,;$(transform);s/$$/$(EXEEXT)/'`; \
+          echo " $(INSTALL_PROGRAM_ENV) $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(binPROGRAMS_INSTALL) '$$p' '$(DESTDIR)$(bindir)/$$f'"; \
+          $(INSTALL_PROGRAM_ENV) $(LIBTOOL) $(AM_LIBTOOLFLAGS) $(LIBTOOLFLAGS) --mode=install $(binPROGRAMS_INSTALL) "$$p" "$(DESTDIR)$(bindir)/$$f" || exit 1; \
+         else :; fi; \
+       done
+
+uninstall-binPROGRAMS:
+       @$(NORMAL_UNINSTALL)
+       @list='$(bin_PROGRAMS)'; for p in $$list; do \
+         f=`echo "$$p" | sed 's,^.*/,,;s/$(EXEEXT)$$//;$(transform);s/$$/$(EXEEXT)/'`; \
+         echo " rm -f '$(DESTDIR)$(bindir)/$$f'"; \
+         rm -f "$(DESTDIR)$(bindir)/$$f"; \
+       done
+
+clean-binPROGRAMS:
+       @list='$(bin_PROGRAMS)'; for p in $$list; do \
+         f=`echo $$p|sed 's/$(EXEEXT)$$//'`; \
+         echo " rm -f $$p $$f"; \
+         rm -f $$p $$f ; \
+       done
+usrp2_socket_opener$(EXEEXT): $(usrp2_socket_opener_OBJECTS) $(usrp2_socket_opener_DEPENDENCIES) 
+       @rm -f usrp2_socket_opener$(EXEEXT)
+       $(CXXLINK) $(usrp2_socket_opener_OBJECTS) $(usrp2_socket_opener_LDADD) $(LIBS)
+
+mostlyclean-compile:
+       -rm -f *.$(OBJEXT)
+
+distclean-compile:
+       -rm -f *.tab.c
+
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/control.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/copiers.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/copy_handler.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/data_handler.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/eth_buffer.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/ethernet.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/find.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/open_usrp2_socket.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/pktfilter.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/ring.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/rx_nop_handler.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/rx_sample_handler.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/strtod_si.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/usrp2.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/usrp2_impl.Plo@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/usrp2_socket_opener.Po@am__quote@
+@AMDEP_TRUE@@am__include@ @am__quote@./$(DEPDIR)/usrp2_thread.Plo@am__quote@
+
+.c.o:
+@am__fastdepCC_TRUE@   $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(COMPILE) -c $<
+
+.c.obj:
+@am__fastdepCC_TRUE@   $(COMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(COMPILE) -c `$(CYGPATH_W) '$<'`
+
+.c.lo:
+@am__fastdepCC_TRUE@   $(LTCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCC_TRUE@   mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCC_FALSE@      DEPDIR=$(DEPDIR) $(CCDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCC_FALSE@  $(LTCOMPILE) -c -o $@ $<
+
+.cc.o:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ $<
+
+.cc.obj:
+@am__fastdepCXX_TRUE@  $(CXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ `$(CYGPATH_W) '$<'`
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Po
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=no @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(CXXCOMPILE) -c -o $@ `$(CYGPATH_W) '$<'`
+
+.cc.lo:
+@am__fastdepCXX_TRUE@  $(LTCXXCOMPILE) -MT $@ -MD -MP -MF $(DEPDIR)/$*.Tpo -c -o $@ $<
+@am__fastdepCXX_TRUE@  mv -f $(DEPDIR)/$*.Tpo $(DEPDIR)/$*.Plo
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     source='$<' object='$@' libtool=yes @AMDEPBACKSLASH@
+@AMDEP_TRUE@@am__fastdepCXX_FALSE@     DEPDIR=$(DEPDIR) $(CXXDEPMODE) $(depcomp) @AMDEPBACKSLASH@
+@am__fastdepCXX_FALSE@ $(LTCXXCOMPILE) -c -o $@ $<
+
+mostlyclean-libtool:
+       -rm -f *.lo
+
+clean-libtool:
+       -rm -rf .libs _libs
+
+ID: $(HEADERS) $(SOURCES) $(LISP) $(TAGS_FILES)
+       list='$(SOURCES) $(HEADERS) $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       mkid -fID $$unique
+tags: TAGS
+
+TAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       here=`pwd`; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       if test -z "$(ETAGS_ARGS)$$tags$$unique"; then :; else \
+         test -n "$$unique" || unique=$$empty_fix; \
+         $(ETAGS) $(ETAGSFLAGS) $(AM_ETAGSFLAGS) $(ETAGS_ARGS) \
+           $$tags $$unique; \
+       fi
+ctags: CTAGS
+CTAGS:  $(HEADERS) $(SOURCES)  $(TAGS_DEPENDENCIES) \
+               $(TAGS_FILES) $(LISP)
+       tags=; \
+       list='$(SOURCES) $(HEADERS)  $(LISP) $(TAGS_FILES)'; \
+       unique=`for i in $$list; do \
+           if test -f "$$i"; then echo $$i; else echo $(srcdir)/$$i; fi; \
+         done | \
+         $(AWK) '{ files[$$0] = 1; nonempty = 1; } \
+             END { if (nonempty) { for (i in files) print i; }; }'`; \
+       test -z "$(CTAGS_ARGS)$$tags$$unique" \
+         || $(CTAGS) $(CTAGSFLAGS) $(AM_CTAGSFLAGS) $(CTAGS_ARGS) \
+            $$tags $$unique
+
+GTAGS:
+       here=`$(am__cd) $(top_builddir) && pwd` \
+         && cd $(top_srcdir) \
+         && gtags -i $(GTAGS_ARGS) $$here
+
+distclean-tags:
+       -rm -f TAGS ID GTAGS GRTAGS GSYMS GPATH tags
+
+distdir: $(DISTFILES)
+       @srcdirstrip=`echo "$(srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       topsrcdirstrip=`echo "$(top_srcdir)" | sed 's/[].[^$$\\*]/\\\\&/g'`; \
+       list='$(DISTFILES)'; \
+         dist_files=`for file in $$list; do echo $$file; done | \
+         sed -e "s|^$$srcdirstrip/||;t" \
+             -e "s|^$$topsrcdirstrip/|$(top_builddir)/|;t"`; \
+       case $$dist_files in \
+         */*) $(MKDIR_P) `echo "$$dist_files" | \
+                          sed '/\//!d;s|^|$(distdir)/|;s,/[^/]*$$,,' | \
+                          sort -u` ;; \
+       esac; \
+       for file in $$dist_files; do \
+         if test -f $$file || test -d $$file; then d=.; else d=$(srcdir); fi; \
+         if test -d $$d/$$file; then \
+           dir=`echo "/$$file" | sed -e 's,/[^/]*$$,,'`; \
+           if test -d $(srcdir)/$$file && test $$d != $(srcdir); then \
+             cp -pR $(srcdir)/$$file $(distdir)$$dir || exit 1; \
+           fi; \
+           cp -pR $$d/$$file $(distdir)$$dir || exit 1; \
+         else \
+           test -f $(distdir)/$$file \
+           || cp -p $$d/$$file $(distdir)/$$file \
+           || exit 1; \
+         fi; \
+       done
+       $(MAKE) $(AM_MAKEFLAGS) \
+         top_distdir="$(top_distdir)" distdir="$(distdir)" \
+         dist-hook
+check-am: all-am
+check: check-am
+all-am: Makefile $(LTLIBRARIES) $(PROGRAMS) $(HEADERS)
+install-binPROGRAMS: install-libLTLIBRARIES
+
+installdirs:
+       for dir in "$(DESTDIR)$(libdir)" "$(DESTDIR)$(bindir)"; do \
+         test -z "$$dir" || $(MKDIR_P) "$$dir"; \
+       done
+install: install-am
+install-exec: install-exec-am
+install-data: install-data-am
+uninstall: uninstall-am
+
+install-am: all-am
+       @$(MAKE) $(AM_MAKEFLAGS) install-exec-am install-data-am
+
+installcheck: installcheck-am
+install-strip:
+       $(MAKE) $(AM_MAKEFLAGS) INSTALL_PROGRAM="$(INSTALL_STRIP_PROGRAM)" \
+         install_sh_PROGRAM="$(INSTALL_STRIP_PROGRAM)" INSTALL_STRIP_FLAG=-s \
+         `test -z '$(STRIP)' || \
+           echo "INSTALL_PROGRAM_ENV=STRIPPROG='$(STRIP)'"` install
+mostlyclean-generic:
+       -test -z "$(MOSTLYCLEANFILES)" || rm -f $(MOSTLYCLEANFILES)
+
+clean-generic:
+
+distclean-generic:
+       -test -z "$(CONFIG_CLEAN_FILES)" || rm -f $(CONFIG_CLEAN_FILES)
+
+maintainer-clean-generic:
+       @echo "This command is intended for maintainers to use"
+       @echo "it deletes files that may require special tools to rebuild."
+clean: clean-am
+
+clean-am: clean-binPROGRAMS clean-generic clean-libLTLIBRARIES \
+       clean-libtool mostlyclean-am
+
+distclean: distclean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+distclean-am: clean-am distclean-compile distclean-generic \
+       distclean-tags
+
+dvi: dvi-am
+
+dvi-am:
+
+html: html-am
+
+info: info-am
+
+info-am:
+
+install-data-am:
+
+install-dvi: install-dvi-am
+
+install-exec-am: install-binPROGRAMS install-libLTLIBRARIES
+
+install-html: install-html-am
+
+install-info: install-info-am
+
+install-man:
+
+install-pdf: install-pdf-am
+
+install-ps: install-ps-am
+
+installcheck-am:
+
+maintainer-clean: maintainer-clean-am
+       -rm -rf ./$(DEPDIR)
+       -rm -f Makefile
+maintainer-clean-am: distclean-am maintainer-clean-generic
+
+mostlyclean: mostlyclean-am
+
+mostlyclean-am: mostlyclean-compile mostlyclean-generic \
+       mostlyclean-libtool
+
+pdf: pdf-am
+
+pdf-am:
+
+ps: ps-am
+
+ps-am:
+
+uninstall-am: uninstall-binPROGRAMS uninstall-libLTLIBRARIES
+
+.MAKE: install-am install-strip
+
+.PHONY: CTAGS GTAGS all all-am check check-am clean clean-binPROGRAMS \
+       clean-generic clean-libLTLIBRARIES clean-libtool ctags \
+       dist-hook distclean distclean-compile distclean-generic \
+       distclean-libtool distclean-tags distdir dvi dvi-am html \
+       html-am info info-am install install-am install-binPROGRAMS \
+       install-data install-data-am install-dvi install-dvi-am \
+       install-exec install-exec-am install-html install-html-am \
+       install-info install-info-am install-libLTLIBRARIES \
+       install-man install-pdf install-pdf-am install-ps \
+       install-ps-am install-strip installcheck installcheck-am \
+       installdirs maintainer-clean maintainer-clean-generic \
+       mostlyclean mostlyclean-compile mostlyclean-generic \
+       mostlyclean-libtool pdf pdf-am ps ps-am tags uninstall \
+       uninstall-am uninstall-binPROGRAMS uninstall-libLTLIBRARIES
+
+
+# Base directory for documentation (docdir undefined in autoconf < 1.60)
+docdir ?= $(datadir)/doc/$(PACKAGE)
+
+# Don't distribute the files defined in the variable 'no_dist_files'
+dist-hook:
+       @for file in $(no_dist_files); do \
+               echo $(RM) $(distdir)/$$file; \
+               $(RM) $(distdir)/$$file; \
+       done;
+# Tell versions [3.59,3.63) of GNU make to not export all variables.
+# Otherwise a system limit (for SysV at least) may be exceeded.
+.NOEXPORT:
diff --git a/usrp2/host/lib/usrp2_thread.cc b/usrp2/host/lib/usrp2_thread.cc
new file mode 100644 (file)
index 0000000..d147703
--- /dev/null
@@ -0,0 +1,64 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2008 Free Software Foundation, Inc.
+ *
+ * This program is free software: you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation, either version 3 of the License, or
+ * (at your option) any later version.
+ *
+ * This program is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ *
+ * You should have received a copy of the GNU General Public License
+ * along with this program.  If not, see <http://www.gnu.org/licenses/>.
+ */
+
+#ifdef HAVE_CONFIG_H
+#include <config.h>
+#endif
+
+#include "usrp2_thread.h"
+#include "usrp2_impl.h"
+#include <gruel/realtime.h>
+#include <gruel/sys_pri.h>
+#include <iostream>
+
+#define USRP2_THREAD_DEBUG 1
+
+namespace usrp2 {
+
+  usrp2_thread::usrp2_thread(usrp2::impl *u2) :
+    omni_thread(NULL, PRIORITY_HIGH),
+    d_u2(u2)
+  {
+  }
+  
+  usrp2_thread::~usrp2_thread()
+  {
+    // we don't own this, just forget it
+    d_u2 = 0;
+  }
+  
+  void
+  usrp2_thread::start()
+  {
+    start_undetached();
+  }
+  
+  void *
+  usrp2_thread::run_undetached(void *arg)
+  {
+    if (gruel::enable_realtime_scheduling(gruel::sys_pri::usrp2_backend()) != gruel::RT_OK)
+      std::cerr << "usrp2: failed to enable realtime scheduling" << std::endl;    
+
+    // This is the first code to run in the new thread context.
+    d_u2->bg_loop();
+    
+    return 0;
+  }
+
+} // namespace usrp2
+
diff --git a/usrp2/host/lib/usrp2_thread.h b/usrp2/host/lib/usrp2_thread.h
new file mode 100644 (file)
index 0000000..12723e9
--- /dev/null
@@ -0,0 +1,47 @@
+/* -*- c++ -*- */
+/*
+ * Copyright 2008 Free Software Foundation, Inc.
+ * 
+ * This file is part of GNU Radio
+ * 
+ * GNU Radio is free software; you can redistribute it and/or modify
+ * it under the terms of the GNU General Public License as published by
+ * the Free Software Foundation; either version 3, or (at your option)
+ * any later version.
+ * 
+ * GNU Radio is distributed in the hope that it will be useful,
+ * but WITHOUT ANY WARRANTY; without even the implied warranty of
+ * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
+ * GNU General Public License for more details.
+ * 
+ * You should have received a copy of the GNU General Public License
+ * along with GNU Radio; see the file COPYING.  If not, write to
+ * the Free Software Foundation, Inc., 51 Franklin Street,
+ * Boston, MA 02110-1301, USA.
+ */
+
+#ifndef INCLUDED_USRP2_THREAD_H
+#define INCLUDED_USRP2_THREAD_H
+
+#include <gnuradio/omnithread.h>
+#include <usrp2_impl.h>
+
+namespace usrp2 {
+
+  class usrp2_thread : public omni_thread
+  {
+  private:
+    usrp2::impl *d_u2;    
+    
+  public:
+    usrp2_thread(usrp2::impl *u2);
+    ~usrp2_thread();
+    
+    void start();
+    
+    virtual void *run_undetached(void *arg);
+  };
+  
+} // namespace usrp2
+
+#endif /* INCLUDED_USRP2_THREAD_H */